From 910d16b64292f432f7ef1dc346b4f87944f1c12e Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Tue, 1 Feb 2022 14:32:27 -0600 Subject: [PATCH 001/100] More cleanup of IFU. --- pipelined/src/ifu/bpred.sv | 99 +++++++++++++++++--------------------- pipelined/src/ifu/ifu.sv | 82 ++++++++----------------------- 2 files changed, 66 insertions(+), 115 deletions(-) diff --git a/pipelined/src/ifu/bpred.sv b/pipelined/src/ifu/bpred.sv index b11e570b3..de2ac72ab 100644 --- a/pipelined/src/ifu/bpred.sv +++ b/pipelined/src/ifu/bpred.sv @@ -35,10 +35,11 @@ module bpred (input logic clk, reset, - input logic StallF, StallD, StallE, - input logic FlushF, FlushD, FlushE, + input logic StallF, StallD, StallE, StallM, + input logic FlushF, FlushD, FlushE, FlushM, // Fetch stage // the prediction + input logic [31:0] InstrD, input logic [`XLEN-1:0] PCNextF, // *** forgot to include this one on the I/O list output logic [`XLEN-1:0] BPPredPCF, output logic SelBPPredF, @@ -53,13 +54,14 @@ module bpred input logic [`XLEN-1:0] IEUAdrE, // The branch destination if the branch is taken. input logic [`XLEN-1:0] PCD, // The address the branch predictor took. input logic [`XLEN-1:0] PCLinkE, // The address following the branch instruction. (AKA Fall through address) - input logic [4:0] InstrClassE, + output logic [4:0] InstrClassM, // Report branch prediction status output logic BPPredWrongE, - output logic BPPredDirWrongE, - output logic BTBPredPCWrongE, - output logic RASPredPCWrongE, - output logic BPPredClassNonCFIWrongE + output logic BPPredWrongM, + output logic BPPredDirWrongM, + output logic BTBPredPCWrongM, + output logic RASPredPCWrongM, + output logic BPPredClassNonCFIWrongM ); logic BTBValidF; @@ -71,14 +73,14 @@ module bpred logic FallThroughWrongE; logic PredictionPCWrongE; logic PredictionInstrClassWrongE; + logic [4:0] InstrClassD, InstrClassE; + logic BPPredDirWrongE, BTBPredPCWrongE, RASPredPCWrongE, BPPredClassNonCFIWrongE; // Part 1 branch direction prediction if (`BPTYPE == "BPTWOBIT") begin:Predictor - twoBitPredictor DirPredictor(.clk(clk), - .reset(reset), - .StallF(StallF), + twoBitPredictor DirPredictor(.clk, .reset, .StallF, .LookUpPC(PCNextF), .Prediction(BPPredF), // update @@ -87,58 +89,27 @@ module bpred .UpdatePrediction(UpdateBPPredE)); end else if (`BPTYPE == "BPGLOBAL") begin:Predictor + globalHistoryPredictor DirPredictor(.clk, .reset, .StallF, .StallE, + .PCNextF, .BPPredF, + .InstrClassE, .BPInstrClassF, .BPInstrClassD, .BPInstrClassE, .BPPredDirWrongE, + .PCE, .PCSrcE, .UpdateBPPredE); - globalHistoryPredictor DirPredictor(.clk(clk), - .reset(reset), - .*, // Stalls and flushes - .PCNextF(PCNextF), - .BPPredF(BPPredF), - // update - .InstrClassE(InstrClassE), - .BPInstrClassE(BPInstrClassE), - .BPPredDirWrongE(BPPredDirWrongE), - .PCE(PCE), - .PCSrcE(PCSrcE), - .UpdateBPPredE(UpdateBPPredE)); end else if (`BPTYPE == "BPGSHARE") begin:Predictor - - gsharePredictor DirPredictor(.clk(clk), - .reset(reset), - .*, // Stalls and flushes - .PCNextF(PCNextF), - .BPPredF(BPPredF), - // update - .InstrClassE(InstrClassE), - .BPInstrClassE(BPInstrClassE), - .BPPredDirWrongE(BPPredDirWrongE), - .PCE(PCE), - .PCSrcE(PCSrcE), - .UpdateBPPredE(UpdateBPPredE)); + gsharePredictor DirPredictor(.clk, .reset, .StallF, .StallE, + .PCNextF, .BPPredF, + .InstrClassE, .BPInstrClassF, .BPInstrClassD, .BPInstrClassE, .BPPredDirWrongE, + .PCE, .PCSrcE, .UpdateBPPredE); end else if (`BPTYPE == "BPLOCALPAg") begin:Predictor - localHistoryPredictor DirPredictor(.clk(clk), - .reset(reset), - .*, // Stalls and flushes + localHistoryPredictor DirPredictor(.clk, + .reset, .StallF, .StallE, .FlushF, .LookUpPC(PCNextF), .Prediction(BPPredF), // update .UpdatePC(PCE), .UpdateEN(InstrClassE[0] & ~StallE), - .PCSrcE(PCSrcE), - .UpdatePrediction(UpdateBPPredE)); - end - else if (`BPTYPE == "BPLOCALPAg") begin:Predictor - - localHistoryPredictor DirPredictor(.clk(clk), - .reset(reset), - .*, // Stalls and flushes - .LookUpPC(PCNextF), - .Prediction(BPPredF), - // update - .UpdatePC(PCE), - .UpdateEN(InstrClassE[0] & ~StallE), - .PCSrcE(PCSrcE), + .PCSrcE, .UpdatePrediction(UpdateBPPredE)); end @@ -201,15 +172,35 @@ module bpred .d(BPPredD), .q(BPPredE)); + + // the branch predictor needs a compact decoding of the instruction class. + // *** consider adding in the alternate return address x5 for returns. + assign InstrClassD[4] = (InstrD[6:0] & 7'h77) == 7'h67 & (InstrD[11:07] & 5'h1B) == 5'h01; // jal(r) must link to ra or r5 + assign InstrClassD[3] = InstrD[6:0] == 7'h67 & (InstrD[19:15] & 5'h1B) == 5'h01; // return must return to ra or r5 + assign InstrClassD[2] = InstrD[6:0] == 7'h67 & (InstrD[19:15] & 5'h1B) != 5'h01 & (InstrD[11:7] & 5'h1B) != 5'h01; // jump register, but not return + assign InstrClassD[1] = InstrD[6:0] == 7'h6F & (InstrD[11:7] & 5'h1B) != 5'h01; // jump, RD != x1 or x5 + assign InstrClassD[0] = InstrD[6:0] == 7'h63; // branch + flopenrc #(5) InstrClassRegE(.clk, .reset, .en(~StallE), .clear(FlushE), .d(InstrClassD), .q(InstrClassE)); + flopenrc #(5) InstrClassRegM(.clk, .reset, .en(~StallM), .clear(FlushM), .d(InstrClassE), .q(InstrClassM)); + flopenrc #(1) BPPredWrongMReg(.clk, .reset, .en(~StallM), .clear(FlushM), .d(BPPredWrongE), .q(BPPredWrongM)); + + + // branch predictor + flopenrc #(4) BPPredWrongRegM(.clk, .reset, .en(~StallM), .clear(FlushM), + .d({BPPredDirWrongE, BTBPredPCWrongE, RASPredPCWrongE, BPPredClassNonCFIWrongE}), + .q({BPPredDirWrongM, BTBPredPCWrongM, RASPredPCWrongM, BPPredClassNonCFIWrongM})); + + + // pipeline the class - flopenrc #(5) InstrClassRegD(.clk(clk), + flopenrc #(5) BPInstrClassRegD(.clk(clk), .reset(reset), .en(~StallD), .clear(FlushD), .d(BPInstrClassF), .q(BPInstrClassD)); - flopenrc #(5) InstrClassRegE(.clk(clk), + flopenrc #(5) BPInstrClassRegE(.clk(clk), .reset(reset), .en(~StallE), .clear(FlushE), diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index 7cee766bb..b6382d48d 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -100,8 +100,6 @@ module ifu ( localparam [31:0] nop = 32'h00000013; // instruction for NOP logic [`XLEN-1:0] PCBPWrongInvalidate; - logic BPPredWrongM; - (* mark_debug = "true" *) logic [`PA_BITS-1:0] PCPF; // used to either truncate or expand PCPF and PCNextF into `PA_BITS width. logic [`XLEN+1:0] PCFExt; @@ -159,8 +157,7 @@ module ifu ( .PMPCFG_ARRAY_REGW, .PMPADDR_ARRAY_REGW); end else begin - assign {ITLBMissF, InstrAccessFaultF} = '0; - assign InstrPageFaultF = '0; + assign {ITLBMissF, InstrAccessFaultF, InstrPageFaultF} = '0; assign PCPF = PCF; assign CacheableF = '1; end @@ -182,22 +179,11 @@ module ifu ( end else begin : bus localparam integer WORDSPERLINE = `MEM_ICACHE ? `ICACHE_LINELENINBITS/`XLEN : 1; - localparam integer LOGWPL = `MEM_ICACHE ? $clog2(WORDSPERLINE) : 1; localparam integer LINELEN = `MEM_ICACHE ? `ICACHE_LINELENINBITS : `XLEN; - localparam integer WordCountThreshold = `MEM_ICACHE ? WORDSPERLINE - 1 : 0; - - localparam integer LINEBYTELEN = LINELEN/8; - localparam integer OFFSETLEN = $clog2(LINEBYTELEN); - - logic [LOGWPL-1:0] WordCount; - logic SelUncachedAdr; logic [LINELEN-1:0] ICacheMemWriteData; - logic [`PA_BITS-1:0] LocalIFUBusAdr; logic [`PA_BITS-1:0] ICacheBusAdr; logic ICacheBusAck; - genvar index; - busdp #(WORDSPERLINE, LINELEN) busdp(.clk, .reset, @@ -226,7 +212,7 @@ module ifu ( .CacheMiss(ICacheMiss), .CacheAccess(ICacheAccess), .FinalWriteData('0), .RW(IFURWF), - .Atomic(2'b00), .FlushCache(1'b0), + .Atomic('0), .FlushCache('0), .NextAdr(PCNextFSpill[11:0]), .PAdr(PCPF), .CacheCommitted(), .InvalidateCacheM(InvalidateICacheM)); @@ -235,15 +221,10 @@ module ifu ( assign {ICacheFetchLine, ICacheBusAdr, ICacheStallF, FinalInstrRawF} = '0; assign ICacheAccess = CacheableF; assign ICacheMiss = CacheableF; end - end - + end // branch predictor signal - logic SelBPPredF; - logic [`XLEN-1:0] BPPredPCF, PCNext0F, PCNext1F, PCNext2F; - logic [4:0] InstrClassD, InstrClassE; - - + logic [`XLEN-1:0] PCNext1F, PCNext2F; assign IFUCacheBusStallF = ICacheStallF | BusStall; assign IFUStallF = IFUCacheBusStallF | SelNextSpillF; @@ -253,14 +234,9 @@ module ifu ( assign PrivilegedChangePCM = RetM | TrapM; - // *** move unnecessary muxes into BPRED_ENABLED - mux2 #(`XLEN) pcmux0(.d0(PCPlus2or4F), .d1(BPPredPCF), .s(SelBPPredF), .y(PCNext0F)); - mux2 #(`XLEN) pcmux1(.d0(PCNext0F), .d1(PCCorrectE), .s(BPPredWrongE), .y(PCNext1F)); // The true correct target is IEUAdrE if PCSrcE is 1 else it is the fall through PCLinkE. mux2 #(`XLEN) pccorrectemux(.d0(PCLinkE), .d1(IEUAdrE), .s(PCSrcE), .y(PCCorrectE)); mux2 #(`XLEN) pcmux2(.d0(PCNext1F), .d1(PCBPWrongInvalidate), .s(InvalidateICacheM), .y(PCNext2F)); - // Mux only required on instruction class miss prediction. - mux2 #(`XLEN) pcmuxBPWrongInvalidateFlush(.d0(PCE), .d1(PCF), .s(BPPredWrongM), .y(PCBPWrongInvalidate)); mux2 #(`XLEN) pcmux3(.d0(PCNext2F), .d1(PrivilegedNextPCM), .s(PrivilegedChangePCM), .y(UnalignedPCNextF)); assign PCNextF = {UnalignedPCNextF[`XLEN-1:1], 1'b0}; // hart-SPEC p. 21 about 16-bit alignment @@ -268,38 +244,28 @@ module ifu ( // branch and jump predictor if (`BPRED_ENABLED) begin : bpred - // *** move the rest of this hardware into branch predictor including instruction class registers - logic BPPredDirWrongE, BTBPredPCWrongE, RASPredPCWrongE, BPPredClassNonCFIWrongE; - - flopenrc #(1) BPPredWrongMReg(.clk, .reset, .en(~StallM), .clear(FlushM), .d(BPPredWrongE), .q(BPPredWrongM)); + logic SelBPPredF; + logic [`XLEN-1:0] BPPredPCF, PCNext0F; + logic BPPredWrongM; bpred bpred(.clk, .reset, - .StallF, .StallD, .StallE, - .FlushF, .FlushD, .FlushE, - .PCNextF, .BPPredPCF, .SelBPPredF, .PCE, .PCSrcE, .IEUAdrE, - .PCD, .PCLinkE, .InstrClassE, .BPPredWrongE, .BPPredDirWrongE, - .BTBPredPCWrongE, .RASPredPCWrongE, .BPPredClassNonCFIWrongE); + .StallF, .StallD, .StallE, .StallM, + .FlushF, .FlushD, .FlushE, .FlushM, + .InstrD, .PCNextF, .BPPredPCF, .SelBPPredF, .PCE, .PCSrcE, .IEUAdrE, + .PCD, .PCLinkE, .InstrClassM, .BPPredWrongE, .BPPredWrongM, + .BPPredDirWrongM, .BTBPredPCWrongM, .RASPredPCWrongM, .BPPredClassNonCFIWrongM); + + mux2 #(`XLEN) pcmux0(.d0(PCPlus2or4F), .d1(BPPredPCF), .s(SelBPPredF), .y(PCNext0F)); + mux2 #(`XLEN) pcmux1(.d0(PCNext0F), .d1(PCCorrectE), .s(BPPredWrongE), .y(PCNext1F)); + // Mux only required on instruction class miss prediction. + mux2 #(`XLEN) pcmuxBPWrongInvalidateFlush(.d0(PCE), .d1(PCF), + .s(BPPredWrongM), .y(PCBPWrongInvalidate)); - // the branch predictor needs a compact decoding of the instruction class. - // *** consider adding in the alternate return address x5 for returns. - assign InstrClassD[4] = (InstrD[6:0] & 7'h77) == 7'h67 & (InstrD[11:07] & 5'h1B) == 5'h01; // jal(r) must link to ra or r5 - assign InstrClassD[3] = InstrD[6:0] == 7'h67 & (InstrD[19:15] & 5'h1B) == 5'h01; // return must return to ra or r5 - assign InstrClassD[2] = InstrD[6:0] == 7'h67 & (InstrD[19:15] & 5'h1B) != 5'h01 & (InstrD[11:7] & 5'h1B) != 5'h01; // jump register, but not return - assign InstrClassD[1] = InstrD[6:0] == 7'h6F & (InstrD[11:7] & 5'h1B) != 5'h01; // jump, RD != x1 or x5 - assign InstrClassD[0] = InstrD[6:0] == 7'h63; // branch - - // branch predictor - flopenrc #(5) InstrClassRegE(.clk, .reset, .en(~StallE), .clear(FlushE), .d(InstrClassD), .q(InstrClassE)); - flopenrc #(5) InstrClassRegM(.clk, .reset, .en(~StallM), .clear(FlushM), .d(InstrClassE), .q(InstrClassM)); - flopenrc #(4) BPPredWrongRegM(.clk, .reset, .en(~StallM), .clear(FlushM), - .d({BPPredDirWrongE, BTBPredPCWrongE, RASPredPCWrongE, BPPredClassNonCFIWrongE}), - .q({BPPredDirWrongM, BTBPredPCWrongM, RASPredPCWrongM, BPPredClassNonCFIWrongM})); - end else begin : bpred - assign BPPredPCF = '0; assign BPPredWrongE = PCSrcE; - assign BPPredWrongM = '0; - assign {SelBPPredF, BPPredDirWrongM, BTBPredPCWrongM, RASPredPCWrongM, BPPredClassNonCFIWrongM} = '0; + assign {BPPredDirWrongM, BTBPredPCWrongM, RASPredPCWrongM, BPPredClassNonCFIWrongM} = '0; + assign PCNext1F = PCPlus2or4F; + assign PCBPWrongInvalidate = PCE; end // pcadder @@ -313,16 +279,12 @@ module ifu ( else PCPlus2or4F = {PCF[`XLEN-1:2], 2'b10}; else PCPlus2or4F = {PCPlusUpperF, PCF[1:0]}; // add 4 - // Decode stage pipeline register and logic flopenrc #(`XLEN) PCDReg(clk, reset, FlushD, ~StallD, PCF, PCD); // expand 16-bit compressed instructions to 32 bits - decompress decomp(.InstrRawD, .InstrD, .IllegalCompInstrD); assign IllegalIEUInstrFaultD = IllegalBaseInstrFaultD | IllegalCompInstrD; // illegal if bad 32 or 16-bit instr - // *** combine these with others in better way, including M, F - // Misaligned PC logic // Instruction address misalignement only from br/jal(r) instructions. @@ -336,7 +298,6 @@ module ifu ( // Traps: Can’t happen. The bottom two bits of MTVEC are ignored so the trap always is to a multiple of 4. See 3.1.7 of the privileged spec. assign BranchMisalignedFaultE = (IEUAdrE[1] & ~`C_SUPPORTED) & PCSrcE; flopenr #(1) InstrMisalginedReg(clk, reset, ~StallM, BranchMisalignedFaultE, InstrMisalignedFaultM); - // *** Ross Thompson. Check InstrMisalignedAdrM as I believe it is the same as PCF. Should be able to remove. flopenr #(`XLEN) InstrMisalignedAdrReg(clk, reset, ~StallM, PCNextF, InstrMisalignedAdrM); // Instruction and PC/PCLink pipeline registers @@ -347,4 +308,3 @@ module ifu ( flopenr #(`XLEN) PCPDReg(clk, reset, ~StallD, PCPlus2or4F, PCLinkD); flopenr #(`XLEN) PCPEReg(clk, reset, ~StallE, PCLinkD, PCLinkE); endmodule - From 138b17a39901e8adefb6c44c55e785d5a52511d9 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Tue, 1 Feb 2022 17:00:11 -0600 Subject: [PATCH 002/100] Setup the main regression test to be able to handle coremark. --- pipelined/regression/wave.do | 2 -- pipelined/testbench/testbench.sv | 14 ++++++++------ pipelined/testbench/tests.vh | 11 +++++++++-- 3 files changed, 17 insertions(+), 10 deletions(-) diff --git a/pipelined/regression/wave.do b/pipelined/regression/wave.do index 743148967..8535c82b4 100644 --- a/pipelined/regression/wave.do +++ b/pipelined/regression/wave.do @@ -1,6 +1,4 @@ onerror {resume} -quietly virtual function -install /testbench/dut/core/ifu -env /testbench/dut/core/ifu { &{/testbench/dut/core/ifu/BPPredWrongM, /testbench/dut/core/ifu/InvalidateICacheM }} temp -quietly WaveActivateNextPane {} 0 add wave -noupdate /testbench/clk add wave -noupdate /testbench/reset add wave -noupdate /testbench/reset_ext diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index cd9a22880..65a760cb5 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -102,7 +102,8 @@ logic [3:0] dummy; "testsBP64": tests = testsBP64; "wally64i": tests = wally64i; // *** redo "wally64priv": tests = wally64priv;// *** redo - "imperas64periph": tests = imperas64periph; + "imperas64periph": tests = imperas64periph; + "coremark": tests = coremark; endcase end else begin // RV32 case (TEST) @@ -161,7 +162,7 @@ logic [3:0] dummy; // Track names of instructions instrTrackerTB it(clk, reset, dut.core.ieu.dp.FlushE, - dut.core.ifu.FinalInstrRawF, + dut.core.ifu.FinalInstrRawF[31:0], dut.core.ifu.InstrD, dut.core.ifu.InstrE, dut.core.ifu.InstrM, InstrW, InstrFName, InstrDName, InstrEName, InstrMName, InstrWName); @@ -181,12 +182,13 @@ logic [3:0] dummy; // strings, but uses a load double to read them in. If the last 2 bytes are // not initialized the compare results in an 'x' which propagates through // the design. - if (`XLEN == 32) meminit = 32'hFEDC0123; - else meminit = 64'hFEDCBA9876543210; + //if (`XLEN == 32) meminit = 32'hFEDC0123; + //else meminit = 64'hFEDCBA9876543210; // *** broken because DTIM also drives RAM - if (`TESTSBP) begin + if (TEST == "coremark") begin for (i=MemStartAddr; i Date: Tue, 1 Feb 2022 18:25:03 -0600 Subject: [PATCH 004/100] Modified makefiles to generate function address to name mappings for modelsim. --- benchmarks/riscv-coremark/Makefile | 4 +++- pipelined/regression/Makefile | 3 +++ .../common/{function_radix.sv => functionName.sv} | 11 +---------- 3 files changed, 7 insertions(+), 11 deletions(-) rename pipelined/testbench/common/{function_radix.sv => functionName.sv} (95%) diff --git a/benchmarks/riscv-coremark/Makefile b/benchmarks/riscv-coremark/Makefile index 1e8869408..2100b784f 100644 --- a/benchmarks/riscv-coremark/Makefile +++ b/benchmarks/riscv-coremark/Makefile @@ -6,8 +6,10 @@ sources=$(cmbase)/core_main.c $(cmbase)/core_list_join.c $(cmbase)/coremark.h \ $(PORT_DIR)/core_portme.h $(PORT_DIR)/core_portme.c $(PORT_DIR)/core_portme.mak \ $(PORT_DIR)/crt.S $(PORT_DIR)/encoding.h $(PORT_DIR)/util.h $(PORT_DIR)/syscalls.c -work/coremark.bare.riscv.memfile: work/coremark.bare.riscv +work/coremark.bare.riscv.elf.memfile: work/coremark.bare.riscv + riscv64-unknown-elf-objdump -D $< > $<.elf.objdump riscv64-unknown-elf-elf2hex --bit-width 64 --input $< --output $@ + extractFunctionRadix.sh $<.elf.objdump work/coremark.bare.riscv.objdump: work/coremark.bare.riscv riscv64-unknown-elf-objdump -D work/coremark.bare.riscv > work/coremark.bare.riscv.objdump diff --git a/pipelined/regression/Makefile b/pipelined/regression/Makefile index 8bcb403c7..9c66ce508 100644 --- a/pipelined/regression/Makefile +++ b/pipelined/regression/Makefile @@ -14,18 +14,21 @@ make all: make -C ../../tests/imperas-riscv-tests make -C ../../tests/imperas-riscv-tests XLEN=64 cd ../../tests/imperas-riscv-tests; exe2memfile.pl work/*/*.elf + cd ../../tests/imperas-riscv-tests; extractFunctionRadix.sh work/*/*.elf # Build riscv-arch-test 64 and 32-bit versions make -C ../../addins/riscv-arch-test make -C ../../addins/riscv-arch-test XLEN=32 cd ../../addins/riscv-arch-test; elf2hex.sh + cd ../../addins/riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf # extractFunctionRadix. *** # Build wally-riscv-arch-test make -C ../../tests/wally-riscv-arch-test/ make -C ../../tests/wally-riscv-arch-test/ XLEN=32 cd ../../tests/wally-riscv-arch-test; elf2hex.sh + cd ../../tests/wally-riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf # ***extractFunctionRadix # Only compile Imperas tests if they are installed locally. diff --git a/pipelined/testbench/common/function_radix.sv b/pipelined/testbench/common/functionName.sv similarity index 95% rename from pipelined/testbench/common/function_radix.sv rename to pipelined/testbench/common/functionName.sv index d8c0eaff5..45a11e8c5 100644 --- a/pipelined/testbench/common/function_radix.sv +++ b/pipelined/testbench/common/functionName.sv @@ -164,20 +164,11 @@ module FunctionName(reset, clk, ProgramAddrMapFile, ProgramLabelMapFile); end logic OrReducedAdr, AnyUnknown; - - assign OrReducedAdr = |ProgramAddrIndex; assign AnyUnknown = (OrReducedAdr === 1'bx) ? 1'b1 : 1'b0; - initial ProgramAddrIndexQ = '0; initial ProgramAddrIndex = '0; - always @(posedge clk) begin - if(reset) - ProgramAddrIndexQ <= '0; - if(AnyUnknown == 1'b0) - ProgramAddrIndexQ <= ProgramAddrIndex; - end - assign FunctionName = ProgramLabelMapMemory[AnyUnknown ? ProgramAddrIndexQ : ProgramAddrIndex]; + assign FunctionName = AnyUnknown ? "Unknown!" : ProgramLabelMapMemory[ProgramAddrIndex]; endmodule // function_radix From ee315bd62b6b226f1733eec0bc382f534463d009 Mon Sep 17 00:00:00 2001 From: David Harris Date: Wed, 2 Feb 2022 12:57:13 +0000 Subject: [PATCH 005/100] examples cleanup --- .gitignore | 1 + examples/C/fir/fir | Bin 42704 -> 0 bytes examples/verilog/xz/distributedmux.sv | 58 ++++++++++++++++++++++++++ examples/verilog/xz/xz.sv | 19 +++++++++ 4 files changed, 78 insertions(+) delete mode 100755 examples/C/fir/fir create mode 100644 examples/verilog/xz/distributedmux.sv create mode 100644 examples/verilog/xz/xz.sv diff --git a/.gitignore b/.gitignore index 047e9ed7a..1bacbd175 100644 --- a/.gitignore +++ b/.gitignore @@ -53,3 +53,4 @@ examples/asm/example/example examples/C/sum/sum examples/C/fir/fir + diff --git a/examples/C/fir/fir b/examples/C/fir/fir deleted file mode 100755 index 4266f84b9d0a88a91abae47f9b0cd045d6ec5889..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 42704 zcmeHw33OFOwszGyx#!%BB)NfvIbjk|!YCjDhDewcL=c4p3CT?ep);9;c}jo~a0H`* z8XKhFLmz*)k9Gimea?+@`|L@v+qTuHIJKf8P7LqcRkhE(xrx4B|6A){@2!`!);+ar z*WSDKRQpujdvmif=h7*bl!7lyd?ie;j&NCY!}aQ3)d(M&EHPHtxTlF!MN2r5sK;@y zGmvVZHo~}NIzqcdm`*obiH6U#W4mkgeA6-?@kHS@_Ch^gcdq8+R%3;jY=A6AbhI5F z=L2gN>40%cYCGyhxOXbY^nQ~VBEO}Y|W#1Ln?6rn9WS_Bu zM=jyY*_A9r-+$Tn{a>HhWeL%=$%?MoF=tp!;xI8+h~t02Ypz6#*IWxNUUO}^6lfNvfr8V{)~@i{e8|CSAL!Q-J;X) zzVpHRAAj`O-@p9gg<|#Yn-C}MN^7DD0WutUomCn2P@Jv~>ISOpjmq z`ewLy`&)VypW0Lxf6cy+_kZx>yH}pf{c_P~TR)q1X3qD8A20b}*}H?c-?wGNmeJQW z#mu&vu9J5R+B^KI{SCe->vlUQO0;yFcXsoX6cLC?5px=w;)Hd2!TPIZPQaP%`{$fH z8(%x!^vyS&0#T_VSa34xTKBD8%@@D5Yg6ON#a9*`D(X`DC-9Bf_g2Q)icTQ`nI~$$T-M2X+`2N=x zVeZ^DNIcp&M&7wA6|F1p+~%D2xp!`N6;;dG&Zk@E#h;vY!|4S#9M%&4bq zi)XLt7VuU1f<@z{p6f#2`4V)2JI>C0s9|a#<~k94<{nY}!sZKNMeyb5gjX8pTsLUy zemE)mHXie!vfKcW2VmaF!*kgm`Les1X=e`fe&sWAf4osr+&i5I_b ze83qegSSPCLH9Pe!G_m`{hTY-|L{QL)JYe{pUes_*emPL^Q`R=e}*Kt#QEM_zwnyF zO;b;wJ-zFJm8X@5yWij<#@{_^_m36(8!}?8+jAb3Gg@Z+^6%e0Mp1}lgMs++5JN?jF%x(BL+|v#HJ= zXhk)-mUw#Cf@|({(nR0%-$&7S!2QDhm#Kl3?x|q(*P_W4QNf0jLgo}B|ESlarD1B1 zV*$q!iJzo=j<29}+I8~m*`}>ie!J_NvuFDrI`({X;px9A_@MhTjrUErqIv|igqm~2 z!KTLgf0ZBI5=?dAKj+y?c6`$Lrrj+y=cFG5wnSq;n6cw?V2@$W)Xt*mSgJ@R>0`cm zTJCKqX=)sEkLq9a&69HPq$KCP&yPQG8g?ft>?p!+p6U||u4!_ysfxC#XJx0E9qN@ zJSyH-CGWCoiT{C;hs5u0y6d_JoFiiAzh~ZdpfR}OPnP|hPc--%++W;u>`Y6yLuWSC zwQT&;nFEcx3+{IB_VxWYU_W^sSmHk-?z(R9ZB1W)-D&4b;9hgYBEG|CTJCxd6ivGt z#BXm3>~iHBbhqBPsb$5HGlLs5+`i+#Inz>3G@I(Kxd!q7^_+JC*Vg}f*82}cf1fqr z?=wC+uqAVQa>L^dv$i^4_xa+rQ#W4=%fAk6o%HZXXUe4doRJOV;HB0=x7m$`oa#>Mx8parQzevqqmFt4~TB#oBOugqqoah4ZGms zrUu_Yc;=G>x3^fM&h{ZWfBonQdvvtaqx}aE?r$Fv{{zwgBtClUcZVs9w!>D=oskXq zWNoUGIq-(_kT}q|{e89Gx1BWWU5}4!*l(zazrpA8+0T7__V(vmwjVpwy}=b-?;;t0 ze}d#<+->lY_m}^GRSEhgM_BM~D%B9m*p~R$&onuxQ`YNIP0m3p=)P`ke%2NBId?rP za~j-0YJbsvE2=(lgLgUZNZ$wI?w@*yE zrfI9(y7ilVU+w?=#ZO*2_WPDYjcLhE+vE=WVOMM`x&CK6D*Fy|rThuZe{fkiSaSg0kURE|pi1Og-U|BKR(u$he>f%~8h}-n?sez z@`BQefrHLVUs73_5hME7gb86{ar`0!X6e>%+Cdok*C0$xi^OEABx!{C#6Hofw# z9wWFL#{RPx%lYrzz6YDmgxT4iuHB5?G@UPR^j`tJ|X=S)`ZJ( z>q!tE)M6_EVVCqreTr_UC|_wst&q~mK~GBm#nf27uTpG_r zdAB&-e|UXN9QyNUuvDZc&_smjG88wvw!BJY#1n25Ua{|*NpC~PlDXCvv?3;mTbbtX zulS1y9e1xGCX+T}7z}c_h&yD^-vafZL0@FaJbAt)7n0tVyxQKmdz~d0**l+HCt{xr zlS4A#ZQo$XB-@-q00G@6dsIJ4_h|yvPY|g~N~?v8c0{^%+6-{fC7V~k_3kX8)RwvK zOwpN)m3i^=MQ<8=8a+2C&Lvo09lt<~A&61~-imRFxC`d7D@05Tbqc6cv%Fly#*mKN z(G`AzM1Q;}_oe_PAAt~Db#!~yK8?E#^tm)tH!evN=At*@at!s{h8rbmz{bs7PXpo8 zY3b8x>C;Ox|m7GX+n7@4TRPBddzP$klIQk++Xq5jFyz(m_)&7Y8WdK_aD z!-#f5)PRIcMKsM2O_~9ud|EnJfcW77+V5l(U9$Ne5Xa&{C!Ut6{|?Nv=nttbt`WbH ze&Q$wcjh~|9g*%7^uGWo;`Zb24Di$Z`;AC^kzQT0`6NUP?E{KOWC~Hp+}N7xAbJC6 zf=MuBNRjwA8BJqL(GzjQaCiDSB!8O`*9$ORviWjIime$g?vrW6e;Bl}H6zd+NZm0} zLUaob7YAh$<)F;(794?&PUS_S`xq#*vKb>AASS6s?3X==EvW*~0K!LCiF;)y!inyC zKr@JtcWdIG%kDG)lD$mwE6B?@1mtOuWRR8D$rS2moTK1gWJFR~aRu<_lBi+FfzP&a zlQ&8g*61S9Bom2W32`q-8YJ#Mtp;6(zDC3B42j5#0)^M5&BDhQ7V?;f8PPyz)6U)3Q4)m0jGH#CQig>HP7V#YQWp1?1 zGNsJ3ciy{EqKN(i)l^<>?VJsNk{4Bu#$8EfKCjH&Ys{pgPM2&ZamuWPI>RiiZDrOX zHtXJv@h=-TZ|*+E9?UI=>6vrY%+rLJI)*eq5)fpQ5Wt#l1JYCjRWUZiRaWR_yKa9UxD#?mAbb=Rsvl4 zLLxcRA1%bl;_7PgLJD;gDo~SO?D9u|?_k8qgK{sa#XKnn-Rq&vOYuZ_C3T;quHu^z zi|$nFcBj#Pcr-c#iFW{XZ;eOyC5*nT%HHGXPJ0##$+zr27Xck3M`!48^(h3?47rZG zi_x7Xoj!WB=S1jUWyT(Y;OR2HmxH=|74Uh;7HcMe&6GAhgMe7f_dr}C-TysMN z=UJ<<1vqL(OdramfaxuRrII^X#=l0&3<3UcG+AE&P9o_|C9KNWOY6IrOie@pty18} zfWpcLPzj(5joLNnZ6-$HZZH|t59DTQ_oTs1RJdHL!5f)v)S2IAWT_dcHY0wdtTN1H z*I&;gt9WFZ(Ri;K(bS6!?h&R=XX>Aukw2Od&vouAX5_<=oKc?{968xP#K;iSX-%9z z1%{tb%R%^Qx%B-2?szo$Ui2@(a6c@FNBa;IMVb5PU?La(W4QJG6o_AUr$nbrA1-E#NT0P^c{x%TH2*{dIq=gAX8H$in!I7!hKT}IWA5s zKth*newpS$h1ifpT93d!>ypCdOR(iiqmiuC%fVcqN@HVQ!vea}RJR78Wuwn}AGiiE zS;qm~Nq~bCKS;n2zeEePsNan7UXF;EvG5kEeRhzM3i5Qwp)DjQIOiOPBb(S@L1 z4+4@`*l(j56~H3?1i&-^1D*gd;6=Lq3Af(Q8vDC~mQm6Hf-bqno)0nBbr@TS`QrVCKq4+A9Mwzoe%r{!Umc1SX4cgh z&a*aQ^g}cH4MuL(qx4LpS>p#9ag=k~DMDQ4hsAPnyd;LywdfQk)5A99Jb*zJ_>|S~ zbg<_$gpDO|5P_)|fS@Rbwk@ei0GB9G#AN^>7wE@~2}c@GbjfDYxyPeOo(26Vo>efi zMu{IinSv zC(=qW;Y`OeZ1WWpvGs+Y(`&>`wKJ5tV`*Q>R4;<(vUecYx*piyK(j7{vTsB06ZEj9 zr^VIF2=%rgm)UB8^-lpOEwBp#bfyKS7T0X_^txIP39~PQFgeXRVOA)})g_xLJG#=X z&Cm?{0!ojqT`4EpjON%E!~wq*V#d)4?_y^ch@-A1uoJmM#N7-l@Smi=H=DT?5=In2;a(~Da{o)E0579(tu zdpBqXG7V>ja~TvKVV7Y1Rg8n;elu+s>f!275=JTsa*;RMihrcye^2p?zEmKRlWE4@ z2x`0o8N*+bEKWYYHFIM2Igq=g++|Iztl+HuJmnAw;j0jXEAMXj1xB&V8 zMWZ5Wow{W6RTvsXZk4$rKw0srA;Ck*kZcEusvF-z&(WM0Bu)e@%$s7$LY8KLyE75> zL5ZYNuGIrgpU#j#d%|r1E~JCV8L0kO$hFCfe*xBB0Kg*GbtReOjZ9wNPVeZ>B%m{i z>rA4r7}XA$eE}gM#l9H8Y#oUJ9n-Eb0#%}77TNqPDfzD$f|8G-*#m0pIRGyJxR8!x z8F;M*mHh>PuSnP!61C8XqFi<|q7}~&ykn%KOeTP&&Id3Le+e##%*J1li!xyB_W?8k zz!jjHFwN9WPCeOt0yC<@@CeaUO^7Ei1Za0yXs8D$0b~U|AJo3^Y7nWN;%flZ-f(MI zP>rXp;(WZu(~2&$If(2Db0&wwM~;S9yM(C>-?AUoFvTzK zrwoqgP$aNeFYcW<$cY@}q*k)wWbS)B@c8gn4ozug(nVdzBNaQ7Pw=QSu5Cqu(rwh$ zZaAlFxUakRG~(ySE{MGfY1fUl*485E-I?7%LS#f!MxiNGt1@hIFrM1O13eJCaU3aC zYtHG~D7%_ST^NhF=u$C0Q&7@WB#<}#7iK`432n>5#86qd2vrBdv#6oMHp$C=*hi5F z&!=qVjo1s7>DawcHJz*?r*N&4FGt1B5R-SOgmV*Os$qqjTC+}5>7hy#-mmB^&r!u@ zMmTx8%DzjKrxoG!z%~g(E{(v@JTtkF&T73L$i0_^gldtqJqJLoaN{pmfk3sIqw|Wm z=Ngk#pw;|WP%L!X&C_9lj}9UFKA|@TE`R3miuvrp8n(w}_|FC>=L-*a)Zk)Pi~at= z_YS{T>~R_Q4%06b?w1Ds-n8+X#7@zp@00e({(a>hm(giv=Wd1{HpA%#-LKtY;R^Gn zNV;j|LYKiA1{L)Sxo}TAl#AMp zwVjkivG^>*Ag^pYWz5fcyC%G;$Fn*+hKkNeA1`wJ?*6lU0?c(8{FG7oE`z5G%HFtf zkIS7H4p_d+V6s8k8xbY$q=o1hOb@5y4u4c9^M%XmeQYKsA27`G<8vqm1y8ZaWzf&4 zT$e%CGHQ+Jy~oqJiy@>bSU*+;xR5R%wxbJ+lzIP{yy1|y-^e*=TJSQ+X3M+~*}Y7b z>oRyBqw-w_S%QiXcRX?M=r+T{ExgCz4l{(L&)ws4@8>*8uFK#)gK|Jf>yZ2Z6e4Ai zjWnd~%%9iZ{CT1ISpxZjKX3TVoQ9#i2bzsIl^G^G&SZJ62Qt}0R~nFyEHFC{VPVQd zrkw9G7)F^3%DqPXA)Y4JW$-0NmAMSEQp&r+6JdL6)FwcDd)tThNZYg`T_{S>Ak?!M%(savA(3qZYXgKF+B5-jr{J zPz=7ys6{S=CmA*0dnfyQMisdXVv|~jCzFtfm;tjGwa8`AGZKl?CJ0x1>OaErmw39) zVPQ*L1{WHXz16?R<<5!_9fRu_b+yaj$BbIyGWc1zH12%QbTHI_$^1_E5|_bljJn!o z@F=5}xD38!P)c3yd=R2z@LNU|xeR)4TO!f-=d=;Gh|H*5lKPZhKr-mX{%_8Yli|5u|w6Q>8e6P9_(- zql|hS4Br+t83$x4YoF`RGT>~}8p{2q%Rbg<;U((zW=@&!w#mt$%icN4^W?jnMJE^) zbQ$E}(X+kE$mKO+Wuc}<78H6Kvm4n@@DID{M9Asi8*mn@o9nI8#UT`f-lW6#cA1h`4EcT@M#FeHgm$_&9ydW+RGYp=? zgPy}DvPXh$wgK7kVB{p?wFVTsk{1$CoJ4PiWVNY0L9a4<4iC0N$z?PL_JMaz+8w#@15LR`2;z+D>J_B6W-}_~}Ng zh${#O%h`JvZ;gmHv<5F+R``*S;_P1M+9*dVkNoTS9~kdY?Se8BMrFhT>+^+J1V7Mq*V!8ClChuXY(+XHeFL zG?!tP7+oiI9NLj9@fHn#w0($=OE?4>aGE&|niCIGKFpMPE`wo|bOig}Q1Iv0*wFip ztQbCG&UYCc%&4Ht;ABS4cNyd&q%EDO(c>g=4?O62@1zD{4C=TD2DkX zO$0@_&4%ERY2_l9L8c3RFE&KZ^5r7o(X)KQ@n@o6vDjRf!4n2$;=peg4Cct@xeSgs zC{W_94(?@#2pOEyijaF(c!a{`S^6<2~O~(_LFI+ZhG4tlR z4BlZ-%K0J6g-p57WiX7A-Uco-6ib;h*JZGpQTgs}12Quua;?Gfm~nI@n*7iP7skGZ zXUTIJWQnwU*lLEaXR=(EL5~a>%%yN4SIJzL!9@lI{qPnb_ZEi;87vGZ42hf_qGXVT zV8_EC3qgn(jF89Obs>2SZU~>*<=&*c;jDib!Vs7!KEQ4i+%wCHf z3wKy6ymOzvM)X;Wy^6~?)-4ue7=TML$Vk>QoRM*?Yb!=ZvbLl~NMF_mE@hAz;CKev zVo)*2;*dYyH69SYV1Ubfl3>nYGZYRVP@1>O&zOE>a~=?uSO*c_ClPf-L*IsM@6z-A zvq#Tw%f0DnYJQ;MgNt^re+HI6(QuwL%5m#FZ4mrnl5l=wFfW_d;m@l0GbraxGs1tt zBOK;VFb`EH^3x^!$qBp3v<~};^%vV=qNgaIUbuBum@T+pkVoIwaD*Fap@9WLcuw}rz) z{FHFG5Z~cRVTfC&*$nM9t+USn`x$Ys0eI)^*q2}n)kfpbGopI|k?hzOn4~Y(u{WxrWH3Y# zLRe9@gN`4aPP(I+lc@u0qEZ8fBUKaW0ZpVCTnt#Ytr+l&8&MX`PU-}7XRXp8K~a$j z5`Q3OyEHL6@PIE7SoWXYS>g}3m1(|2Ryqy{;!8AYQ9llS9~m$ZKpao0FbV7y!fzl| z-~~t1ThW!6NNjKQOeDBGuJA`2)$I+()X2xpqnIfgnkR% zPBOCf4F6;9Hu=M7t8&}GDmvP0o-N1+U^G3!7WovT|FiEZGhl_bj%=|NtnJc1VN1#O zs8;rdyr=24-!zSeRmOQf45$C^3$y0ok=5F2|7biFmvGPg&$5(lgk8E0oR6>JQXMFu zrQO~W%%LY3)q%V4dK<6ac(hT~P<0`C8z6BrUa}N;YYlGp7Tlt4pxbS@`RFm{+XDz+ zct!Exr>cDff8&m_)eWU-upO-mug^}TMDw|heT}MfFj6sou5k#r0+h9~T zLg2xopHPEMNkp}Glu$e{V^k6K+ba|TBfR>dKjgG~_xT-rmEw!RGN36s=>+h_Y8|v< zc(SRof>PX-rI#r30BJ;z6`#>a+CK<-JdyG@ZjA21bJHVDKU`d6R3N0Oeu^krP}7qI z5hn#bFu_5KSwAMcEddL{u3?XMm?r@!wR(LC?6H8oRB28Yz)t8wvGC};2XRlwUayA0 zsW&IR4Q)SINDuEguuSyj$ zw#c;ykwuREyix;XNL#?Q|{YNi9cb+DK?YF(GG% zr;skLbk|<8?T_`o!*39n@CF?)q~Zy^9q{~s!CUDE1f&lNzM&ai`yQs5kW*17_tsu+b=VT=cn#fHGh0*6*H-o&_-Oc+P&L$hFk=^Y1c z@lx~s|H+-Vh5Mj9As~?(lnPdxA-H zR(l&3#u$~AyNwY#L;+YuQ`?5Zi>Wdbq>xD70REV!4A{5pDgy(6B79!+D?8QwP#Ha380uLB7N!o&(v-fS}(qWftGbGG88Wuzq&^ds|P z)$vYN`Fc7l$pxA4*+fLuo@O|1{5on>6#JOoj6+B3ou)hM6%7CCHGBPw92!h%=_B;*Jsl6N0JI*(qB z(wq|LZ8)08#yL&7dL{Y8!O9@Jq+P2f3YD?2*b{PB=em&Gqz{BvuP$-UPGj!BbwZY(5YC zgzj)eHAykkdqtFsr>YV(?T?S7v9H5;_`L}VHgD6|J3pb7{rY;7HF_&9Uys`l?!+72_yJ+So2oq$PF+6fNqbC8wb=^JH*QG&P&H5wu?P7Cdaz zqHBRfa7bpnS+FWyJ+~T}euR`9(%H0TM-@7B4WLQM*^w09v6e)rWlYC2l7ESk2$yCm zTM&A^4m)vBC~cD=60-FsjAirY!Q08HP?K;Mlw^!2BMEAe40=DG2$U=WSXLWL;LQWN z1h=jilp^E;VxTGhfoD$)v{7L))hTSZ(`o}?oXpt<<;^<50Qr;`g<8Lapn6Q(p1Mv))rgr_8ug`^oh704-F3`|6c zVX)#hG@R%^9Tlia!o95xzf(X@A({!n(q~7?3qp8#;Wr2sPEv%@mMFZmrBAO&W0OvL zJz^c`_XT70^T)iQh$K+UhBhI(-bR~<26$pbmc&P!zLz#$)q-mLDAlsMa8yCNlGHGw zW1uOlc8rZ=2^m0WYN`CtOyE+5{U72&UeYIV^xi9ffN8%0A@l$z4`a5r&wcWY*$40< zP{9WS)P=mV%8HV7b)%mvttqaZTU$_DBNh~|swxiF78eQnyQDR}@dEt_=RHxHg=xw7g(R5qL||hg>!yeaMoE$|3k^;o>1> zm6cUPic0Z|Po;&+Ym0|e71Y)iS69@Cnx&Q1wdtN(q^UBXsB(EBewkku zU0PXQJQTWDm(~o$E4X6F%7U`8)kBL{70}NX*9^UQDE$m5SvF~I`iRVtV+1Cxpr0wM zg1W^uHThN5#lg~=(#i^fA6TM+{QSb@rDe6H75U}G<-w}epu~*y>r^$RYl`#ni%iRF zmA~>!D@tocQE5qyC|goiP$HHVR1}qgq8dNI1^QvFMIl0>xT1jUD5x$8ij}2BwMz*c zCaMaGf&`5aHEOaY`DzY5^U~r~qNKQXIhmcGUsAC=n7^VRzYN|JD=Vvu1Zk09TUH}# z3tMG)~NjaG=7 z)ipu*RMb{3t*oidFJ1+kYnN6R7Zl}JRYIrYYBL0$<5=mX@K>OTrr-Y64e7YW+V?H?+JU zxU{sQ_`f0oiu`BnWu=8fOM=064cFz!vp>J6cuB$XGNkyB3$$h6}tGl82r7n?1ba??0Rck z)OP1)e^bmYan_$>p2~hc`-SY^Xa6DlkJ$+#yWM%NfUKo>@uzwGTbtPlZ(H-Vv|AHy zOWc)QZ;{m9DYtjIBh8xMO7@?!U(0?y`)KwX*?;!tA^k~iiuqbo0${rO;YIj92ET%k zxGqhoS`tG_T3L#e`T1+l{F_yb=dUgEFE%m$O(PdS7No8oxYK-}8oXblMRr1Bc0IlT z!F(rvL{H0n=W4#;o03pvU8)q-2S8M1z1mAt)%Zj?v2cwv3=>^AcFPpq>-beC>RoKv4L|EbB(Ln!N=V!lts zbd0~k;HMaV`W_?sk-zVCpTTD*9F$XTiJQ_CGmSD&r6B!G7|WSvzLv$mI7;dwAPhf8ji~i1OB7YrIcnneRll z#yetKyLeaZZ5Qts{3F$^Q5<5vFIuneAP+pdW2)d)fHO`t~jxzt7&@WrwPxH%yU@5?`G45C*`n3F49>UAB&I zDzNR*_xut3K;SKSBC<|WT_SvBouhT^;JllKmaZt>h)d8)d{2Yp%bvQz;Q4pQ8D9uI z$>A%@0JIj9F>hoYtupw?^|I37BkS3_1|L~h>VQwgH%hXGwf5W1hCXuL?K1etdh&DN z`#{ggx_rRUN7mhg1|L~x4jFvp^WX`CkE|!p0go@q;oe&RR}6h*efyKbht@SeSO0A2 zBkR&T1|L~xKQj2pdj2KwiHQ6Bi1que!AGv^(+Y2itcbegz@+x%1Qgz)!8)*dR|fEu zCy~#;ZU!Iu937zKM2p`<=sC>LN7nN(z*Br9pMR4Ly-3&k@b~3KFu1B}=KPs6GAjk0 zaPswDTRU>lt|!aH4=gM)YY!qKO!vaq0xPaXaA zvBjLx!jE41B%@Df-mw_s)Ugk{#R8mjz((gWbwoA?1EX%}&}9xyw9oer6Ri(Y>PXb; zWE47yKq{SoS{+>IEGjZ( zQ)YD~wIpVE=2&66A`_=TFsOS`apCe3IATeq$kcE_VPSRg3htFv2I(9LdNW#GP*H-j zo9R|pl(ZTyql17M!>kMv8g50O4kkzo=t5`lOq}ZV0pF9jq#9>KFU9{$KzDE>RZ(NT zt4=|t06$HGVOLZbOd#!Fhy*(YeewEB*WGCb%}o{qrp-j#YJRg`#4t@Tfc}a*?7|9f zb&WzVoS)AH1IB1F`WGYNS0sNn28kru5b^#ciw-lm{JQb(+~;;WMu>leq2PVaG{b+n z$EgA4W3}c3O)%@l`=Pyte>V>pIm~amVle>1vH$tGI`%?Mk**u>9?4H>r1(u>xehb` zWRFt=SrPr8q0hF24>5mB#1p!5`u8Lfq_@RzGD9OFaQ;+ zc%0ij!+!xIOv`+XJ_#TaM`ODU|Fj7EBlDklBbFGki??^HM(p+J7GEzGz42oHG>uj} h(}*e5NF8nba7~L)E~2YW1nu!Zzen@i5eyMs@gFgVwb%dv diff --git a/examples/verilog/xz/distributedmux.sv b/examples/verilog/xz/distributedmux.sv new file mode 100644 index 000000000..060705ecf --- /dev/null +++ b/examples/verilog/xz/distributedmux.sv @@ -0,0 +1,58 @@ +// xz.sv +// David_Harris@hmc.edu 30 January 2022 +// Demonstrate impact of x and z. + +// load with vsim xz.sv + +module testbench(); + logic [3:0] d0, d1, d2; + logic s0, s1, s2; + tri [3:0] y; + + distributedmux dut(.d0, .d1, .d2, .s0, .s1, .s2, .y); + + initial begin + d0 = 4'b0000; d1 = 4'b0101; // d2 unknown (xxxx) + s0 = 0; s1 = 0; s2 = 0; + #10; // y should be floating + s0 = 1; + #10; //y should be driven to 0000 + s0 = 0; s1 = 1; + #10; // y should be driven to 0101 + s0 = 1; + #10; // y should be driven to 0x0x because of contention on bits 0 and 2 + s0 = 0; s1 = 0; s2 = 1; + #10; // y should be driven to unknown because d2 is unknown + end +endmodule + +module tristate #(parameter WIDTH=32) ( + input logic [WIDTH-1:0] a, + input logic en, + output logic [WIDTH-1:0] y); + + assign y = en ? a : 'z; +endmodule + +module distributedmux( + input logic [3:0] d0, d1, d2, + input logic s0, s1, s2, + output tri [3:0] y); + + tristate #(4) t0(d0, s0, y); + tristate #(4) t1(d1, s1, y); + tristate #(4) t2(d2, s2, y); +endmodule + +module gpio #(parameter WIDTH=16) ( + input logic [WIDTH-1:0] GPIOOutVal, GPIOEn, + output logic [WIDTH-1:0] GPIOInVal, + inout tri [WIDTH-1:0] GPIOPin); + + assign GPIOInVal = GPIOPin; + tristate #(1) ts[WIDTH-1:0](GPIOOutVal, GPIOEn, GPIOPin); +endmodule + +module silly(output logic [128:0] y); + assign y = 'bz; +endmodule \ No newline at end of file diff --git a/examples/verilog/xz/xz.sv b/examples/verilog/xz/xz.sv new file mode 100644 index 000000000..d9837e75c --- /dev/null +++ b/examples/verilog/xz/xz.sv @@ -0,0 +1,19 @@ +// xz.sv +// David_Harris@hmc.edu 30 January 2022 +// Demonstrate impact of x and z. + +// load with vsim xz.sv + +module xz( + output logic w, x, y, z); + + logic p, q, r; + + // let p be undriven + assign q = 1'bz; + assign r = 1'bx; + + assign w = q & 1'b1; + assign x = q | 1'b1; +endmodule + From ae36931bb26c207b6ae08c5cc6ee75730ed7ff75 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Wed, 2 Feb 2022 09:53:51 -0600 Subject: [PATCH 006/100] Added correct stop condition for coremark. --- pipelined/testbench/testbench.sv | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index 65a760cb5..60413afe2 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -280,6 +280,10 @@ logic [3:0] dummy; else $display("FAIL: %d test programs had errors", totalerrors); $stop; end + if (TEST == "coremark" & dut.core.priv.priv.ecallM) begin + $display("Benchmark: coremark is done."); + $stop; + end else begin //pathname = tvpaths[tests[0]]; memfilename = {pathname, tests[test], ".elf.memfile"}; From 88a408b3e68b43a550346d2e08dda223a11b4816 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Wed, 2 Feb 2022 10:02:56 -0600 Subject: [PATCH 007/100] Added helpful signals to wavefile. Makefile for tests now creates the function address to name mapping files. The function name and test name are included in the wave file. --- pipelined/regression/Makefile | 13 +++++++------ pipelined/regression/wave.do | 8 +++----- 2 files changed, 10 insertions(+), 11 deletions(-) diff --git a/pipelined/regression/Makefile b/pipelined/regression/Makefile index 9c66ce508..66c48e1a5 100644 --- a/pipelined/regression/Makefile +++ b/pipelined/regression/Makefile @@ -14,29 +14,30 @@ make all: make -C ../../tests/imperas-riscv-tests make -C ../../tests/imperas-riscv-tests XLEN=64 cd ../../tests/imperas-riscv-tests; exe2memfile.pl work/*/*.elf - cd ../../tests/imperas-riscv-tests; extractFunctionRadix.sh work/*/*.elf + cd ../../tests/imperas-riscv-tests; extractFunctionRadix.sh work/*/*.elf.objdump # Build riscv-arch-test 64 and 32-bit versions make -C ../../addins/riscv-arch-test make -C ../../addins/riscv-arch-test XLEN=32 cd ../../addins/riscv-arch-test; elf2hex.sh - cd ../../addins/riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf + cd ../../addins/riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf.objdump # extractFunctionRadix. *** # Build wally-riscv-arch-test make -C ../../tests/wally-riscv-arch-test/ make -C ../../tests/wally-riscv-arch-test/ XLEN=32 cd ../../tests/wally-riscv-arch-test; elf2hex.sh - cd ../../tests/wally-riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf + cd ../../tests/wally-riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf.objdump # ***extractFunctionRadix # Only compile Imperas tests if they are installed locally. # They are usually a symlink to $RISCV/imperas-riscv-tests and only # get compiled there manually during installation - # make -C ../../addins/imperas-riscv-tests - # make -C ../../addins/imperas-riscv-tests XLEN=64 - # cd ../../addins/imperas-riscv-tests; elf2hex.sh + #make -C ../../addins/imperas-riscv-tests + #make -C ../../addins/imperas-riscv-tests XLEN=64 + #cd ../../addins/imperas-riscv-tests; elf2hex.sh + #cd ../../addins/imperas-riscv-tests; extractFunctionRadix.sh work/*/*/*.elf.objdump # Link Linux test vectors (fix this later***) #cd ../../tests/linux-testgen/linux-testvectors/;./tvLinker.sh diff --git a/pipelined/regression/wave.do b/pipelined/regression/wave.do index 067e483c5..19e5b830f 100644 --- a/pipelined/regression/wave.do +++ b/pipelined/regression/wave.do @@ -3,6 +3,7 @@ quietly WaveActivateNextPane {} 0 add wave -noupdate /testbench/clk add wave -noupdate /testbench/reset add wave -noupdate /testbench/reset_ext +add wave -noupdate /testbench/memfilename add wave -noupdate /testbench/dut/core/SATP_REGW add wave -noupdate -group HDU -expand -group hazards /testbench/dut/core/hzu/BPPredWrongE add wave -noupdate -group HDU -expand -group hazards /testbench/dut/core/hzu/CSRWritePendingDEM @@ -474,11 +475,8 @@ add wave -noupdate -expand -group {Performance Counters} -expand -group ICACHE - add wave -noupdate -expand -group {Performance Counters} -expand -group ICACHE -label {ICACHE MISS} -radix unsigned {/testbench/dut/core/priv/priv/csr/counters/counters/HPMCOUNTER_REGW[14]} add wave -noupdate -expand -group {Performance Counters} -expand -group DCACHE -label {DCACHE ACCESS} -radix unsigned {/testbench/dut/core/priv/priv/csr/counters/counters/HPMCOUNTER_REGW[11]} add wave -noupdate -expand -group {Performance Counters} -expand -group DCACHE -label {DCACHE MISS} -radix unsigned {/testbench/dut/core/priv/priv/csr/counters/counters/HPMCOUNTER_REGW[12]} -add wave -noupdate /testbench/FunctionName/FunctionName/AnyUnknown -add wave -noupdate /testbench/FunctionName/FunctionName/OrReducedAdr -add wave -noupdate /testbench/FunctionName/FunctionName/ProgramAddrIndex TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 7} {3051 ns} 0} {{Cursor 5} {49445 ns} 1} {{Cursor 3} {235459 ns} 1} {{Cursor 4} {217231 ns} 1} +WaveRestoreCursors {{Cursor 7} {6451242 ns} 0} {{Cursor 5} {49445 ns} 1} {{Cursor 3} {235459 ns} 1} {{Cursor 4} {217231 ns} 1} quietly wave cursor active 1 configure wave -namecolwidth 250 configure wave -valuecolwidth 314 @@ -494,4 +492,4 @@ configure wave -griddelta 40 configure wave -timeline 0 configure wave -timelineunits ns update -WaveRestoreZoom {0 ns} {111637 ns} +WaveRestoreZoom {593782 ns} {7438712 ns} From 761dae72fe94d05243bcd3186f3d6f7e85369ada Mon Sep 17 00:00:00 2001 From: David Harris Date: Wed, 2 Feb 2022 16:35:52 +0000 Subject: [PATCH 008/100] Config file & wally-riscv-arch-test cleanup --- pipelined/config/rv32e/BTBPredictor.txt | 1024 ----------------- pipelined/config/rv32e/twoBitPredictor.txt | 1024 ----------------- pipelined/config/rv32e/wally-config.vh | 134 --- pipelined/config/rv32etim/wally-config.vh | 18 +- pipelined/config/rv32gc/wally-config.vh | 2 +- pipelined/config/rv32ic/wally-config.vh | 6 +- pipelined/config/rv64ic/wally-config.vh | 12 +- pipelined/regression/regression-wally | 2 +- tests/wally-riscv-arch-test/CHANGELOG.md | 413 ------- tests/wally-riscv-arch-test/CONTRIBUTION.md | 66 -- tests/wally-riscv-arch-test/README.md | 74 -- tests/wally-riscv-arch-test/doc/.gitignore | 6 - tests/wally-riscv-arch-test/doc/ChangeLog | 147 --- .../wally-riscv-arch-test/doc/MIGRATION.adoc | 88 -- tests/wally-riscv-arch-test/doc/Makefile | 82 -- tests/wally-riscv-arch-test/doc/README.adoc | 460 -------- .../wally-riscv-arch-test/doc/custom.wordlist | 73 -- .../wally-riscv-arch-test/doc/file-struct.jpg | Bin 656873 -> 0 bytes .../riscv-ovpsim/README.md | 43 - .../riscv-ovpsim/riscvOVPsim.jpg | Bin 82365 -> 0 bytes .../spec/TestFormatSpec.adoc | 606 ---------- tests/wally-riscv-arch-test/spec/testpool.jpg | Bin 75124 -> 0 bytes 22 files changed, 20 insertions(+), 4260 deletions(-) delete mode 100644 pipelined/config/rv32e/BTBPredictor.txt delete mode 100644 pipelined/config/rv32e/twoBitPredictor.txt delete mode 100644 pipelined/config/rv32e/wally-config.vh delete mode 100644 tests/wally-riscv-arch-test/CHANGELOG.md delete mode 100644 tests/wally-riscv-arch-test/CONTRIBUTION.md delete mode 100644 tests/wally-riscv-arch-test/README.md delete mode 100644 tests/wally-riscv-arch-test/doc/.gitignore delete mode 100644 tests/wally-riscv-arch-test/doc/ChangeLog delete mode 100644 tests/wally-riscv-arch-test/doc/MIGRATION.adoc delete mode 100644 tests/wally-riscv-arch-test/doc/Makefile delete mode 100644 tests/wally-riscv-arch-test/doc/README.adoc delete mode 100644 tests/wally-riscv-arch-test/doc/custom.wordlist delete mode 100644 tests/wally-riscv-arch-test/doc/file-struct.jpg delete mode 100644 tests/wally-riscv-arch-test/riscv-ovpsim/README.md delete mode 100644 tests/wally-riscv-arch-test/riscv-ovpsim/riscvOVPsim.jpg delete mode 100644 tests/wally-riscv-arch-test/spec/TestFormatSpec.adoc delete mode 100644 tests/wally-riscv-arch-test/spec/testpool.jpg diff --git a/pipelined/config/rv32e/BTBPredictor.txt b/pipelined/config/rv32e/BTBPredictor.txt deleted file mode 100644 index fd3eedffb..000000000 --- a/pipelined/config/rv32e/BTBPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 diff --git a/pipelined/config/rv32e/twoBitPredictor.txt b/pipelined/config/rv32e/twoBitPredictor.txt deleted file mode 100644 index ff57bd473..000000000 --- a/pipelined/config/rv32e/twoBitPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh deleted file mode 100644 index e6f26a101..000000000 --- a/pipelined/config/rv32e/wally-config.vh +++ /dev/null @@ -1,134 +0,0 @@ -////////////////////////////////////////// -// wally-config.vh -// -// Written: David_Harris@hmc.edu 4 January 2021 -// Modified: -// -// Purpose: Specify which features are configured -// Macros to determine which modes are supported based on MISA -// -// A component of the Wally configurable RISC-V project. -// -// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University -// -// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation -// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, -// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software -// is furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES -// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS -// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT -// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. -/////////////////////////////////////////// - -// include shared configuration -`include "wally-shared.vh" - -`define FPGA 0 -`define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 0 -`define DESIGN_COMPILER 0 - -// RV32 or RV64: XLEN = 32 or 64 -`define XLEN 32 - -// IEEE 754 compliance -`define IEEE754 0 - -// E -`define MISA (32'h00000010) -`define ZICSR_SUPPORTED 1 -`define ZIFENCEI_SUPPORTED 0 -`define COUNTERS 32 -`define ZICOUNTERS_SUPPORTED 0 - -// Microarchitectural Features -`define UARCH_PIPELINED 1 -`define UARCH_SUPERSCALR 0 -`define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 0 -`define MEM_DCACHE 0 -`define MEM_IROM 0 -`define MEM_ICACHE 0 -`define MEM_VIRTMEM 0 -`define VECTORED_INTERRUPTS_SUPPORTED 1 - -// TLB configuration. Entries should be a power of 2 -`define ITLB_ENTRIES 0 -`define DTLB_ENTRIES 0 - -// Cache configuration. Sizes should be a power of two -// typical configuration 4 ways, 4096 bytes per way, 256 bit or more lines -`define DCACHE_NUMWAYS 4 -`define DCACHE_WAYSIZEINBYTES 4096 -`define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 -`define ICACHE_NUMWAYS 4 -`define ICACHE_WAYSIZEINBYTES 4096 -`define ICACHE_LINELENINBITS 256 - -// Integer Divider Configuration -// DIV_BITSPERCYCLE must be 1, 2, or 4 -`define DIV_BITSPERCYCLE 4 - -// Legal number of PMP entries are 0, 16, or 64 -`define PMP_ENTRIES 0 - -// Address space -`define RESET_VECTOR 32'h80000000 - -// Peripheral Addresses -// Peripheral memory space extends from BASE to BASE+RANGE -// Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits -`define BOOTROM_SUPPORTED 1'b1 -`define BOOTROM_BASE 34'h00001000 -`define BOOTROM_RANGE 34'h00000FFF -`define RAM_SUPPORTED 1'b1 -`define RAM_BASE 34'h80000000 -`define RAM_RANGE 34'h07FFFFFF -`define EXT_MEM_SUPPORTED 1'b0 -`define EXT_MEM_BASE 34'h80000000 -`define EXT_MEM_RANGE 34'h07FFFFFF -`define CLINT_SUPPORTED 1'b1 -`define CLINT_BASE 34'h02000000 -`define CLINT_RANGE 34'h0000FFFF -`define GPIO_SUPPORTED 1'b1 -`define GPIO_BASE 34'h10060000 -`define GPIO_RANGE 34'h000000FF -`define UART_SUPPORTED 1'b1 -`define UART_BASE 34'h10000000 -`define UART_RANGE 34'h00000007 -`define PLIC_SUPPORTED 1'b1 -`define PLIC_BASE 34'h0C000000 -`define PLIC_RANGE 34'h03FFFFFF -`define SDC_SUPPORTED 1'b0 -`define SDC_BASE 34'h00012100 -`define SDC_RANGE 34'h0000001F - -// Bus Interface width -`define AHBW 32 - -// Test modes - -// Tie GPIO outputs back to inputs -`define GPIO_LOOPBACK_TEST 1 - -// Hardware configuration -`define UART_PRESCALE 1 - -// Interrupt configuration -`define PLIC_NUM_SRC 4 -// comment out the following if >=32 sources -`define PLIC_NUM_SRC_LT_32 -`define PLIC_GPIO_ID 3 -`define PLIC_UART_ID 4 - -`define TWO_BIT_PRELOAD "../config/rv32ic/twoBitPredictor.txt" -`define BTB_PRELOAD "../config/rv32ic/BTBPredictor.txt" -`define BPRED_ENABLED 1 -`define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE -`define TESTSBP 0 diff --git a/pipelined/config/rv32etim/wally-config.vh b/pipelined/config/rv32etim/wally-config.vh index 58e31d2cd..4dca7f712 100644 --- a/pipelined/config/rv32etim/wally-config.vh +++ b/pipelined/config/rv32etim/wally-config.vh @@ -39,11 +39,11 @@ // IEEE 754 compliance `define IEEE754 0 -// E, M, C -`define MISA (32'h00001014) -`define ZICSR_SUPPORTED 1 +// E +`define MISA (32'h00000010) +`define ZICSR_SUPPORTED 0 `define ZIFENCEI_SUPPORTED 0 -`define COUNTERS 32 +`define COUNTERS 0 `define ZICOUNTERS_SUPPORTED 0 // Microarchitectural Features @@ -55,7 +55,7 @@ `define MEM_IROM 1 `define MEM_ICACHE 0 `define MEM_VIRTMEM 0 -`define VECTORED_INTERRUPTS_SUPPORTED 1 +`define VECTORED_INTERRUPTS_SUPPORTED 0 // TLB configuration. Entries should be a power of 2 `define ITLB_ENTRIES 0 @@ -93,16 +93,16 @@ `define EXT_MEM_SUPPORTED 1'b0 `define EXT_MEM_BASE 34'h80000000 `define EXT_MEM_RANGE 34'h07FFFFFF -`define CLINT_SUPPORTED 1'b1 +`define CLINT_SUPPORTED 1'b0 `define CLINT_BASE 34'h02000000 `define CLINT_RANGE 34'h0000FFFF -`define GPIO_SUPPORTED 1'b1 +`define GPIO_SUPPORTED 1'b0 `define GPIO_BASE 34'h10060000 `define GPIO_RANGE 34'h000000FF -`define UART_SUPPORTED 1'b1 +`define UART_SUPPORTED 1'b0 `define UART_BASE 34'h10000000 `define UART_RANGE 34'h00000007 -`define PLIC_SUPPORTED 1'b1 +`define PLIC_SUPPORTED 1'b0 `define PLIC_BASE 34'h0C000000 `define PLIC_RANGE 34'h03FFFFFF `define SDC_SUPPORTED 1'b0 diff --git a/pipelined/config/rv32gc/wally-config.vh b/pipelined/config/rv32gc/wally-config.vh index 1f3f3c0a7..6e8ace29a 100644 --- a/pipelined/config/rv32gc/wally-config.vh +++ b/pipelined/config/rv32gc/wally-config.vh @@ -75,7 +75,7 @@ `define DIV_BITSPERCYCLE 4 // Legal number of PMP entries are 0, 16, or 64 -`define PMP_ENTRIES 16 +`define PMP_ENTRIES 64 // Address space `define RESET_VECTOR 32'h80000000 diff --git a/pipelined/config/rv32ic/wally-config.vh b/pipelined/config/rv32ic/wally-config.vh index 21c307a80..1244ad213 100644 --- a/pipelined/config/rv32ic/wally-config.vh +++ b/pipelined/config/rv32ic/wally-config.vh @@ -95,13 +95,13 @@ `define CLINT_SUPPORTED 1'b1 `define CLINT_BASE 34'h02000000 `define CLINT_RANGE 34'h0000FFFF -`define GPIO_SUPPORTED 1'b1 +`define GPIO_SUPPORTED 1'b0 `define GPIO_BASE 34'h10060000 `define GPIO_RANGE 34'h000000FF -`define UART_SUPPORTED 1'b1 +`define UART_SUPPORTED 1'b0 `define UART_BASE 34'h10000000 `define UART_RANGE 34'h00000007 -`define PLIC_SUPPORTED 1'b1 +`define PLIC_SUPPORTED 1'b0 `define PLIC_BASE 34'h0C000000 `define PLIC_RANGE 34'h03FFFFFF `define SDC_SUPPORTED 1'b0 diff --git a/pipelined/config/rv64ic/wally-config.vh b/pipelined/config/rv64ic/wally-config.vh index a532fc04b..f570faab1 100644 --- a/pipelined/config/rv64ic/wally-config.vh +++ b/pipelined/config/rv64ic/wally-config.vh @@ -42,9 +42,9 @@ // MISA RISC-V configuration per specification `define MISA (32'h00000104) `define ZICSR_SUPPORTED 1 -`define ZIFENCEI_SUPPORTED 1 +`define ZIFENCEI_SUPPORTED 0 `define COUNTERS 32 -`define ZICOUNTERS_SUPPORTED 1 +`define ZICOUNTERS_SUPPORTED 0 // Microarchitectural Features `define UARCH_PIPELINED 1 @@ -54,12 +54,12 @@ `define MEM_DCACHE 1 `define MEM_IROM 0 `define MEM_ICACHE 1 -`define MEM_VIRTMEM 1 +`define MEM_VIRTMEM 0 `define VECTORED_INTERRUPTS_SUPPORTED 1 // TLB configuration. Entries should be a power of 2 -`define ITLB_ENTRIES 32 -`define DTLB_ENTRIES 32 +`define ITLB_ENTRIES 0 +`define DTLB_ENTRIES 0 // Cache configuration. Sizes should be a power of two // typical configuration 4 ways, 4096 bytes per way, 256 bit or more lines @@ -76,7 +76,7 @@ `define DIV_BITSPERCYCLE 4 // Legal number of PMP entries are 0, 16, or 64 -`define PMP_ENTRIES 64 +`define PMP_ENTRIES 0 // Address space `define RESET_VECTOR 64'h0000000080000000 diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index fb4aa4c76..1de3bae3e 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -57,7 +57,7 @@ def getBuildrootTC(short): tc = TestCase( name="buildroot-checkpoint", - variant="rv6gc", + variant="rv64gc", cmd="vsim > {} -c < `.align` in `riscv-target/example_target/model_test.h`. - -## [2.4.7] - 2021-10-01 - - Fix for the issue #206 - -## [2.4.6] - 2021-08-02 - - Added rv32e tests in riscv-test-suite - -## [2.4.5] - 2021-07-29 - - fix for issue #195 - -## [2.4.4] - 2021-07-19 - - Annotating tags during releases - -## [2.4.3] - 2021-05-20 - - added new 64-bit K crypto tests as per the test-plan presented by the scalar crypto task group - [here](https://github.com/riscv/riscv-crypto/blob/d89dfee25780f79c162da4eb69cd9076dd701c88/tests/compliance/test-plan-scalar.adoc) - - added new 32-bit K crypto tests as per the above mentioned test-plan. - - added coverage and data propagation reports for the above tests. - - updated README in riscv-test-suite - - added missing semi-colon in example target Makefile.include files - -## [2.4.2] - 2021-04-20 - - changed all occurances of SPTBR to the new name SATP - -## [2.4.1] - 2021-04-01 - - updated issue number in TestFormatSpec to be consistent with doc history - - adding a contribution guideline - - updated comment on usage of RISCV_DEVICE in Makefile.include - - updated licenses that are currently used by tests - - renamed K tests to K_unratified - - updated ci to build and upload pdf for testformatspec - -## [2.4.0] - 2021-03-26 -2021-03-26 Duncan Graham - - Added new K Crypto (scalar) (0.8.1) tests from Imperas - -## [2.3.1] - 2021-03-20 -### Changed - - Compliance Task Group changed to Architecture Test SIG in all docs and comments - - replacing old riscv-compliance link with new riscv-arch-test links - - fixed ci for release -### Removed - - spec/TestFormatSpec.pdf is removed since its old. Keeping only adoc file - - removing obsolete and commented out portions from doc/README - -## [2.3] - 2021-03-11 -### Added - - updated maintainers list in root-level readme - - updated the links to riscof, isac and ctg repos and docs in root-level readme - - adding CI to update versions automatically -### Removed - - replaced spike target with a REAMDE pointing to riscv-isa-sim/arch_test_target/README.md - -## [2.2] - 2021-01-28 - 2021-01-22 Tobias Wölfel - * Add missing base ISA check in riscv-test-suite - - 2021-01-20 Xiretza - * Deduplicate makefiles in riscv-test-suite - * Makefile: Fix ordering of simulate and verify targets to allow multi-job runs (make -j) - * Makefile.include: Document RISCV_TEST - * Makefile: use $(TARGETDIR) variable for postverify target instead of hard-coded path - - 2021-01-16 S Pawan Kumar - * Fixed NARGS macro defintion to work correctly. - - 2021-01-15 Xiretza - * style: Add a missing space to the "OK" message in verify.sh - - 2020-12-17 Neel Gala - * remove env folder symlinks from all riscv-test-suite src folders - * fixed assertion macros for ovpsim - * renamed RVTEST_ASSERT to RVMODEL_ASSERT in the Makefile and ovpsim macros - * tests updated with right set of "correctvals" - - 2020-11-24 Neel Gala - * added MIGRATION.adoc in doc directory to indicate how old framework targets can work with - changes made as part of this PR - * updated doc/README.adoc to avoid the word "compliance" and updated the section on porting a new - target to the framework. - * Added an example_target directory to host dummy files which can be used as a starting point for - porting targets. This was provided by MarcKarasek. - * migrated/ported existing targets (except codasip and sifive-formal) to the new framework - changes. - * in riscv-test-env/p/riscv_test.h changed names of RVTEST_[CODE/DATA]_[BEGIN/END] to - RVTEST_[CODE/DATA]_[BEGIN/END]_OLD respectively to avoid conflicts with the new framework macros. - * in riscv-test-env/p/riscv_test.h re-strutucture RVTEST_DATA_BEGIN_OLD/END to ensure that all - target specific data contents are introduced in RVTEST_DATA_END after the signature. - * added new file riscv-test-suite/env/arch_test.h which contains the macros used by the new set of - tests. A symlink to this in the riscv-test-env directory is also created. The arch_test also - includes aliases for the old macros. - * encoding.h moved to riscv-test-suite/env and a symlink to this file exists in riscv-test-env. - This was done to ensure that the arch_test.h and encoding.h are not to be modified by the - targets - * Added riscv-test-stats which includes coverage and data propagation reports for the tests - available in the riscv-test-suite directory. - * upddted the directory structure of the riscv-test-suite as per definition found in the - TestFormatSpec document. - * new set of tests with better coverage for rv[32/64][I,M,C, Zifencei] added. Almost all tests - were generated using the open source riscv_ctg tool. A few tests like fence, fencei, ebreak, - ecall, etc were handwritten/modified to follow the new macro conventions. - * Updated TestFormatSpec to avoid the word compliance and also updated the definitions of macros - and signatures - * created a root-level Makefile.include to decouple the Makefile and target specific settings. - * Added riscv-target and Makefile.include to the .gitignore file to stop tracking target specific - changes. - * Added special targets for compile(build), simulate(run) and verify in the Makefiles of each - test-suite. - * the existing riscv-targets have been either updated for the new framework or migrated to the - framework. - - 2020-10-15 Simon Davidmann - * riscvOVPsim enhanced and moved to its own respository: github.com/riscv-ovpsim - - 2020-04-24 Allen Baum - * fixed the I-SB-01.S and I-SH-01.S tests and associated reference signatures to account - of tests with negative offsets (which causes stores outside the signature area) - - 2020-03-19 Neel Gala - * restructuring the riscv-test-suite to indicate clearly what is deprecated, wip and usable - tests. - * based on the above fixed the directory structure for riscv-targets where-ever applicable. Only - tested riscvOVPsim and spike. - * fixed script bugs for spike as well - * renamed rv32i/I-IO.S to rv32i/I-IO-01.S along with necessary changes to the reference files - and Makefrag - * renamed mbadaddr csr to mtval as raised in issue #31 - * C.SWSP-01.S test updated to fix issue #37 - - 2020-03-18 Neel Gala - * fixed doc/README.adoc with correct version to pass the sanity-check in the doc/Makefile - - 2020-02-07 Prashanth Mundkur - * Support F extension on RV32 sail-riscv-c. - - 2019-12-01 Allen Baum - * modified macro names to conformn to riscof naming convention of model specific vs. pre-defined - * add more complete list of macros, their uses, parameters, and whether they are required or optional - * minor structural changes (moving sentences, renumbering) and typo fixes - * clarified impact of debug macros - * clarified how SIGUPD and BASEUPD must be used - * remove section about test taxonomy, binary tests, emulated ops - * clarify/fix boundary between test target and framework responsibilities - (split test target into test target and test shell) - * remove To Be discussed items that have been discussed - * remove default case condition; if conditions are unchanged, part of same case - * minor grammatical changes related to the above - - 2019-10-16 Allen Baum - * spec/TestFormatSpec.adoc: changed the format of the signature to fixed physical address size, fixed 32b data size extracted from COMPLIANCE_DATA_BEGIN/END range. - - * more gramatical fixes, clarifications added - * added To Be Discussed items regarding emulated instruction and binary tests - - 2019-09-11 Allen Baum - * spec/TestFormatSpec.adoc: more grammar and typo corrections and changes - clarified and added To Be Discussed issues - - 2019-09-11 Allen Baum - * spec/TestFormatSpec.adoc: many grammar and typo corrections and changes - removed many "to Be Discussed items and made them official - Added wording to clarify spec intent (work in progress/goal rather than final) - Added macros to ease test authoring: RVTEST_SIGBASE, RVTEST_SIGUPDATE, RVTEST_CASE - Added detail on proposals for connection to framework (how framework selects tests). - Expanded definition of signature format - Changed the (proposed) directory structure and naming convention to eliminate ambiguities, add consistancy and slightly better match existing structure - Added many "future work" items related to the above - Added examples and comments to code examples to indicate how proposed macros would be used - * .gitignore: added condition to ignore Mac file system artifacts - - - 2019-11-05 Lee Moore - * Restructured RV32I to move Zicsr and Zifencei into their own suites - - 2019-10-14 Lee Moore - * Added Ability to run a single test by using the Make Variable RISCV_TEST - for example, to only run the test I-ADD-01 from the rv32i suite - make RISCV_ISA=rv32i RISCV_TEST=I-ADD-01 - * Added Top Level Variable to Makefile RISCV_TARGET_FLAGS, - in the case of the RISCV_TARGET this can be passed and appended to the invocation - commandline configuration, for example to pass a command line flag to the RISCV_TARGET - to perform tracing. The value of this flag will be target specific - make RISCV_ISA=rv32i RISCV_TEST=I-ADD-01 RISCV_TARGET_FLAGS="--trace" - This is has also been added to all other targets to allow target configuration from - the commandline - - 2019-10-07 Philipp Wagner - * When executing the test suite, Ibex always writes an instruction - log. Update the Makefile to write it to a test-specific location - (next to all other log files). - * On Ibex, provide an additional .objdump-noalias disassembly file - with no aliases and numeric register names (instead of ABI names). - This file matches the Ibex trace and can be used to debug the test - runs. - - 2019-08-29 Robert Balas - * Added support for using RI5CY as a target. - * Added subdirectory riscv-target/ri5cy - - 2019-08-08 Lee Moore - * Added support for lowRISC/ibex RTL as a target using Verilator. - In conjunction with Philipp Wagner of lowRISC phw@lowrisc.org - - 2019-07-18 Paul Donahue - * Fix typos/grammar and use correct architectural terms. - - 2019-06-21 Ben Selfridge - * Added support for using the the GRIFT simulator as a target. - * Added subdirectory riscv-target/grift - * updated README.md and doc/README.adoc - - 2019-05-23 Prashanth Mundkur - * Added support and instructions for using the C and OCaml simulators from the Sail RISC-V formal model as targets. - * added subdirectories riscv-target/sail-riscv-c and riscv-target/sail-riscv-ocaml - * updated README.md and doc/README.adoc - - 2019-04-05 Allen Baum - * spec/TestFormatSpec.adoc: Adding details, minor corrections, ToBeDiscussed - items and clarifications to the specification of the future compliance test - suite. Also removing restrictions on having absolate addresses in signature - - 2019-02-21 Lee Moore - * Fixed bug in RVTEST_IO_ASSERT_GPR_EQ which was not preserving register t0 - * Corrected commit I-LUI-01.S, register target changed but missed assertion - - 2019-02-21 Deborah Soung - * added RiscvFormalSpec as a target with its own unique environment - - 2019-02-15 Radek Hajek - * updated rv32i tests to support all registers (x31) with assertions - * updated spec/TestFormatSpec.adoc example ISA test with new assertions - - 2019-02-05 Deborah Soung - * [Issue #33] fixing rv32si/ma_fetch.S test - * [Issue #32] fixing breakpoint test - - 2019-02-01 Lee Moore - * updated Infrastructure macros to support non-volatile registers - * updated riscvOVPsim - - 2019-01-29 Deborah Soung - * Added Rocket Chip generated cores as a target - * riscv-target/rocket/compliance_io.h created - * riscv-target/rocket/compliance_test.h created - * riscv-target/rocket/*/Makefile.include created for existing test suites - * README.adoc updated with instructions for using Rocket cores as targets - - 2019-01-22 Premysl Vaclavik - * feature: initial version of Compliance Test Format Specification - * This new document outlines how we should like the compliance - system to work going forward. By contrast the doc/README.adoc file - describes the current system as it is. - * Approved at Compliance TG meeting of 9 Jan 2019. - - 2019-01-02 Radek Hajek - * unified macros in all compliance tests - - 2018-12-20 Lee Moore - * fixed riscvOVPsim - - 2018-11-22 Simon Davidmann - * added information on test suite status - - 2018-11-21 Olof Kindgren - * Added support for using external target directories with $TARGETDIR - - 2018-11-21 Neel Gala - * riscv-test-suite/rv_/references/_.reference_output: changed signature - format for all tests to include only 4-bytes per line starting with the - most significant byte on the left. - * riscv-target/spike/device/rv_/Makefile.include: Added a patch for - spike-device Makefiles where the old-signature format is post-processed - to generate a signature in the new format at the end of each test. - * riscv-target/riscvOVPsim/device/rv_/Makefile.include: same patch as above. - * Makefile: default target for Makefile is now to run all tests supported by - the target mentioned defined by RISCV_TARGET variable. - - 2018-10-11 Simon Davidmann - * Ported github riscv/riscv-tests for RV32 processors to this compliance env - * rv32ua rv32uc rv32ud rv32uf rv32ud rv32ui - - 2018-09-10 Lee Moore - * Added tests to RV32I to improve coverage, usage of Imperas Mutating Fault Simulator to - identify untested usage cases - * Macro renames to support GPR, (S)FPR, (D)FPR - * Added test suite RV32IM to test 32 bit Multiply and Divide instructions - * Added test suite RV32IMC to test 32 bit Compressed instructions - * Added test suite RV64I to test 64 bit Integer instructions - * Added test suite RV64IM to test 64 bit Multiply and Divide instructions - - - 2018-06-15 Radek Hajek - - Modifications to support Codasip simulator. - - The simulator is renamed as Codasip-simulator (was - Codasip-IA-simulator), compliance_test.h has been moved to target - directories and a COMPILE_TARGET has been added to Makefile to - allow use of LLVM. - - * Makefile: Include Codasip simulator target. - * riscv-target/codasip-IA-simulator/compliance_io.h: Renamed as - riscv-target/Codasip-simulator/compliance_io.h. - * riscv-target/Codasip-simulator/compliance_io.h: Renamed from - riscv-target/codasip-IA-simulator/compliance_io. - * riscv-target/Codasip-simulator/compliance_test.h: Created. - * riscv-target/codasip-IA-simulator/device/rv32i/Makefile.include: - Renamed as - riscv-target/Codasip-simulator/device/rv32i/Makefile.include - * riscv-target/Codasip-simulator/device/rv32i/Makefile.include: - Renamed from - riscv-target/codasip-IA-simulator/device/rv32i/Makefile.include. - * riscv-test-env/compliance_test.h: Renamed as - riscv-target/riscvOVPsim/compliance_test.h. - * riscv-target/riscvOVPsim/compliance_test.h: Renamed from - riscv-test-env/compliance_test.h. - * riscv-target/riscvOVPsim/device/rv32i/Makefile.include: Updated - for new environment. - * riscv-target/spike/compliance_test.h: Created. - * riscv-target/spike/device/rv32i/Makefile.include: Updated for - new environment. - * riscv-test-suite/rv32i/Makefile: Likewise. - - 2018-06-10 Jeremy Bennett - - Put placeholders in empty directories to make sure they show in - the GitHub hierarchy. - - * riscv-test-suite/rv32i/.gitignore: Created. - * riscv-test-suite/rv32m/.gitignore: Created. - - 2018-06-10 Jeremy Bennett - - * README.md: Make references to files in the repo into links. - - 2018-06-09 Jeremy Bennett - - * .gitignore: Ignore editor backup files. - - 2018-06-09 Jeremy Bennett - - * README.md: Add better link to documentation README.md. - - 2018-06-08 Jeremy Bennett - - * README.md: Move AsciiDoc details into new README.md in the doc - directory. - - 2018-06-08 Jeremy Bennett - - * README.md: Fix typo in link to AsciiDoc cheat sheet - - 2018-06-08 Jeremy Bennett - - * COPYING.BSD: Created. - * COPYING.CC: Created. - * README.md: Add git process, licensing and engineering process. - - 2018-06-08 Jeremy Bennett - - * README.md: Correct details for running the compliance tests and - directory for OVPsim. - - 2018-06-08 Jeremy Bennett - - Clean restructuring to just the work of interest. - - * thought-experiments: Directory removed. - * .gitignore: Merged with TestStructure/.gitignore - * Makefile: Renamed from TestStructure/Makefile. - * TestStructure/Makefile: Renamed as Makefile. - * README.md: Merged with TestStructure/README.md. - * TestStructure/.gitignore: Deleted and contents moved into - .gitignore. - * TestStructure/README.md: Deleted and contents moved into - README.md. - * TestStructure/doc: Directory deleted. - * TestStructure/riscv-target: Directory moved to riscv-target. - * riscv-target: Directory moved from TestStructure/riscv-target - * TestStructure/riscv-test-env: Directory moved to riscv-test-env. - * riscv-test-env: Directory moved from - TestStructure/riscv-test-env. - * TestStructure/riscv-test-suite: Directory moved to - riscv-test-suite. - * riscv-test-suite: Directory moved from - TestStructure/riscv-test-suite. - * thought-experiments: Directory deleted. - - 2018-05-21 Jeremy Bennett - - Initial commit to populate the repository. - - * ChangeLog: Created. - * README.md: Created. diff --git a/tests/wally-riscv-arch-test/CONTRIBUTION.md b/tests/wally-riscv-arch-test/CONTRIBUTION.md deleted file mode 100644 index 01f4424c7..000000000 --- a/tests/wally-riscv-arch-test/CONTRIBUTION.md +++ /dev/null @@ -1,66 +0,0 @@ -# Contributing to RISC-V Architecture Tests - -Your inputs are welcome and greatly appreciated! We want to make contributing to this project as easy and transparent as possible, whether it's: - -- Reporting a bug -- Discussing the current state of the code -- Submitting a fix -- Proposing new features -- Becoming a maintainer - -## We develop with Github -We use github to host code, to track issues and feature requests, as well as accept pull requests. - -## We use a simple git flow where all code changes happen through Pull Requests - -Pull requests are the best way to propose changes to the codebase. We actively welcome your pull requests: - -1. Fork the repo and create your branch from `master`. -2. If you have added new tests, please ensure they adhere to the latest TestFormatSpec and that you have run them on the RVI approved reference - models (if support in those models is available). -3. If you have updated any test-macros make sure to update the documentation as well. -4. If you have updated the docs, ensure that they render correctly in the respective format. -5. Make sure to create an entry in the CHANGELOG.md. Please refer to the section on versioning below - to choose an appropriate version number. -6. Ensure the existing tests are not broken and still pass on the the RVI approved reference models. -7. Please include a comment with the SPDX license identifier in all source files, for example: - ``` - // SPDX-License-Identifier: BSD-3-Clause - ``` -8. Issue that pull request! - -## Versioning - -When issuing pull requests, an entry in the CHANGELOG.md is mandatory. The arch-test-repo adheres to -the [`Semantic Versioning`](https://semver.org/spec/v2.0.0.html) scheme. Following guidelines must -be followed while assigning a new version number : - -- Patch-updates: all doc updates (like typos, more clarification,etc) and updates to unratified extensions. -- Minor-updates: Updates to ratified extensions OR migration of extensions to ratified OR changes in docs regarding policies or spec. -- Major-updates: Changes to the framework flow (backward compatible or incompatible). - -Note: You can have either a patch or minor or major update. -Note: In case of a conflict, the maintainers will decide the final version to be assigned. - -## Any contributions you make will be under the permissive open-source License -In short, when you submit code changes, your submissions are understood to be under a permissive open source license like BSD-3, Apache-2.0 and CC, etc that covers the project. Feel free to contact the maintainers if that's a concern. - -## Report bugs using Github's [issues](https://github.com/riscv/riscv-arch-test/issues) -We use GitHub issues to track public bugs. Report a bug by [opening a new issue](https://github.com/riscv/riscv-arch-test/issues/new); it's that easy! - -## Write bug reports with detail, background, and sample code - -**Great Bug Reports** tend to have: - -- A quick summary and/or background -- Steps to reproduce - - Be specific! - - Give sample code if you can. -- What you expected would happen -- What actually happens -- Notes (possibly including why you think this might be happening, or stuff you tried that didn't work) - -## License -By contributing, you agree that your contributions will be licensed under its permissive open source -licenses. - diff --git a/tests/wally-riscv-arch-test/README.md b/tests/wally-riscv-arch-test/README.md deleted file mode 100644 index 9fd5a8b8b..000000000 --- a/tests/wally-riscv-arch-test/README.md +++ /dev/null @@ -1,74 +0,0 @@ -# RISC-V Architecture Test SIG - - -This is a repository for the work of the RISC-V Foundation Architecture Test SIG. The repository owners are: - -- Neel Gala (InCore Semiconductors) -- Marc Karasek (Inspire Semiconductors) - -Details of the RISC-V Foundation, the work of its task groups, and how to become a member can be found at [riscv.org](https://riscv.org/). - -For more details and documentation on the current testing framework see: [doc/README.adoc](doc/README.adoc) - -For more details on the test format spec see: [spec/TestFormatSpec.adoc](spec/TestFormatSpec.adoc) - -For contributions and reporting issues please refer to [CONTRIBUTION.md](CONTRIBUTION.md) - -## Test Disclaimers - -The following are the exhaustive list of disclaimers that can be used as waivers by target owners -when reporting the status of pass/fail on the execution of the architectural suite on their respective targets. - -1. The references uploaded for the following misaligned load/store tests will match targets which do - not support misaligned load/stores in hardware. Targets with hardware misaligned support for - load/stores will fail these tests. - - 1. rv32i_m/privilege/src/misalign-[lb[u],lh[u],lw,sh,sb,sw]-01.S - 2. rv64i_m/privilege/src/misalign-[lb[u],lh[u],lw[u],ld,sb,sh,sw,sd]-01.S - -2. The references uploaded for the following misaligned instruction tests will match targets which - have compressed extension support enabled by default. Targets without the compressed extension - support will fail the following tests: - 1. rv[32/64]i_m/privilege/src/misalign-b[ge[u],lt[u],eq,ne]-01.S - 2. rv[32/64]i_m/privilege/src/misalign[1,2]-jalr-01.S - -3. The machine mode trap handler used in the privilege tests assumes one of the following conditions. - Targets not satisfying any of the following conditions are bound to fail the entire - rv32i_m/privilege and rv64i_m/privilege tests: - 1. The target must have implemented mtvec which is completely writable by the test in machine mode. - 2. The target has initialized mtvec, before entering the test (via RVMODEL_BOOT), to point to a memory location which has both read and write permissions. - -## Contribution process - -Please refer to to [CONTRIBUTION.md](CONTRIBUTION.md) for guidelines on contributions. - -## Licensing - -In general: -- code is licensed under one of the following: - - the BSD 3-clause license (SPDX license identifier `BSD-3-Clause`); - - the Apache License (SPDX license identifier `Apache-2.0`); while -- documentation is licensed under the Creative Commons Attribution 4.0 International license (SPDX license identifier `CC-BY-4.0`). - -The files [`COPYING.BSD`](./COPYING.BSD), [`COPYING.APACHE`](./COPYING.APACHE) and [`COPYING.CC`](./COPYING.CC) in the top level directory contain the complete text of these licenses. - -## Engineering practice - -- Documentation uses the structured text format _AsciiDoc_. See [`doc/README.adoc`](doc/README.adoc) for more details. - -- Some directories use `ChangeLog` files to track changes in the code and documentation. Please honor these, keeping them up to date and including the ChangeLog entry in the _git_ commit message. - -- Please include a comment with the SPDX license identifier in all source files, for example: -``` -// SPDX-License-Identifier: BSD-3-Clause -``` - -## Quick Links: - -- RISCOF \[[DOCS](https://riscof.readthedocs.io/en/latest/)\] \[[REPO](https://github.com/riscv-software-src/riscof)\]: This is the next version of the architectural test framework currently under development -- RISCV-ISAC \[[DOCS](https://riscv-isac.readthedocs.io/en/latest/index.html)\] \[[REPO](https://github.com/riscv-software-src/riscv-isac)\] : This is an ISA level coverage extraction tool for RISC-V which used to generate the coverage statistics of the architectural tests. -- RISCV-CTG: \[[DOCS](https://riscv-ctg.readthedocs.io/en/latest/index.html)\]\[[REPO](https://github.com/riscv-software-src/riscv-ctg)\]: This is a RISC-V Architectural Test generator used to generate some of the tests already checked into this repository. -- [Videos](https://youtu.be/VIW1or1Oubo): This Global Forum 2020 video provides an introduction to the above mentioned tools -- [riscvOVPsim](https://github.com/riscv-ovpsim/imperas-riscv-tests): Imperas freeware RISC-V reference simulator for compliance testing -- [riscvOVPsimPlus](https://www.ovpworld.org/riscvOVPsimPlus/): Imperas enhanced freeware RISC-V reference simulator for test development and verification - diff --git a/tests/wally-riscv-arch-test/doc/.gitignore b/tests/wally-riscv-arch-test/doc/.gitignore deleted file mode 100644 index 70954a171..000000000 --- a/tests/wally-riscv-arch-test/doc/.gitignore +++ /dev/null @@ -1,6 +0,0 @@ -# Ignore editor backups -*~ -# Generated files -custom.dict -README.pdf -README.html \ No newline at end of file diff --git a/tests/wally-riscv-arch-test/doc/ChangeLog b/tests/wally-riscv-arch-test/doc/ChangeLog deleted file mode 100644 index 6b408419b..000000000 --- a/tests/wally-riscv-arch-test/doc/ChangeLog +++ /dev/null @@ -1,147 +0,0 @@ -2019-02-21 Deborah Soung - * README.adoc: Documentation for rocket chip as target. - -2019-02-05 Deborah Soung - * README.adoc: Update documentation for rocket chip as target (fixed rv32si/ma_fetch.S). - * README.adoc: Update documentation for rocket chip as target (fixed breakpoint.S). - -2019-01-29 Deborah Soung - * README.adoc: Documentation for rocket chip as target. - -2018-11-21 Olof Kindgren - - * README.adoc (Repository structure) Added documentation for the $TARGETDIR environmental variable - -2018-11-21 Neel Gala - * README.adoc: Added new signature format spec. - -2018-06-18 Jeremy Bennett - - * README.adoc (Future work): Reflect changes made to support - Codasip simulator. - (Repository structure): Diagrammatic representation of the file - structure deleted. - -2018-06-12 Jeremy Bennett - - * .gitignore: Add custom.dict. - -2018-06-12 Jeremy Bennett - - Document issue 1.8 Draft. - - * README.adoc (Introduction): Add Future work section and bump - version. - * custom.wordlist: Add words needed for Future work section. - -2018-06-12 Jeremy Bennett - - * README.adoc: Remove special apostrophe from "licensor's". - * custom.wordlist: Updated with more words to be ignored. - -2018-06-12 Jeremy Bennett - - * README-old.md: Deleted. - * README.adoc: Include details of how to contribute and installing - the tools from the old README and reference the licence as an - appendix. - -2018-06-11 Jeremy Bennett - - This makes the document appear directly as the README of the doc - directory, so there is now no longer a need to publish to GitHub - pages. - - * .gitignore: Change name of files ignored. - * Makefile: Remove publish target. - * README.adoc: Symbolic link removed and replaced by design.adoc, - to which the CC license text has been added. - * design.adoc: Renamed as README.adoc. - * publish.sh: Deleted. - -2018-06-11 Jeremy Bennett - - * README.md: Previous version moved to README-old.md for the time - being. - * README.adoc: Created as symbolic link to design.adoc. - -2018-06-10 Jeremy Bennett - - * README.md: Note about make publish. - * design.adoc (Overall structure): Make list or instruction sets - and extensions compact representation. - -2018-06-10 Jeremy Bennett - - * publish.sh: Only publish from clean and committed master branch - to avoid difficult use of git stash. - -2018-06-10 Jeremy Bennett - - * publish.sh: Don't rely on doc directory being available on - gh-pages branch. - -2018-06-10 Jeremy Bennett - - * publish.sh: Make executable and correctly set top level - repository directory. - -2018-06-10 Jeremy Bennett - - Add a mechanism to make the latest documentation available via - GitHub pages. This means that design.html is no longer part of - the master branch, but is published by copying to index.html on - the gh-pages branch. - - * .gitignore: Ignore design.html. - * Makefile: Add publish target and delete design.html when cleaning. - * README.md: Link to GitHub pages for latest documentation. - * design.adoc: Deal with AsciiDoc apparent bug with consecutive - comment blogs. - * design.html: Deleted. - * publish.sh: Created. - -2018-06-10 Jeremy Bennett - - * README.md: Fix link to generated documentation. - * design.html: Regenerated. - -2018-06-09 Jeremy Bennett - - * Makefile: Add sanity check for version number and spell target. - * design.adoc: Fix trivial typo. - * design.html: Regenerated. - -2018-06-09 Jeremy Bennett - - * .gitignore: Don't ignore .html or .xml - * README.md: Note location of old documentation, give link to HTML - and explain how to contribute. - * custom.wordlist: Created. - * design.adoc: Cleaned up from top to bottom and spell checked. - * design.html: Generated. - -2018-06-08 Jeremy Bennett - - * Makefile: Clean up and add license header. - * README.md: Created. - * design.adoc: Add licensing and SPDX license identifier. - * legacy.adoc: Deleted. - -2018-06-04 Jeremy Bennett - - This is the first version of the document taken from Simon - Davidmann's MS Word document. The main document is design.adoc, - legacy material, currently just appendices C and D has been moved - to legacy.adoc. - - design.adoc is correct AsciiDoc. legacy.adoc is just a raw dump, - which needs cleaning up. - - The next step will be restructuring design.adoc as agreed. - - * .gitignore: Created. - * ChangeLog: Created. - * Makefile: Created. - * design.adoc: Created. - * legacy.adoc: Created. diff --git a/tests/wally-riscv-arch-test/doc/MIGRATION.adoc b/tests/wally-riscv-arch-test/doc/MIGRATION.adoc deleted file mode 100644 index d9801c661..000000000 --- a/tests/wally-riscv-arch-test/doc/MIGRATION.adoc +++ /dev/null @@ -1,88 +0,0 @@ -= Migration guide -:toc: -:icons: font -:numbered: -:source-highlighter: rouge - -This document serves as a guide to users of the architectural suite to migrate their targets from an -older version to a newer version with minimal changes. This guide thus provides a patch scheme to get -the previous versions of the targets up and running with the new versions of the framework. - -However, users are strongly encouraged to completely migrate to the newer versions, as and when -available, and avoid using these patches. - -== Migration from v0.1 to v0.2 - -This section will describe the changes required to transition your targets ported on v0.1 to v0.2 -framework. For examples please see: `riscv-target/riscvOVPsim_0p1` and `riscv-target/spike_0p1`. - -=== Change header filename - -In version v0.1 the target specific assembly macros were split across two files: `compliance_test.h` -and `compliance_io.h`. In version v0.2 these macros are to merged into a single file named -`model_test.h`. The following commands can the achieve the above: - ----- -mv compliance_test.h model_test.h -cat compliance_io.h >> model_test.h -rm compliance_io.h ----- - -=== Change device directory structure - -In version v0.1 a target would have one or multiple of the directories defined to indicate supported -extensions: `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei`. In version v0.2 the -directories of the extensions have changed in order to provide more consistency and less ambiguity. - -For version v0.2, the `device` directory first needs to have either a `rv32i_m` directory to indicate -that the target is a 32-bit machine. The extension directories, as supported by the target, are -now to be created in each of these directories using the following mapping scheme: - -. device/rv32i -> device/rv32i_m/I -. device/rv32im -> device/rv32i_m/M -. device/rv32imc -> device/rv32i_m/C -. device/rv32Zicsr -> device/rv32i_m/privilege -. device/rv32Zifencei -> device/rv32i_m/Zifencei - -The contents of the extension directories need not change, unless there are dependencies on the path -of the directory itself. The following commands will achieve the above: - ----- -cd device -mkdir rv32i_m -mv rv32i rv32i_m/I -mv rv32im rv32i_m/M -mv rv32imc rv32i_m/C -mv rv32Zicsr rv32i_m/privilege -mv rv32Zifencei rv32i_m/Zifencei ----- - -=== Changes in target macro names. - -Since some of the macros from the old framework have been re-purposed in the new v0.2 framework, -there will be name conflicts rendering the old ones useless. In order to retain the old macros, they -have been renamed with a post-fix `_OLD`. The macros that have been renamed are given below: - -. `RVTEST_CODE_BEGIN` -> `RVTEST_CODE_BEGIN_OLD` -. `RVTEST_CODE_END` -> `RVTEST_CODE_END_OLD` -. `RVTEST_DATA_BEGIN` -> `RVTEST_DATA_BEGIN_OLD` -. `RVTEST_DATA_END` -> `RVTEST_DATA_END_OLD` - -The user is thus required to make the above changes in the new `model_test.h` that was created -as part of this migration. The following commands will help achieve the above: - ----- -sed -i 's/RVTEST_CODE_BEGIN/RVTEST_CODE_BEGIN_OLD/g' model_test.h -sed -i 's/RVTEST_CODE_END/RVTEST_CODE_END_OLD/g' model_test.h -sed -i 's/RVTEST_DATA_BEGIN/RVTEST_DATA_BEGIN_OLD/g' model_test.h -sed -i 's/RVTEST_DATA_END/RVTEST_DATA_END_OLD/g' model_test.h - ----- - -note:: the RVTEST_DATA_END in v0.1 enforced a 16-byte alignment before the signature end. This -constraint has been removed. - -=== Changes in device Makefile.include files - -No changes required. - diff --git a/tests/wally-riscv-arch-test/doc/Makefile b/tests/wally-riscv-arch-test/doc/Makefile deleted file mode 100644 index 697d89cdc..000000000 --- a/tests/wally-riscv-arch-test/doc/Makefile +++ /dev/null @@ -1,82 +0,0 @@ -# Makefile for RISC-V Architectural Test SIG documentation - -# This file is part of the RISC-V Foundation Architectural Test SIG -# tool set and documentation. - -# Copyright (C) 2017 CodaSip Limited -# Copyright (C) 2018 Embecosm Limited . -# Copyright (C) 2018 Imperas Limited - -# All rights reserved. - -# Redistribution and use in source and binary forms, with or without -# modification, are permitted provided that the following conditions are met: - -# 1. Redistributions of source code must retain the above copyright notice, -# this list of conditions and the following disclaimer. -# 2. Redistributions in binary form must reproduce the above copyright -# notice, this list of conditions and the following disclaimer in the -# documentation and/or other materials provided with the distribution. -# 3. Neither the name of mosquitto nor the names of its -# contributors may be used to endorse or promote products derived from -# this software without specific prior written permission. - -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -# AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -# IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -# ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE -# LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -# CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -# SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -# INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -# CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -# ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -# POSSIBILITY OF SUCH DAMAGE. - -# SPDX-License-Identifier: BSD-3-Clause - -ROOT = README -SRC = $(ROOT).adoc -SRC_STRIPPED = $(ROOT)-stripped.adoc - -.PHONY: all -all: pdf html - -.PHONY: pdf -pdf: $(ROOT).pdf - -$(ROOT).pdf: sanity-check $(SRC) - asciidoctor-pdf -d article $(SRC) - -.PHONY: html -html: $(ROOT).html - -$(ROOT).html: sanity-check $(SRC) - asciidoctor -d article -b html $(SRC) - -# It is all too easy for the document history and title page to have diverging -# version numbers. This target checks first. - -.PHONY: sanity-check -sanity-check: - @s=$$(sed -n < $(SRC) -e '3s/Issue //p') ; \ - t=$$(sed -n < $(SRC) -e "/== Document history/,/^$$/p" | \ - grep -c "$${s}") ; \ - if [ $${t} -ne 1 ] ; \ - then \ - echo "Version number of title and document history do not match" ; \ - exit 1 ; \ - fi - -custom.dict: custom.wordlist - aspell --lang=en create master ./$@ < $< - -.PHONY: spell -spell: custom.dict $(SRC) - sed < $(SRC) > $(SRC_STRIPPED) -e 's/`[^`]\+`//gp' -e '/^----$$/,/^----$$/d' - aspell --master=en_US --mode=none --add-extra-dicts=./custom.dict \ - -c $(SRC_STRIPPED) - $(RM) $(SRC_STRIPPED) - -clean: - rm -f $(ROOT)-stripped.adoc $(ROOT).pdf $(ROOT).html custom.dict diff --git a/tests/wally-riscv-arch-test/doc/README.adoc b/tests/wally-riscv-arch-test/doc/README.adoc deleted file mode 100644 index fa7129b39..000000000 --- a/tests/wally-riscv-arch-test/doc/README.adoc +++ /dev/null @@ -1,460 +0,0 @@ -= RISC-V Architectural Testing Framework = -RISC-V Foundation Architecture Test SIG -Issue 1.16 Draft -:toc: -:icons: font -:numbered: -:source-highlighter: rouge - -//// -SPDX-License-Identifier: CC-BY-4.0 - -Document conventions: -- one line per paragraph (don't fill lines - this makes changes clearer) -- Wikipedia heading conventions (First word only capitalized) -- US spelling throughout. -- Run "make spell" before committing changes. -- Build the HTML and commit it with any changed source. -- Do not commit the PDF! -//// - -== Introduction -=== About - -This document describes the RISC-V Architectural Testing framework which is used to test if a RISC-V device's has understood and implemented the specifications correctly - -* It explains the framework around the tests, the running of individual tests, and the suites of tests. - -* It explains how to set up targets to run the tests. - -This document is made freely available under a <>. - -=== Intent of the architectural test suite - -The RISC-V Architectural Tests are an evolving set of tests that are created to help ensure that software written for a given RISC-V Profile/Specification will run on all implementations that comply with that profile. - -These tests also help ensure that the implementer has both understood and implemented the specification correctly. - -The RISC-V Architectural Test suite is a minimal filter. Passing the tests and having the results approved by RISC-V International is a prerequisite to licensing the RISC-V trademarks in connection with the design. Passing the RISC-V Architectural Tests does not mean that the design complies with the RISC-V Architecture. These are only a basic set of tests checking important aspects of the specification without focusing on details. - -The RISC-V Architectural Tests are not a substitute for rigorous design verification. - -The result that the architecture tests provide to the user is an assurance that the specification has been interpreted correctly and the implementation under test (DUT) can be declared as RISC-V Architecture Test compliant. - -=== Intended audience - -This document is intended for design and verification engineers who wish to check if their RISC-V implementation (simulation models, HDL models, etc.) is compliant to the RISC-V specification. - -For those who wish to develop new architectural tests and/or to write or adapt their own test framework are suggested to read the link:../spec/TestFormatSpec.adoc[`Test Format Spec`]. - - -=== Contribute - -You are encouraged to contribute to this repository (including changes to this document) by submitting pull requests and by commenting on pull requests submitted by other people as described in the link:../README.md[`README.md`] file in the top level directory. - -While submitting a pull request note that some directories use `ChangeLog` files to track changes in the code and documentation. Please honor these, keeping them up to date and including the ChangeLog entry in the _git_ commit message. - -Make sure to also include a comment with the SPDX license identifier in all source files, for example: -``` -// SPDX-License-Identifier: BSD-3-Clause -``` - -NOTE: Don't forget to add your own name to the list of contributors in the document. - -== Licensing - -In general: - -* code is licensed under the BSD 3-clause license (SPDX license identifier `BSD-3-Clause`); -* documentation is licensed under the Creative Commons Attribution 4.0 International license (SPDX license identifier `CC-BY-4.0`). - -The files link:../COPYING.BSD[`COPYING.BSD`] and link:../COPYING.CC[`COPYING.CC`] in the top level directory contain the complete text of these licenses. - -NOTE: The riscv-ovpsim simulator is licensed under an Imperas license. There is no dependency on this and it is included as a convenience to users. - - -==== AsciiDoc - -This is a structured text format used by this document. Simple usage should be fairly self evident. - -* Comprehensive information on the format is on the http://www.methods.co.nz/asciidoc/[AsciiDoc website]. - -* Comprehensive information on the tooling on the https://asciidoctor.org/[AsciiDoctor website]. - -* You may find this https://asciidoctor.org/docs/asciidoc-syntax-quick-reference/[cheat sheet] helpful. - -==== Installing tools - -To generate the documentation as HTML you need _asciidoctor_ and to generate as -PDF you need _asciidoctor-pdf_. - -* These are the https://asciidoctor.org/docs/install-toolchain/[installation instructions for asciidoctor]. - -* These are the https://asciidoctor.org/docs/asciidoctor-pdf/#install-the-published-gem[installation instructions for asciidoctor-pdf]. - -To spell check you need _aspell_ installed. - -==== Building the documentation - -To build HTML: -[source,make] ----- -make html ----- - -To build PDF: -[source,make] ----- -make pdf ----- - -To build both: -[source,make] ----- -make ----- - -To check the spelling (excludes any listing or code phrases): -[source,make] ----- -make spell ----- - -Any custom words for spell checking should be added to link:./custom.wordlist[`custom.wordlist`]. - -=== Contributors - -This document has been created by the following people (in alphabetical order of surname). - -* Jeremy Bennett -* Mary Bennett -* Simon Davidmann -* Neel Gala -* Radek Hajek -* Lee Moore -* Milan Nostersky -* Marcela Zachariasova - -=== Document history -[cols="<1,<2,<3,<4",options="header,pagewidth",] -|================================================================================ -| _Revision_ | _Date_ | _Author_ | _Modification_ -| 1.16 Draft | 23 September 2020 | Neel Gala| Changed Compliance to Architecture/Architectural. Refined the steps to port a new target. Removed simulator and target specific docs. Added vocabulary from the Test Format Specification -| 1.15 Draft | 14 March 2019 | -Prashanth Mundkur | - -Added support and instructions for using the C and OCaml simulators from the Sail RISC-V formal model as targets. -| 1.14 Draft | 21 February 2019 | -Deborah Soung | - -Documented how to use SiFive's RISC-V ISA Formal Specification model as a target. -| 1.13 Draft | 29 January 2019 | -Deborah Soung | - -Added documentation on how to use Rocket Chip generated cores as targets. -| 1.12 Draft | 22 November 2018 | -Simon Davidmann | - -Updated notes on Test Suites. -| 1.11 Draft | 21 November 2018 | -Neel Gala | - -Added new signature format specs . -| 1.10 Draft | 20 June 2018 | - -Simon Davidmann, Lee Moore | - -Cleaned up description of updated framework and inclusion of riscvOVPsim. - -| 1.9 Draft | 12 June 2018 | - -Jeremy Bennett | - -Update Future work section to take account of Codasip changes. Remove diagrammatic directory structure. - -| 1.8 Draft | 12 June 2018 | - -Jeremy Bennett | - -Add Future work section. - -| 1.7 Draft | 12 June 2018 | - -Jeremy Bennett | - -Add CC license as an appendix. - -| 1.6 Draft | 10 June 2018 | - -Jeremy Bennett | - -Tidy up areas that are flawed in HTML version. - -| 1.5 Draft | 8 June 2018 | - -Jeremy Bennett | - -General tidy up. - -| 1.4 Draft | 8 June 2018 | - -Jeremy Bennett | - -Added license preamble. - -| 1.3 Draft | 5 June 2018 | - -Simon Davidmann | - -Updated to reflect directory structure and trace macros. - -| 1.2 Draft | 3 June 2018 | - -Jeremy Bennett | - -Converted to AsciiDoc, cleaned up and restructured. - -| 1.1 Draft | 1 June 2018 | - -Simon Davidmann -Lee Moore | - -Revised format and expand to describe framework, usage of many tests groups, -and different Targets - -|1.0 | 24 December 2017 | - -Radek Hajek -Milan Nostersky -Marcela Zachariasova | - -First version of the document. - -|================================================================================ - -== Repository structure - -The top level directory contains : - - - a `README.md` file providing high-level details about the RISC-V Architecture Testing Framework. - - top level `Makefile` for running the tests on a RISC-V implementation. - - `ChangeLog` for logging changes with respect to code. - - complete license files for the Creative Commons and BSD licenses used by the task group. - -There are then five top level directories. - -`doc`:: All the documentation for the project, written using _AsciiDoc_. - -`coverage`:: This directory contains a sub-directory structure similar to the `riscv-test-suite` directory. The coverage reports of each test-suite are available in the corresponding directories. The reports are available in the html and yaml formats. The directory also contains multiple coverpoint YAMLs which capture all the coverpoints of interest which are covered by all the tests in the `riscv-test-suite` directory. - -`riscv-target`:: Contains a further subdirectory for each target, within which are placed the `model_test.h` header for that target and a `device` directory for all the devices of that target. If the `$TARGETDIR` environment variable is set to another directory, the scripts will search this directory for targets instead. - -`riscv-test-env`:: This contains the `verify.sh` script which checks if the signatures generated the riscv-target device match the statically hosted reference signatures at the end of simulation. The directory also contains symbolic-links to the `arch_test.h` and `encoding.h` files present in the `riscv-test-suite/env` directory. - -`riscv-test-suite`:: This contains a further `env` subdirectory which contains the `arch_test.h` and the `encoding.h` file which includes common assembly macros and routines which is used across the tests in the suite. Further directories are present which contain the actual architectural tests. The names and structure of these directories is based on the link:../test-pool structure guideline highlighted above. - -`riscv-ovpsim`:: This contains a README pointing to the Imperas OVP riscvOVPsim simulator for use in architectural testing. - -== Vocabulary -=== The architectural test - -At the heart of the testing infrastructure is the detailed <> available as `.S` assembly files. The specification and format of the tests is defined in the link:./TestFormatSpec.adoc[`Test Format Specification`]. - -=== The architectural test pool - -The <> are grouped into different functional test suites targeting the different subsets of the RISC-V specification. For more details on the test-pool structure, hierarchy and conventions please refer to the link:./TestFormatSpec.adoc[`Test Format Specification`]. - -For information on the currently supported different test suites, look here: link:../riscv-test-suite/README.md[../riscv-test-suite/README.md] - -=== The test signature - -Each test in the <> generates a <>, which represents the data written into specific memory locations during the execution of the test. The signature typically will record values (or sanitised values) of the operations carried out in the test. More details on the format and nature of the signatures is available in the link:./TestFormatSpec.adoc[`Test Format Specification`] - -=== The reference signature - -In order to claim that a device/implementation has passed the RISC-V Architecture Tests, the <> obtained from the execution of the tests on the implementation need to be compared against a set of _golden_ <>. These reference signatures are currently generated by the link:https://github.com/rems-project/sail-riscv[`RISC-V SAIL`] formal model and statically hosted in the repository for each test. - -=== The test target -The <> can be either a RISC-V Instruction Set Simulator (ISS), a RISC-V emulator, a RISC-V RTL model running on an HDL simulator, a RISC-V FPGA implementation or a physical chip. Each of the target types offers specific features and represents specific interface challenges. It is a role of the <> to handle different targets while using the same <> as a test source. - -=== The target shell -The <> is the software and hardware environment around the <> that enables it to communicate with the framework, including assembling and linking tests, loading tests into memory, executing tests, and extracting the signature. The input to the <> is a .S <> file, and the output is a <>. - - -== Porting a new target - - -In this section, a short tutorial on how to add a user target to the RISC-V Architectural Test Framework is provided. - -The following steps demonstrate an example in which a target was replaced by the RISCV-ISA-SIM -(a.k.a Spike). In a similar way, any RISC-V ISA simulator or any RTL simulation model of the -RISC-V processor can be ported as a potential target for testing. - -=== Setup environment variables - -NOTE: `ROOTDIR` will always point to the riscv-arch-test repo. - -1. Clone the repository: - - --- - git clone https://github.com/riscv/riscv-arch-test.git - cd riscv-arch-test - - --- - -2. Open the `Makefile.include` available in the root folder of the repository and edit the following -variables based on your target: - - a. `TARGETDIR` : set `TARGETDIR` to point to the directory which contains a sub-folder in the same name - as the target. For example, the arch-test repo includes the targets: sail-riscv-c and spike - under the `riscv-target` folder, in which case we set the `TARGETDIR` to riscv-target as shown - below. One can set this completely arbitrary paths as suitable by the user. - - - --- - export TARGETDIR ?= $(ROOTDIR)/riscv-target - - --- - - b. `XLEN`: set XLEN to max supported XLEN. Allowed values are 32 and 64. - - --- - export XLEN ?= 64 - - --- - -c. `RISCV_TARGET`: set this variable to the name of the target. A folder of the same name must exist in -the `TARGETDIR` directory - - --- - export RISCV_TARGET ?= spike - - --- - -d. `RISCV_DEVICE`: set the `RISCV_DEVICE` environment to the extension you want to compile, simulate and verify. Leave -this blank if you want to iterate through all the supported extensions of the target. Allowed values -are the individual names of the extensions supported by your target like: I, M, C or Zifencei, etc. Multiple extensions are not be provided. - - --- - export RISCV_DEVICE ?= - - --- - -e. `RISCV_TARGET_FLAGS`: set this to a string which needs to be passed to your target's Makefile.include files - - --- - export RISCV_TARGET_FLAGS ?= - - --- - -f. `RISCV_ASSERT`: set this if you want to enable assertions on the test-suites. **Currently no tests use assertions.** - - --- - export RISCV_ASSERT ?= 0 - - --- - -g. `JOBS`: set the number of parallel jobs (along with any other arguments) you would like to -execute. Note that the target needs to be coded in such a way to support parallel execution. Some -targets use common intermediate files, rather than unique files, which makes them unsuitable for -parallel execution, these targets will need to be re-coded. - - --- - JOBS= -j1 - - --- - -3. Now inside your `TARGETDIR/RISCV_TARGET` directory you will need to create the following files: - -a. `model_test.h`: A header file containing the definition of the various target specific -assembly macros that are required to compile and simulate the tests. The list and definition of the -required target specific macros is available in the link:../spec/TestFormatSpec.adoc[Test Format -Specification] - -b. `link.ld`: A linker script to compile the tests for your target. - -c. Any other files required by the target (configuration scripts, logs, etc.) can also be placed in -this directory. - -4. Inside the `TARGETDIR/RISCV_TARGET` directory create a new folder named: `device`. If your device -is a 32-bit target then create a directory `device/rv32i_m`. If your device is a 64-bit target then -create a directory `device/rv64i_m`. If your target is configurable on the `XLEN` parameter then -both the folders need to be created. - -5. Within the `rv32i_m`/`rv64i_m` directories sub-folders in the name of the extensions supported -by the target need to be created. For eg. A target supporting the ISA RV32IMC_Zifence will have the -following directory structure: - - --- - - rv32i_m/I - - rv32i_m/M - - rv32i_m/C - - rv32i_m/privilege - - rv32i_m/Zifencei - - --- - -6. Each of the above extension directories will now need to include a file: `Makefile.include` which -defines the following Makefile variables: - -a. `RUN_TARGET`:: This variable needs to include commands and steps to execute an ELF on target device. Note here that this variable should include all the necessary steps and arguments to run that specific test-suite. For example, in case of spike for the `rv32i_m/C` test-suite the corresponding `Makefile.include` has the `--isa=rv32ic` argument as opposed to just `--isa=rv32i` for the base `rv32i_m/I` test-suite. This variable should also include other steps to extract and sanitize the signature file as well for each test. The only argument available to this variable is the compiled `elf` file. - -b. `COMPILE_TARGET`:: This variable should include the commands and steps required to compile an assembly test for the target for each extension mentioned above. Note, currently only the GCC compiler is supported. This compiler takes `march` and `mabi` arguments from the corresponding architectural suite framework. `COMPILE_TARGET` will more or less be the same across test-suites. The only argument available to `COMPILE_TARGET` is the assembly file of one architectural test. - -The following figure depicts the final directory structure of a target device that should get created at the end of the above steps: - -[#img-testStruct] -.File Structure of the Target directory -image::./file-struct.jpg[TargetStruct] - - -=== Generating Signature - -As previously mentioned the execution of each test on the target must generate a signature file. The name of the signature file should be ``. The signature file should follow the guidelines mentioned in the link:../spec/TestFormatSpec.adoc[`Test Format Specification`]. - -In case of spike, we have ensured that the signature region is bounded by the labels: `begin_signature` and `end_signature`. This is enforced in the `RVMODEL_DATA_BEGIN` and `RVMODEL_DATA_END` macros defined in the `riscv-target/spike/model_test.h` file. Additionally, if you look closely at the `RUN_TARGET` variable defined in the `Makefile.include` files of the spike target, it includes a few bash commands to sanitize the signature produced from spike. This is done to conform the final signature file to the specification defined in the link:../spec/TestFormatSpec.adoc[`Test Format Specification`]. - -=== Compile, simulate and verify the tests - -Once you have ported your target to the riscv-arch-test framework by following the above steps, you -are now ready to compile, simulate and verify the tests on your target - -If you would like to compile, simulate and verify all the extension tests applicable to your target -simply run `make` from the `ROOTDIR`. - -NOTE: For the above to work the `RISCV_DEVICE` in `ROOTDIR/Makefile.include` must be left empty. - -If you would like to only compile the tests for a particular extension you can use the following -command. - - make RISCV_DEVICE=M compile - make RISCV_DEVICE=C compile - -The arguments to the `RISCV_DEVICE` variable must be the extensions supported by the target. -NOTE: If `RISCV_DEVICE` is not defined/empty it will default to the `I` extension which is necessary -for all targets. - -To simulate the compiled tests on your target: - - make RISCV_DEVICE=M simulate - make RISCV_DEVICE=Zifencei simulate - -NOTE: If `RISCV_DEVICE` is not defined/empty it will default to the `I` extension which is necessary -for all targets. - -To verify if the generated signatures match the corresponding reference signatures. - - make RISCV_DEVICE=M verify - -NOTE: If `RISCV_DEVICE` is not defined/empty it will default to the `I` extension which is necessary -for all targets. - -All the above steps create and modify files in the `work` directory created in `ROOTDIR` folder. To -clean the `workdir` simple run : - - make clean - -By default the working directory is set to `ROOTDIR/work`. This can be overwritten by assigning a -new working directory path via the command line. Note, the path must be absolute and not relative : - - make WORK=/home/me/my_path/mywork clean compile simulate diff --git a/tests/wally-riscv-arch-test/doc/custom.wordlist b/tests/wally-riscv-arch-test/doc/custom.wordlist deleted file mode 100644 index 2efdc5925..000000000 --- a/tests/wally-riscv-arch-test/doc/custom.wordlist +++ /dev/null @@ -1,73 +0,0 @@ -AsciiDoc -asciidoc -AsciiDoctor -asciidoctor -aspell -autotools -CGEN -cmake -Codasip -creativecommons -CY -Davidmann -discoverable -DUT -EF -enforceability -FPGA -GDB -Generis -github -Hajek -HDL -http -https -IC -ies -immunities -Imperas -io -IM -ISA -legalcode -licensor -licensors -licensor's -LLVM -makefile -makefiles -Marcela -md -merchantability -Nostersky -nz -pagewidth -parameterization -pdf -publicdomain -Radek -README -riscv -riscvOVPsim -RTL -rv -RVTEST -SiFive -spdx -src -subdirectory -sublicensable -synched -tbd -testbench -toc -toolchain -TVM -URI -Verilator -Verilog -waivable -WIPO -wordlist -www -Zachariasova diff --git a/tests/wally-riscv-arch-test/doc/file-struct.jpg b/tests/wally-riscv-arch-test/doc/file-struct.jpg deleted file mode 100644 index 7ddee1e848c765df80915163569aea2d43176ff1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 656873 zcmeFa2Ut_twm2R@qzJ|Wh_ommAfZSv0#x&J%&ci)?tdms5e^0D{XIcM*6)?R(BeKveH4Y(pBAuR#G!NI{* z$5jUa&Sr?%OzrJo33GB<*>UI@TI(Be7+70!I_tgSMm!p@@fKi*sz`~MH!obW?ABvzTccZi9Q+*j=*5U{-MS@WYiKO2 z^hDyPpJQ91^gqqh$;pYsiHF15)`XKwNJxnD9ycd9H#>F&yPb=by`D3>l^w%hZt@@Z zd17Q|U~Bfu-ptzS)(`j9)3n+MNG6?;kH=Z}7kS`C+O5>!0(7 z{6io<2iG~c{vinbLxaz;>l|GF5Cs0A!ROfZKL#%1|B`%+tgzya6IQM{8v{HB5MI1U za1o!7fPjFAh>)0sjFjZkC6b%ht^>(vsX%nJR5UcV7&(}4(X%no(69*HWxL14%gYO5 z77`WY7UAIG<^JI&I7CE5B$r4iNl7WWZ`0i7{)azj-vL(%NmNLK@o;VfaIfOvUBx-8 z2Y>(o9DJ;7hy4J4`rzQ=UBJIcKuAP<2|J+p3IG=e4-fYO9zOns3)s;f*z14`SMjgi z=6ZPXx}qKdy$u=no3La;hDQZ8eb^ zxP+vXw2ZQfsv1;XL({;}$k@cx%-qi2!O_Xt#r5qwZy#Sj|A6p_50O#PA7f%uQq$5u zXJlq&e=RI3E-5YhR$g0I-_Y39+|t_H*FP{gG(0joGdnlGu(-6mvWnc<-P=DnL>(Rf zur3?`-d~pWpDX*tx~^i^g?r%w-UWgm)`f%Xg#E+2dIA47*TriO6$$iguG4eBAtZYg zmRwLn#K5DpO|Ea-LrlTQJ97v5!_t0S*>78z*Z6fgKmdow zEP#~P#OGhHVay^ybbV$@bi2*A- zdXAPx%iN|y1cK_-m%AmZf_9>rw<+^S)g|w^Eb9rkm_(X>uFEO71Zb+gz zrmmg=x_lzf0G{(_fY~+cEalDSgB>7ByPDw)kVFc`oVJ_+8hXwEmrCnlyEEyqsc#Te zxmdbF1s%E_1Wo1Me#95v3j z(>c{RCywU~vG{O z=134@TyNf$Ot4ZAN}9Wad(_2AXozH)QE=CGOafO)WfJMG@iR|~5$bJLF zZ&g;7_N^~eRK)0&dds#jB$5$tb5f(9B9LTXHO%05K1YY&%K+ZnHyDN$9xaw{fB3f0 zejve@VPyEKppG$v@Y~^rTC_Z*=@#90Xd$t@X1tXe=rlfQ${bP{ak8Q5hCiO@2pVZQ zJxDzff>ifo+d{)I6XwIw(DixDiQ@W=S4(>THD21L4yOn<_*wP0e%ONpy+98&jp}uI$c{D{ex=n;9HNuTl!wSU%wf0M5Vu zL@)pnq6+734DhLS=VGA?j0|URvrwFby{}R0tc(w*}>vZW^%wK z9emFM$R7Y4$%@FLIKD72(}FN8WTgBfSiRdp9ku$irfV3|_RhzIsSY z$hQ|-H$?#?N4Xd#_>OJ(h0I^(c7x19o>??D_8nReuP3v=bSQ#GIpC846d#;_{dr(u zfP$-IYPL&Kv+#E7h<45ZQxH~6n(9M4>DvNEb_=12E??U_}#q8vu^)!H1H<^jCV)7NBH=yMu`BsN#=9y+jWmwn}KCmJEP2Ee()_1 z2XXhF74LI0_vfPWF20RhM-=vFfMfI-V1%j!D@c)FRh~8pTsZ?Ew_y{I-lCl4Wi)e~ zXl+3M`#+ql;ZScTrRxoediuNUr5k!rc*XTO~tnHow z49&-YXccjVH;J4XXMm=fGXNIbxHMJw>^-k{B+iJNOa1Y5H~3JY_sd!$;;|Iy1$-PN zqj?n3_Zw^i=Rn?3`S!#?J*9t%T= z7Q0@h6f^$uI-bNy#)`T_mu_+z@C$Skn9lCra?bz$Y+BCW<$vvJksh?5ce^HKikjhn zJh5l+=-bN`UV?Y`5)6Y633{Wd4fksQMB4nhbp=a!D(mK)(1F6RQ%~4>@N>O0K!9J? zHc!Le832WXY$h#&WvW9$Yh4P*S@NX+e|QM)X4vPJoYjMJ_m@}m8Hvh*HfX!1!Gp>( zbUwF<52H7jgkCLQ^o+CUYMfnoB*P^sPQ_|g;sW!xm)Jh2viV$8Z5oQt*eG!KCXFXw zgm%$V7e2@0HLbi)DY*~-if8|iVm7Y81VpAT-}RLAmWLPOmB(F+MTMt-JV8F6!G9+d z;C3+qSaTs(CL3D9G!K-3P?-Tqcp|zAXMoVRm=%ovZxsCbM1-=KLTAC$M-RE`SU5}b zCw)P0;5NE6D5K)Q9CRxg>i(5)> zD{)I)0suP2zU30{nby?C>mM^DTJ5}K$s_vP`=h6ujedadLuluSvMGl$7XzeNQ}gbrsuYZ#vn5~cieDCr^d&!?&wFpzux~^Dxc&cQ@Y6=F`xYv z35o)I^5yuMzqcvK*F3LoUwoV8Y}|=CxSO8nz&2IIO2GI6XD?sG!KLSOyui0&!n>{Q z3)Qz#77E*o$)@GbVFro(#*&u9w+=+zhNv}XvV}i+Q!(x(2HXA(YI!a>{P8Nm z-<`q#h~yB!g1fqWoZ7JXInEgyr{(^j-qShzWp0q_8#S6M5`W#$p;+B-%D3D zd6Aj^aSvb^3n-7}&!OLx<3>ggywHb4swEE24tR|}WP7rA?Y8ccQuf0NCIO1lCn~Ch zET8bwS+k6ZKZVN!XqR#4Opj9<7E9us88An03XW#8?6E-L^d7h5D^paVAJ^1L=H3jb zT@_#Z;O&P|B^fNGs3)3^qIZ+>h9$_vKmTe_I35s?j5TJee9;`&3cuy0A+GuZ?{2ce=6NmTgdTMxBxHa z+P?5B1ht@b5M<|SFLu$`z&I`)3vEiyL+3Mq_S3a9z*TSZe#2j9p66WfZ;-b?6CeJk zxnO?V%sVsYpxMcQF+E4EplM`>oQXu~bwI?@@&G|-ew9t^r8hxTg{_mJ0o{J& zbQjL3sans(R0GX%9H9OS$(IvO1Y$vl5nQG>etiJ(eKS(bJlf-OZ)NHn2^V{31b1T! zR6}+AvO4L}sdAZjnS0IU>SAIDFmlbQt-7Y9zP)qe1q3yq$*|=rPQXI@Z ztH41k(<#}>pSG$nPpUTP&X=~<{Z&_^aZ}<#D;NDKHGD7MwETl%dLgelkJ|Xr;3}w4 zy8}mPXCgwZOfWNNRk{4zLL7!{JAEp^x?K^(I?8r4gW>kWbx~=!MUOjkswo#sVc}zz zHIYxe?@kpI>ToNAny&R7C>|wzbd?!jA2GYiRW)GO$4u51XyMlU6nalwL^50I%^NhS z%iZE^;UZT$y*Y&Mpqi%rXmz+q7_ft{+BVH3``Dl_qxTySck-dp9J{crw_5OcQxwSl z%PmlQz@h12q&qLXI%iwZ1YbUT-2;zNv4dKY6`V@K{iT^<9NMYF)Qc!^m-cvZ@tP1( z=xJRm<{gv+3h`9rYhb_5Z3;J?6&09a3JULV%jvlGCG<+Ix(Vrk-(lla`;hfqU?#f= zbynqSF5UHOcR}^zBpyUvGddJZ^3Fxk_93elQNdk_%W(S{Vivp{lpV=+=g}6ct2FrU zv&)*<$mY-%6H$}oFWN|6guG*c19_}R(-$x%jyE|T$pnpkua6)xOq6B?cgp33gh%C> z7$1u+#}c~3Zyy>F;F-Z=*G5{$Y$7|^l%SHIof&2(5;H#6<(PNwNb)~bTXyvNG{+_> zGWV&$)iNY9W5s5@;H%ffgQm?nVuk+0d=V=q2d3!~iGDMd2C>wT^cRdf9UCQQfX$8L&RuDPXjEK#eG|%Zs|NXJjqYZQXCGI zPa0~;S-!_Nq3LsfJ-FRDMiOYB*nl1f`pu>iRWd6j}lf3WaEDg*76q865>$%Ij$!Ezw zXw5YDtk_3)Q<^)+^T~j>sg*uS9!){wyDQ&^!e>}=iX0|HFP!ip(A$9+6ggC}=&L37 zvcd&1h48UMmd1N_^4yUFA9-`#`xFB1GDp(;-<)?O&Q87Kzl`sp(-iJ{=q+|rQ=^V{ zvdn9C8C^Rb-vlbaAWy}rEGo|ckNYeoj{~3EAJYR@bcF)yWh(c^Qbbu*1_am2+B~50 zl@V2l7m!1IcBx9-62O_OW)Wl%@Ut=N{tjj$keYN(FsUDo*drluK z4YenJ{JRp{x$o(B^*up9x?5p|NE56=3GX@$%XtYwDw2y`bgoW11Aqul`_K($f5&~P z4X>R#qUpm_&0`{cz$orLj_AhFSNY6Mo`Q6=4-NDR_HW)`3=1PZ4jWIbLyBQ8QiYae zr*`kLc7+Rwd_W~>3ouQu-I%npOA%;r61pHs@v73{ zrE5f@2bT{2b8^;Bh2#-`NAIu}sALLiNvFH7t+Zk{x$y!NP!8{l5B)w{70|DzCQ>Lk zBS2tp*DKZAx+B!~jjgFa_KBL4$}?H6?|9$x92t*!YL6K`LEY@Rswy%!?Asv$kNeL6 z3ZKZ^-Jc+HM{mh-%DpQ6n!j>qRbROqco4cQR=iuJw;v=?A*vu@J<`{W9NA^B4RXE;9*^AUZ@ml}Z0@5myCVGe?*!FdfO#RiQr-Q1g zH!hAYx4ku&Iy^pVQyp+1ALNX*-t-Fe2jCiiKMJjK(J(Z)E3>@Xz#m>9At#S99Tl?9 z*QR`d{XM9TPpvAFoHnd+%q`J74J{`O@!lv}#(KKAH}P4%-ba6Isrf#C3ejBPgI!+} zo&%NY${-^!S@Qj$?W+y8iZzYow9@8dea(Xo@hK@m0qYnLDtk?Oh&`7nQL=AS>xqHE z`ygjEy7>KrJR9Bt8F{t<+l6qGZ&wIwZj$es__0OHBQK{Kza=8A%LX3UHLV2f+Lmrf z)2gSZ7+bn3V4ZG9M60m<2FM{h?U& zEa_vp8`pWcWFGn0dMY<}O^dq-85}N9ILt=*e=J^s5Q~UK3)rneuWmlKTNqpVydwm8 z&9Hj_e@rbVbIqcADV4ed8?a4l4gxMmQw4qJ3exB8nzHMLC62(8iao5K4OwfhJu5TQ zN{Y1G`Lw4v45iZ$*XZ~38P3|c=2f6ZlIC^!A#Ki(7!|5^Ny(|F_^zf#m_Q9rd?C(& z^roaDYDiuzJb~gkmG$~ULPY{J$lh>Bgv-V9%gr#7FY>E|kYR5UQiug4QKhE72I| z^eraMK&5IQE*fYlSIVABeDRqyKI-{4d?@+(`8=AaMNiMk?}AG&`1ycRK1Q1sk2ckRaueD~dYbnxFR~ z=DCc{0J_+e#pOrUv2YL{Ds}h%y$YTs>zlCVg&f#Wn;LzAq?)o<2K|q0HATn-$+IGQ z3HnyMXJh}8Bfg<-46JOT|CH3Wniu67i{8jw>1Dhz?}^milzsDN53)2_NlZ>hRZ<6`!E9ljmp$+tri=dd!h>T)e3( zB1Bi=X}zMXIvSr8K|?+twkPL4HygOvU#Xlm!DB`Gsx{*@@;-DAw=zD@ZO2nm=k9V? zn7ErH)-Xp`upOv2W$BhCHQwls@-3J_Xd@CFFg(4868F0vFLTOO75F)|+nVv#ydYHD zT%d}EU6;>JeTSLDN3$iBchX@mQ7N?@(e}mX_v{ z4&!SAC5t7{b@PnpNZNgjv@|0<qHQ;7syRzsY7;v;*3D7D19*mP0KGr-m{ zELaV(4fNX^y9wubsq&C`)AnoXmHY8{GJ>nu%$Nior9cZh)TZ7d=52amYYUJBYbeTg z&-fK8Y){p_Bj*gzY<>p7R5P9dx`SObbQ?Is1_DONmf?nT#HtdG!~q(i4=&09OdDo4 z%pFK6!aP@9$xCb@ky>B3yZrR3OFx(nttzR$jB}hLSW$1SVvmoW)XWfT>fYa-3VA@s zKVWkP5Jh}~gTWZ^o-k6ESZH-G>FpU{=Q>v8Sqp$IOH|QVZ!_9G!_bRT*B=LsLVX-A zt+~7OJdwFRoXCSRuC{e@VKk!+ynju@zlHHIUn?IRuC&Y70CBlp1kJQfJltMXiTGab zti+wD|AjX69$>o>YsPJNhV1H=`e)(+)-&Dn^{Az6XN$9<-TVAqp ze$(EU+88c~(@HY~pk))0Befp)FX+fsDw^EC!r}1>T;qAWwa|G@$*=~HGVl8C8B9@! zP9x74jZksY_q-6IUv&ofPGMm(ognn!C|wy0QmRi{c!Pqf8Dqm`qGO`S-PRjWacOJ9 zy=H^QmhsV7lAR*VKyI&vlb&K6mXfx;oRcuGcVSbq7~U_=0ldqZt(xBEb|1#1ATt+` zkW-w+GeC7T+QOn%=Q@%uW;RcF$C6t;B}8{p$mqKgq39`5UiR#=OnkI}#1k_)>HBnj zx?HRG0zH&A@hPviFB5#G447|C{Ho(KoEN6~Gb?Fcp~4KO(!Fyd>3=cCf4~3!c_Zo2 zj5RvM>fWT^pW{|z>x;#Udq1(+<>CvfaBztyo>+Qbvx-Qx9M4_c0BAWAa<8)G;5E{H3 zfaH-HO*5LHErV_rxv$JlfKP5T+!!>k(7$FKc-lDcsnIl}-Be2pjyu&J`piBHi4efF zX@883uC;TLYWx6mvub)ixAFXhp;o{u&p)4FWbU|B7wu#N2V}M}n9FOAs7Je~?}L0j zIeYA3Kxw1QiPJ>A`-1H+Yd*Z`d?4mizJ*fPAwL6r`U;zhfuLgN>tC$NJ>?MY}kW9Pu8YMQd`#Z+s{Orng~iCL*Z=9_G3PLx}U3i#S`0-)wB zXogAFtV8Ma))9?;((#ePlFq^p4yO2ff1izrUaA2BFBagMyB63NTIqYsQz@=07@aDV z!c+=+i>fFtp3tUOrM+&7TE&b-DD=C1$nk6v)>$M=jV7Y$hxmnTHRvYVqh;&)J{IU@mO@b8c2XIwfu11 zVhI^KGOLw}6=zsZ`g6N@D^L*II}n2bebbg{xtkY&bwa1$wC#+#3J7qVeZPO|WtDE( zm}463;rK~XYf0Y6-M)o@Lc_{u)Ry=g;Vz|IT+VG^n%BhP<`&-O=xm>z6(aHAJyl!*_XMkI> z*f975tdI90->&GZ1sF*%^7*HfD&-HQ>9A(~Hp~xIRl2=B_;kc9kdljzdX6TYliXO{ zs7(#a!=iG9_gT&Wm&N=RlIm*%x+7Ayr-Jr%FDp=n7{|7Q5(gz(!!TWk3Vr)WPIHc6M6Aen`ntYb;?NKSW#%Z#VQwO)ApF+k`AX2_WJww9;ElEd1Ixwc0v3%OhTWKik%Sk>7Qbsr;1 z%Z#a3J{oj@`Ep9Ah%tJQ>+)L2C~NnVmj}k+U}e@HwFrhB@~%|caYTePOi~@9J~vW;jKOBf-8a~ zbvYm%R}XM)+oz1@F?;t5xQp0ASU(qIqn(-|Ybj>{;lsoxoV`=`!#Vg7ZL#k08aBu{ zV0-5Wf?q+totg-jMnSMNMN|P(mVtR^(ffm6N|Fya%TR$FLi-EDPi;Y1n{nb=5*TxR zpSQtXiUlsUDE4k!B9bCv27Dp-LAZ02%X6B-dtH!+SjnDwHqpJE-ChqeqeQLBf8mS*8RrfMO_oUwf`-w9=kY2_?c|IS) zss&vZCPKgCpx2xiTu*wtJ7$Y|XfDI^R)sgFMjfc5V&wyX#Hrml-*b=m^$2TOxkhO6 zN;Ye&UvJ}&PC7Ho>kaa9-P>c8!l=U`Ji6ry?aQ?YkNBp>OB8OR{HM@`;7Ml47C;i? zWzmxJ@&f#!dviTlMNiz35}U^Z?bgA=B0mFm)8w0A6F2!57n5prGURW}nOsMDOI7#A z6$Cth;6fTJJIn7uHj$X}m>~=UF*vjN*Qw08Pv>7C1Mh#yr(-Y(nJ0o_)q`HxGE=ol zJ`H>(tQu@j2F%XcKMa7>=c0yB3yEw9E?X#a*d`diu;m03&)S8N71UY-clF5DN;P|jwSz_&xuacRy z6%v~is%u4wP2DFL9rC-Cd>*d%X?JvbW9FecH-=s=a4DqeTqL-uE{nIvaBDE%e|uMF zV1dr4P`w7NG@hl5;#5Xgt&Jsee7N1^7SEN=zhQvrM_*4_t|sUYzpoNI!#?`hj`i*B z7u#a1tt4S^U^R~*WUPkLE)!kWwlR6)CBV;Rfh?>s) z8q?e2C$7d>>0=fYx`q|nJuZHzLp9P6XK!H!5qZsdVa@2O^(0H_3j855q08zm)zJNfBp1e<5Iz zU*7|d1QW>v4SXC}DA^l1n<%_(m0>+gowEkMn{UYx-%drwp%}_Wc{zT5$UAxh4mzz-Js*V8iMy;wzhd|xYij%Ve9>g*g=8CS-}+St;j8BNufmce~!^6iP~SWyM-po_Y(;K!Xn zeXK-$bPUZ3uFrn<)wM7xSU^a7-PQZ&Y-smKHkA7R&W7G>iX5ZkaLY4eg)74ff zd~^7EiJJp^QyC3>=Ma9Mqh5lvFQO-bGQdh_M`*^xUiU@G$I?Sbn!(RWGr%k6FY!wv z?TXF-G=U57#bNTb&SF7uOMKY7wDqv6Of+|OZ>6YR)`afk#qV+LSF>J7xEz%&ZRb-J z*3FXJ&quXqe;B8F3Z4|BnqcqpV(Y%5c`=;n;J!a7m3XmzU^!#a%m>vh#(*+k((Sz! z+X&%a?TLBkvd|s+5$H*?QjwoN-s)x*xq>=r2#mOc+L7sFu*3YjoIRIm5|T` zdu#dUNVk+JzDTr~$|@fDIPij@tzfq5a-)o*(sQ1K&j}wDRc`%C_SJcUB+lOpeQTs+XTw3`xKxC+MzagqtG3Z-lZ~?bm4nFxxd_#ip6JO<`D2Y*jZXVDB!VV9L za!gQophzM-&o_l!H3U zr8aNJIEnLGRcC(>IBFfnl7zHS8FB_bdvS-%;o)S9iZ*95r>F;r&N2|0`?I3b((s{2 z2BLK&9%=d4Ag_Rvkc`3N+8HBF>Eg{WwQR3lk>H6mM<@NxCbs(dh&4HQs>odOc1EDJ z2)nz^?Zwe%m82>D8&lYvHi1Ski2$;W$HB*uaQfJ;2F;@*q30bDUF@|lyuGia z^;ID1iQ!~^y2r!WLI>bA({fFU%KnX129%SJ!5ILDW+X=Af;}71g zl9%&+yk&`CX~8HnK{J9+w7#nzIb9aZUp|O>i$T9Fsb+P7z0FLiQccVEqdtAB=k}U* zQmz9w$4=TT28P_%YLeQ}FWye+jKqRv(^vDB96; zHH_p=rV(AOYHKa&6aD0zdC6u91L_gq|r}L-G3A|zS4dms<)mmy&{Ta(G z`e$Bd^4FdTUvtG?L5+?-#HDi2jz?laZy{ph9^i$3syoZ}q*!Xs%)MKd{m$7;dBy^L+oR1(+V z)HX&vCEgf~kDIgrz8O`Y=R^{5i)#IS*ZRW0DC)fFbc10HP8ajN4aOXVDVE)5dod)V7-%1nDR@9Hx6(1y7VXR1uSS?>&>!oeo?Fqcd;0$vqm>!_1kZYVbeHDwSJ5dU5!FaCs5Zb9UVTabP{Yzx@2jvlGubK-&Kr!$_KUVSt+I} z=%1fQ-N%8H52h(%2Fk2YKdQ!YzSDfkeX*+Fih~SB%n}5GD{Fu4xMRtX6;PYA+PPV$ z@U@psZLpJYV`J{-XeH2A!EV!{6~ln)rIm&i*ta~1S{*5iQE0nOOXm98r7M#zGK4rj z2f7q3CkwwrXq(!}0(al7{q>l&UsxW`L)dQzDqLm!$j)90!MR_DUKb|Oa|J-Ac|UnVDJ41e&;MlArFIwP{v(HD?BJAK;VyQyog;Kk7M@(#b2r}PK*fd%TR zlq?cK)qNnmjVoX8LTV>eFa#H~IU_$a<>$I*iSTG>w_Ip8NW8(a>Y9V+1rZ(yc*v&L z7+c#n>8AH~dmJ6TK9YmtkUym7gbP zKEYH)#oYvDO1(TnSbK_M`4}SaXg6a+g2k#-HuFQC{m}w@o{eJxZuVoyPFDOk)yBvX z`OeNY6+}SqAam@Z)2LsSdhD@9O*N%&Z6aMjjZ9UY=g#aiv6Do^>t(UX**TNV%)|Sp zlFt+H$rlrDpX1AK!I$6D4$s$fCpa}swdakR+RY1ep8}9DYV@5%BEx=nftyjdvZC)# zS};qQ2Wu;_D|KoIwuK`xs=nOaJ0;h~o;KIag5T3b$aUrRZHy0%#PQO(D{>Bw6b5_C2KNImRXQKL@6c zRo68C=YWGiSQpn(&&Je?dEifXN<9%rEPX^TA8dWGCHU$3Y0A`YyQjUI)36ZUPnR)0 z)<=sFVeDgR-u!g=r>E0R99(|z)8+qoxYY^4`Q-jN$ok59%mv9HGkM<@07GVZq>X5iqGaRd(sZLOFFX`wMoVx z{IU7?YF1ufvXte`Zcy$?R-+icQ|MfM+v)f`L0-H*nsSEVP`TS}ACm6KH>;YtD&3OM z9m@JeQRBn8AoC|=mj)J|*Spb=AhRYJk9j|S|3LZ`h`LgP2K!f`7*b^#a`(Y)*f_{b z-tU3)7N4V-><3CE?9$S+9-uFUia2{?BTRK*rwPnx7Hr%>vNtx*3Y)K-S6y{FRo^x2 z;`_7iR1BFWth;s!MSzX+?AhPi#N1vNsry+cHp-eEvaDx~#zx_-FCQNs!mb?9VQUTk z_g?H7e-uf3_ewF?Sjq!?54RJ9pBwPr&wF9DfeOcz&(8qZvN9*aVn0kr)c51hdm%I8 z8K)#z&h;9*0FVx5YnHCA{pX$ADSa3pEW`T_!E}bgVNOV(-XiE{ohU`D#pdxP*fi)2 zU?Mq$s6hFC*#-W*_vb)Zo0>pBJ_pTT0Coe+7 z5@;{cdVpC`o#skf6&XX#8*Qdz)Z$YclDm?L0|~+{4x;kCHEBChi}vqYO7A<}yV>M! zT&)>V)VB*UXaIM|?!dxUV1FBbb+v!kl*}`j^L_9a!trxza84rr8%;e2&EI4!uI?nS z1-AH(C|%hzK_^_PKT-U+auaOH3KDhUs@m}>!KLdh-slg&{@6`+wvCtUQInmMVCNf~ zP1o=hQN)WmIt~JS@5mgP&rf&yg?sDYr3uDsL>?s)4c_P-iQ8E^R#8ELk_WsT>-%7n zx-q-j+P#cB#W_TfZ#LAPPr)@I%cnlsRYIIh9&+LyM5s@HGI!Q_5vt#{2-V+w`)UdS zP}n<%$VNK@e#q8aWr%m&j6EEvdX&VaJOg8Op2w_RL1gkEC{$Ih=@Z;R~SSEG;9748~~DF3#1 z=tGHkw`VLW7jywz2ne^>V)^!VDl$kuGGp}&a4#kE`#G)oKSXPO`%;f|RYF?_whS=y zn}`UiEM4J4$jh`XLIlH#HNNyB3M^?YtD@U%aICiBwuixA_7n|9r(4BWA%-?FzdNF{``Z^L9r!Y|o^wX;%b4tu*Z= zBH{}svTvwD7KJTVKCcl_!kvxTL-3QS`BI+a#=j?S{BtA&XIC;vxeb~4wYt<+V6h%s zFQyKjl!ZhnAGlLj)5T#{(V@wnlwYiUM@>PsMg$m40j78e`c3jj{2ECq5iUigAALzF z5`6UA3FJB7{J#S$V7%uo$pzGwny$uUPjldYzIi1vf~HF2gh^-XT^hE&I;e&2Xgg63 zbI~96O(gJm>3GOmX8<@O*^Mp~P-_~*W`dxxC#mjw{oeuExuW~q2?Q|FlPQ17PdJGN zge@jC&zLV$Ni|g;odeNpgN&P=0em3gqFvLwo7?ttC(-#3WIbfC3b!f0)uAV)-UwdZZMY=-IVqYYDb6H&Bv@CsnOYeNg@qBzlB#U?0H;W(8$ zm;m!uvOPBEK!a5xd{Wsm>nN>Ve7~HJ1NJK|dHiyFPY$RWmhQhn^ay<%eF(WBp(}qr}eFvq`4R^S93nJhuyB?Lz+tjddb@v$<3qAFfyk8)tan zm(>egef(-Qr>hl3K(hz&c43Ph%;7xlkl#N8OeTcxW6!`?q6*d?J_Y-l&E!lQKuqY2 z>?b1k&H!)p)52SLtfC|xSE7V?Tj#~X7$e^+N||&gkrsj06^I_}Fpb4c?fG*H0YX1` z;(`46hK3LlB1OFrPzU(L-AFTG>?A61n;87~_3yST&mZ(JA`8J`2G&_CyCd36DCWo1 zaI99k%Tblu^~u6X)3S;4(#&%j;e9obV!$qPyGQCo2UtJqaBnL=X9t#?AnxKjfp1So z+#TxYu54!5Rz9H+2RXIVkwVK8%xNlz^7hMXS;F*uvY>~&BA_Vwl{U6X2>7M#8Ez9Zo`HC`yK4+*u)dk608?R$rVKpK$ z0oz@JkE#$ebZ-x6akVv7>W4bsHP1_A;Oz=Q9)RKa)B^~ECFc}GVcR$?CjA)Wo1&;w zbM!;`&vWC|ISc?l!-KzM<2+`-&BfN)6Ai|b%dmH!nuA=1__#}oek54$IvH~m?cM_^ zHlsz?65+UMLQJ!sEpO^QOh}2R+9Qtk(Q>3fnP{!S^}S(Rs@Vr=p))$UFaQx6ys~Ct zn!BM_@&@-YF=3CS5gq{Qi7PAwqHW~c5>|menVL#L$FP$xgDC#^V1n}*{0U=Bum_J^ zt>nQL_P^nKb6Rd_&?SoIvO4UV=Pl0{(5}e&xn%SBiLRb9h3gyltRg0X+pZWVQH$x# zw+)jO+_1i?Y8dX)5y`2=phYPWou<^04n2IM@a92q^aNt=RZ6)6$?r+w&zatz#yC{4 zRSBIr<&CTye3@c1K=Sgy4zIX|C28puqa}sbfE6yQK2= z8357;o4$`V*I0dtbi2Co0`&9VUp7^8hIK}O`(6V zD*gB7ak@^;M#?Xz_=^Q#XDnLu7(+4i4zhG?jV6F?r~a(58tFz*;s>i8-S$PF0pzei z+rp6jqUoUoe{s+QL_OER&M0XGv5l?9TO0a|X13}&-Tw|~bcALrf+?2j1q6f{PjZ*U ztOkQ4+6)eT2v-s%L~XRx(XGHa(WfXQS`}q z9a}~ry}L%@t*mk3Mt1-96H_vS7rW zEuAb~u0##a-klSrVE-(K($jjtg@D1KJ$@1!N0h1R_ut#NM zllR~oIvTT(;CuC51il*=>TM2k-ao<;VdX5e&0c;087$l(0YSZ zY4!*O7?~Wv8;ST+Oz8*1MEo=iLlJnzkq`5^ux*Z_P0MZ z{sSULVm1FpeRgL6?E6)wB7){x9x2(+mjh#fMO77F;^j>2_$pIV>lY|J=_{%TW)Bdb zxtlUy_UAR}@+`au8iV?&7IP%{C@NwfS>2~Aok^ly_PqNn%85>Ol6$ZadybK#-rgxV z9nO?4Ag}dRc<#;}domTWb9LoUsIL6KzZ9*~Aa}bA=SO{q2n6E2F}12llA~z!(LqiI zfe=fI5r?YX($*DJswz@+k51JfxZ+Dn z=EI-wbppF&cizguJvR?=2Wsck_-i>u1(b|-N2(w)XW+B#h7|1<5hz9b$)!d@RyRYs zfjS!dJJ6BmdBGDGlRNA_q*MgqmIE(2OB^;R%a`;@l03ty*5CHN2cW~rA8n^MjDDEbTq1E3J(CbpuD5hO!b z^YHboK+Z6F^0K21E!I;i#>CTb059d!xzj=Ya&(Nw{#NG2!%|DhEBhN5g)DV+ZS-i z_V2M&n>&hGR~E(A9=OSRkMVLC*lb>AB|g5;2gRoFzs8jU7$&}kc2AOa`}(23-hdi@;@?r2{!Hy0i0^A4UL>2y~a!Zf5cr9DE$?k+~tK6!BlP(}wkFIYs^ zMR|F&)XVG=gUfz%{=?n;Kzee$_on94hFwHnav5R6$3rFV49Ey`ev`VxoyFA=o|o$` z&Q05=&?66UbE9%4C~QbV=P+xP7y91uuRwFI=lzR<1nTc@jJ*4;|I_wq1!i@a$m*VJ zvt%ojAk`&J<7BbO ztg)%Fcv(DOUDz`Zzd0m4&3@t$DO9esxUbW!1INlgcW_r{-9IUYY zX-0D4c=7AnXvSqJmIFb<%`xJ{OVkx%ac&w5puJA+sn)Eb$l>sByyX%Zgze=H;|8Z? zlrWj}?&;p3R8H@4OTIGfu{EhT|6+l~OUD{D0syQH#oh~>o^56#sI>)&=SNDmiqIF4 z2h=tucBVB}mW$q@W>>OK&8e=-Y2&F8-+ld8JnqVPEt6m9EKNXGx;a#sKhH8#vt(pr z{&F>H6}j@R05VBk*WPy0q5= zwGbLk@!ro9)&dIM3xaw3vcm8Jj%uA5OUlBD-lr9CNhKgk70?0n6WZ1y?{u8&%}xr-_jLd~7Ovxv;dDqBDQr&MEVU4#_;DUemu;sJi}11c zuw{~6qf8jXXl8G`_n@>pWxcIrpWZ=xQz;<4P{gEB?9#XGs_&7MT^X&zAqE2qhtH>` z5Gz{69(Rbd^Z0mOif^}o@jY(L5T*v!3QGl(3urbrnKnv4lg?-B!EB0s9@h0wG(uXj z%pv)5=vfO#z3NGO`bk zH%0|}&T{mumLlTKmiC;Q^C)+aB&&M^jpv1-|Bt=*4rnsn*2QrUP^t(B(&7k$Ql)pI zA|N0jUAlnONbfZ&O=<)L1c6AeA@mMWdhbQL^xg>s2=Vv1_c`~>%$~i^F8BWKoPGU| zBwvz`yzjfpv!3;=0Bv2f^DcnOb+Z^ur!yq3sn(LA+eXiEBk*qCU2)%E04=j)R#3K4E!yiL`GFZD`xvk*&-n4<0S|`gX@GlTs zZNp7JgA*o(#Lkjq#h{f^EcIwaZN=0_=Z_0DD22!-lZwlgMWk=A~!?utv-`yhwjz@kzF`cTA;;Xa|z%lcd<0tQMNh zq~DcY)-IcV;LE9AiK-NhBO-6WwS9@aqsXCLVTrY+Rl7IY$S0;WIe(GE({W@7hIwxFm%G@q|%hi{ER(G&a@GO>4 z>}{drv8t)m=g-Iwc3bAf^(lj{lU)3Qc2bu_h5BOq6l9jILnCwFjtw+W1G8-99|0C# z6m>|cmFPo>zD7H5nSeC}o{#Rr)wSV*Mpj0~{Bai_L!gv_UdYdVXs^Ce(0NKa2)Dx< zzpM?yyjk;Og|-LhoC8B02f~a8fE z>J{>jIH}Z-t~4K7CeGGMt@mwWx@`HkT;Bz=df7?BdW$*7vK6U!+7Zst>P}O)^Zzo? z{3*{k>lxYkkeZqim*dpBH03&BS6kffcB5enEBA>VC=b@#@CFHQ<||Je}Bn*IrWJwaqr0Fk(M_*m~Q3;e&cEK~Jj|PsS0Z<;F5W&at8L4U#fZK9z9a zR!>kIM4`~COwr9~m6J}Gofe_v5sQ7r=xFF2B&%mn+DTC?$=C#sgPX(Qh}($_1!zn&{5FxLxS~ zyW$=>c0slo%;TBd??)FKNRuWpV-MdlLbIB)SD)fzH`Z-Vu@Lg8f?r zPZYN>E8p;#=|#?6<4?FfnUitA*!pQC1b_G8_X8^ziYEUn2UbW@NH7k^KDZdtDOwZ$ z-0K=J?LNx*(O6g_uODywR^w(Lyv|oJ#$!_bfU%*Cr0aJ53WaCDo{+&4VxH`0O*;%``h!%oDF6KUUR#uCvQ%V9KdvP>+vx_N*S zA?s=Zzv%n82t_5?H@|}ok26NEf)9m}(uH-P-J53mm~hS!KXPdPs2^}En`i*!IQH~G z@dFQ<<(2kWa1W*AH)wI;db@gETsRW+IptF%Y?0=0Ir$sjjV{&GdHCt2f>Oiu170Bh z*O-T5Bga7KPVhNl)%s2olu-K3O8#C^TIG%3+c6ws-|!Y@RF9SKydZJnB}?+iegr-| zqre4muCMR%O{Vv!mJ)LYO#R+le?0d;E_&ZLj)d+lq(jGaq0wVeYqJ~1=MzUo;EO68 zK=-zw74pf_U*ZGb<0E|edqA)VQv!m>D%2sLv7$~yqK}${L#@CH3cv*0xAMN>joGhq zrXaOpy9=w43fRY`*@nJh8fCQ`i;ttBvs@I1$gC0n+vYc3^IzIAGO{TTLyH$7_lkO-ta8IKL0pS+NkbrC|9Yz>+35!u+Gb5r3Riiz5( zZJ)3#m*=UN8ym+K%NqU3L7Ps~lf9Hoj<;H@`|+SnJXAORUP<}cC^m9R<5*p6;*|6A zHtVk6+fRq(ve+PSrpq$C36>iN#K9@pXO-q7E|W<4p=gLh!~r=qZ`w$ZQaf)R(eP zXTe0)?%rPjfB=51=?t{A*1@+E)xTU@lx6_dA^m$W{{;%HgU;Bi$Z6(4viE8RY;(UZ z;r;(PZQ`G=%Ky0M-%bu8ipBy94r5}#)pTLw>R>8>(8o8t?XmJ#n469|n1pm$ui)Fi zw@=jW(Tfvd!@Z2peOrKPaOf#e%o>^xnG7675i zZ}*`2GQz`-j`{KQcuuI6lp?HV_6_L0P+NjbVsyNPZBT5s-O?nkk_PP4~mH zt5M0>Rnl!(&K6hjCCG$k4^!(0iQAG2S7~K-qRt_VC@LC|rHEb2{2hsdnj%_oJ^!2t^MyRj$7kPtBy=yBJT(9d`b9T0(rkS&czv0DrU^O+Rk_;!=DHN)m z1vFZ4H^Z8|s4H&;Pdq1-aM?D9MBN82LvO6anRe@jaH%Lrt#;j+Aou--cdtcp|B>Pm zK*>E#G{Pv`icko5%0&cuPAHgzb3uXD337+_<6)#*0{X4e49sl(i38WwmxT{*xUJH; zNjC!=_;n;@&2B}lrIYQ_puJ@G*B1d*_Nmtii@J)hJaJjvGToz|jOQ1JllTZUppto9 zb0$W+ofl(5SdZFvVMuUq{p(9Vm%osNxImdZt(8w?Mq3VLja8yqfw%-AuY!9 z77;Y-jH$nGT@448BWDeUR$^bbF?HK*dgFbHF_wvd%2^#p=zYpQZs>oHb6s54T)89L zVzAMqz`ET>xEDA~+rT|s$epQc;_0U&^LFaO=K{sUT(;a6>GtIhb?>!#Oi|07 zDk__tmQB(uHM_k9m2nF`FNAw2B_B1UwDk|>D{K1uuZwoYX2I+R>daC%9Mbh?+8CRi zFLqOYK4nG)L2R=^G`t_Z^4jL&GZs(}U+ao{bhseeVaV7}zlZ;Dz|=Kz&fcz#gp@1_ z?zResmpl29?0K*I3Dnk)+MB$T%6+6Z``r5A&P4U8ud>_V=MJ@Zi{+4qCgL4EvM=nh z4@X@(>aKg*lBYtr_RCCIBDE|;UD;pyUO-+goT|AR!IptQp3s@*Ch`ZfKrC2*j_)j*N^S>$29#v?&`mD)IY4(59{^Adi}5y|DB!qWBx+_ob_521Q9}* zSt`6g(9Q2tVoUZPQQLhIZ8MrL&DR!8@8J#&V4QwC4gGwI3Yv@b#w_eVw+&;pud-`( z+~@~&7FlI#3z_vXy>@hTGm*7=^pE4;KdN>APZb0I$!eXqYNPQ9bv97O1v(J>uU0vO zzWVg+&eHXTq4qf^pku(;npFxT0XakLMLJmc?ZSoMplUyPb3)5!^(QTvU*t3U@;e&K z=wUSOl~q1v8Q4tFG~<6z*tY(t1If#uFY1(2%ky`@c!gl#E}1V?9=9(WAzT<%?eKr(@sn-0BM` zK2wFFntSLuhs7TJMU2Hc;dd3_gk*xx{lK`Fu}$y4;o&da2Vq)$zB7)EhHxkypknK_ zm&m{K^+vOgiL5)_Z%U06q4Gh`;{<}`NVEGx(GdIaYqOTd<<=UPKb_R&UQmZJ%Q~9f zjjS)&GP4o#P41;?Nnwj=5wEJVdPv#&T6AH$>Q%IpDpJVbP%!sQ^tPKoQgy}`HNC5U z%QF3_o&HPO1;1IqKl;OexOPg2i~U*;)r~MOA6mRp)E=i!`3QY|1EXDy(V4&Z;bj^7 zS(+U*jx#b#Jp5MYc$t-o;)+u>}Z*yx61Y5|TwefGH@6893lAmr)3d!-mer)JnnI2t?0?XIU4-K7$n zW*6N+NztEVxr-RVXw3^NH;?Dy*50oi(sbxB$$eO|+i4#aWWVJs@dN{%H&xp%?u`U?`4d zFfa4b5KJ&7pp{3Zy)+ji(o8S(!En~PhpFc6_0fk8)eFfP^F_Jp`NYM`{xysr=eKSE zou6ZalDq{Q1ClkiVXE}PqrU4OL63_W2RE3njh4}weNZpCE6egi=YZywXs@)oWB(}l zY37zxGMab1D?V|84n3&vLDYQg*5ODV*DCU)Q}5~UTi-Bz6@-^d?DFRA2O5~i$}+08 zgF8mOr-VUseANuICnGk4{OSsbfRW_)niZo%Gb%;;GOR;ljTtt&u&;M2qHC|Ms9En$ zHjk+V3tQt!Nv_=kzwMwA1a#uCO2pA`CzT@yy7{3y1v*sSx7i^de2p>-o#`WEa;ya| zAvc#Ro%{wxHIaEYI{%G8~P4UNV$v^&tZpAalrm9n0zJ zN8=F@<0xzct+5b0CvehgQ_DKhuiY1lxZGjTJ1h;t#H)t4pE^JG&#g5185<1Fbbd%< zT5##cSO1BMOe31uuN_IZh%9c=JO=Pn7{Q5-wN$mw5jf?>&j%yOLu9zS>Jk+TKei!ueW=PL(PBq>Bsq$^aICUcY90n zs$CZ73%3RQGjl>x#XU)Lp6g_3+{Cwy85@!@`gVlWH$s8(^ z^<+6RiC!si!2$gTgFQE8uacJ55@<0mj!Dj5k>o0+i!Au?s`a6r&cS5pZtjy@?QP-} zmpnNTl`v}F7-8LPLDD4_M1FU*F&6`EI+|fDt12sVyQ}0dbhA>kU9D2;RDI>0=~~f} zaxP2!Z;as9((EVsYb*=IXnQ;iA-?Uk;(`aPo`kF1$xeCo3BgX@6#JY^hJ%?|o0>oO zajVJ<3rUbM_ifRR@lD>V`>73C#H8A<186nU_=uKl{ zciBOR9qTF9*r!$=kaW4t$V@|(>yJJY4b~IQ@#Jxr*Cq?~UfY)Hz@0u{*k|8I+Fxh3 zxhiT^t0`Y#qs_POWj+#)a`H&z_vPnHJjq&~QBumaT{bLfUSjmR;s6QbjEfFwxbvbt z2l;eSI(XM2_8c}R!C+ya*sV_7+S$kK?s@8Af)CTt&Ite<%-$>oFo{IDX$? zFWh^Yvc221>oG|W`|N`f+UIC%K_*6L0Kc7VZHBQ# z-}`pZKG;)&B1LA~SE@#b&{8*F-B=)?&Qg%JYhfS z-JZj=+vtb#?0AnO=&A4Nl)LH`lx>neex7!^EMZ0a@+tZVKlhY)2{exkzGnE+ zNPK0dfCZG>w`L^7cuTJgwKOc0c3ZS+yQ~w>wh5B@qyvPewuJVl^E#oS)lthwjFy24syw@IRBL zKgGyDGN=DXrgZQN*;B82vB{<8-lu|{JZAv-yt6FqhlNzym@WwO;-KIfo8914GC&3O z36BO-8k85SWv7k6WnvwUSQu<#rM|eYpVMws<~FVa)>Wy%PS=(8HOn_VE(FR=I)O0? z%~l<)bX9>hML}puGoK@J-*WXS7a@p1b-X{K?i8gh`0_qcYQ|72n}gRY*l7JWGknLK zLe9sXNv)nk%UihN4BX$J)=-E2^2^;xa>|o5QBi6BESuRUFW{8N6C4Lw6HR+$`Y7#5 zR9c`G6CM+R$9P8lYV&3zfjo~kf2@ABrYEaDvjzJ6EZvVdC1-m0EcD<& z{F+VPNiv=Fd7Kl77P_cY2H+zj06xN8XHiqK^Oc25zSZ7CK;F=~Mc6Hxv=On~N#P32 zg75%E_!4iwZ61^$c&Pp~%>3koiB{V9L0g!ljK~{wM`6O`W~bt0tJh6m zDOHW9t{a0{xu5U5h_Uln3mXiUOCUh%L8rYBVjhk&hfDZxLe>~a+R;qn6Gyyg=jIX~ z@1F#WEUXE(`xaeI%xfg&=e6J0xQDZOj9qqn{guM`?Q9PFUZJzXFzaABLeS2n8H|IHrsYqR> z2ZEOcFk`XtN^zxO>ydSebv%x-rE^l8XO_)J*JPa;9x)pq)5e^V`U!1m#j`TjvV?N% zKROloh)lw2TiKiH0Gi%`%-25);W8Z?2oDM^;`etMu&<4Sm|I3C1z3&YPDu1IP z!LrzgZD4d(7Ov%ZHko}pcz_nG4}M!1+}iN7G~@1OB_HKc?o(MpIfpjX*9+iSkCFI_ zmi?12TfxR7*a7Yn}DEy5da0oofU_-%X}3XHpGaPsh)e?-KHo;X1w5K8Ftx#d_j%$ zU2U)4ywu~FkU?7ujv5J!TipYN=$Wp~+)Y?8xHUoYQmR+R?<2gmn)uLRa5hDXUa|1$Y3Y8YXm3$e!6FMt7=oUA=|!8(y3?z~naY za_j)9L%I;*?+%0BoMH2WtmY6JlskQuvN$NXYUOqz%lc2TnxzC!l&D_fE%p=C%PS0* zeR#xUziC++>h#b;b3&iVLvkUiN4?ng_^L2pEHblw*Y#&L?YNLNvx5fi-lD2dux93t z;1Ei7Z5I0AoCY1d@+-=?8<_N&Zk?^&qGe~OJjk#LSH7(>FKB5;bDyn_t4puLlL=%0 z^5nH=%KW@ShL|T^El6EOS>9+}TU+#}`s_JgY^Vm%7PbIj*_RE(V7t;582fO`me~`U zE${{!dPs!4p3Z~&iB!JTOaAkjtF69zHOq1&!9C~flauw9XWG zP8Gl$`;9_TAKc`43Mzzc@P6Hzz~-n<52b%?!oaIbfP zcqj%Zv_?<({!}>7I$Pmx!bj!6PoE@HM!LdUIrh{PTg!54?2aX87l<$9tU0+`B|~q5 zTk8hv4QS8mpoQ7o(D}P*HQ}%S3wr($TmPHK*7zjad-1`C%3a>Mjkk=7<$T)uQc05h zM85~s-RVwNrzZ9ac9S3s&~6>!q}|e*5;X!>h;>-sv-PLiWjVd+Wh#trX2GADQp)OSbyiUs?p~^&>&3}R zY$^{7?=H4o+8OTYpj)3&mL=F1(x zLg2yrv~>ZYi~;h(aMXJw5#L}vHflB)cYXhyW{2^D(a^83=t9Oe3`oF7q*VauP!BzV zqfb$%_+#M%@z4u|EDKWLuN$wVi$PAspmr2yEPX@I-&&k|`D5{Ai)yBwcpK=pO2$<~siYv(0n!kvi)*<0FMny$bAr)CX}RQZZIYk(ea4N6cAq za|=gnGy7~${>yYR%|6|EWu(q2thGMnbWq}qBup&GG(|X~A;7fG;Ios?vXQ(U6EGSv zk(c{V3OXhs&!W-+DNZt9g0JVCXAF%M*TQSf_pu$m?v?D|#|yiK1)c7h)HMkv={o{_ ze%$Lbe!13}as%-Q}NEMrcAd&$`&&6`R;17{7@9KJ;(Ji@<{_md!MME5M^VT9N0%$wzXMKXusjnANoR`*s{yk4PX2DPUV}9q6!hS znFi=}<`)`-qZsjjLdrnb_Hv zzSc*gJJgu8jTVu;DT9Y^GZach-^RR3idJ>T(F7XBa@f41rjxvmCNt@>yc*3VzakQz{~ID`F$ zN3e#o-@R*9D6sY*nNeN&X4_SPT1JkX4)!k^RMwoOh(SMkj}KZCJr-PP;5Iim(Ai4d zIcM#lANiWwq_JjQ;$9n@D8OTY%M8Q2bzB*#yoROah2}$DkV-^5N+&hEK;w0M?$lc1 zwb*pF9tqXTiUoow!g;C5T&%K{P9|MWKFb`ZPOgz%rmkT_!RRo^e`p8NBB}ag z<}{K?mj74^5@cJ~-?(++kQ+o#2lON{h zxLV55b9XL_{3`xN044aFj-cz)K6g;p8aTWyUT_bSzVs?;qUaa$W;#!sT^rN3bEu>g ze0WND5vq4^K;cf=v+81k&Nf>PtJN*AXDhFI5c6P(N!65t{#ZlVkdfkE+Is@(3qpwQ z3U`O;2b1Q3qYtG67(-9>RT{$7his9l-|%!KAve#SThc5pM-B3e?;>41E8k%69HBzB zFUo(=pHk&z^xN5iH4^|bO!-4gu|EecMZInf)qM}(w}q0*Djq{d#=Bq{8?_}TKg*uP z(TvlEa?c!TXUWV@Qt?GtLV_pAj{%;h>0b^eYpZmI65X->+~AE+=<3b7x-lzkZvoHd zo@&T9ynP7+=onBMIHX_5N0DLaPFYfrt$n^2Zy(+|nrH6jb7UkG%GofiI}L2lOu@T{ zc=kz%lF++gKM9s+RG2HMWRJty(pI0@dAAN{wG(C`S?`q;1$%p;;WdIfer16q4!O(( zSP^xM40l~0>0X^vD#z*qfodvsA<{0@F57-L3#*68-AX8`e8PWWy@?cjZ)DT`C=8ly zs9W7Q^>Bb{m5%Zp(%3CEB`=aX8$1fQDV{Bh;=07qIbvm!cdk-zav;;nLM{$cKZQQ~ zP0IYk#{O?KX@97Xy3lJgBeA<2QEex5JL4U+ixWB{>`N{R3ZeN9Eo9mP<}}nFfEHrD zLkp_iV@xlen`F3J4x1YY=~LOfhdF@&*qIkT1z6g!@-2I(SsUj%BT-&oak_5?tjrwI&n(T#$Z99NkS%bF;vQ>do+HoP~pabeMR}iG4jp zxP0=lG62@jvT(z5Xv#SR^3I3)x&e;^@MM28Z61h7`uCnKY zesGa>&gvl-LjieM*HD4&R?ywYRu}AZ*P--itGQGq!|{_*>y&xNo!GOYO_UK}jHA3l zS0(?X28G|@_j_^i#3wAJXg>DwKw7=byI+FaM6xVR97+r{F3&ofikUc9QKXQ5Oqk5; zBVl6^2Fv?ca&g$YRD`EzrbMH_CIIhR49K_4ke2N|zO<}bI@8R@_|=l-tUv;`WaJKn zn;)8)-TI`PERV6HZA+CxkyIdhmlO6O)AfF**m?I9>Rod9Tm>z^$i&WGb3ql5Xw*pf zdYyA-_^XvyH&mAfnR{-%PKfm!uN5Vl^>1+;%jY<7-omh0$!aLF)9kt9WW7~S&yCM7 zY_vkYbfI2GO3JrBEw1~Zf~u^me4%vl^+V~e%MGFZLS4pJ*6z+u6g815FguyqtwTr$ zlZP$p$?lenG&k+pzkGpJ{Dub!QZ1Q%<$uGV;Qd+^)Of|n({Z=9xu{)R6tQB|!}tmR z8=kLe_GMW3vs8_b>;1L|59221blzAvunEU)Ox4vEpWqu>#O!`hs%Tnw=bu3x(;i!& zGQzvHbh={^N`CV}*LPUDeUwcI%?Rsz>zC+vuU)vp$%xY%vhbHAbWi@SC5T&QrY`R%NS1X^zv@xx*Lep($^ z1pxdnmAobR=u6^W010kw33VUVTK7WGb3;zr(p=t3*h87-aOM54g|Lw9fE1ko@^4f+ z>oI2YPY)3)%KU4MlSWt6zU)Sm6Rixb6L@nK%S-Z-<{%kzwdJmpQaq9MI0<0nbmqyn zVActQ@s8rIN=!-Ca4$j_7*A-gGx+-BIZ3DQ6>NY55}QjYDvb2*U-P`JJGo1Tk1njT za9BK!%TguYN$)>|QFy2-?GCf*KGbug^9~VnJL)spTHaTP!fp1X0M;=3Vo= zTU4k(be|t&-yiunxg5e>*@(Q-{q?ae_gJ;3yV*WrO%MB=aJ+5s@V0q9beng3=uiy& zJ`b6|sTX(NLMkvL{~nXrNXFY-6Bt``^OD8S7sNhogpVqFX)Z2ZoYi#d&@H3Zdh=f= z@K+*ZATod)IFJDy+XSD|A@esrwb{WQ1a;poq;mMd_58od_54REyR3K$qWJaB;*~J0 zNcy&JIdzKKpNfEr(+6cr7N-;wPQZcjZ%CdB9xXvVmP4-m;35pCX6{ZON_G`x z+}@T}O_53*H#6Z~9minxJW=~Bmp;zy@TWwb0b+GE6?L~qn}YDb2G-$%V+>kKbwP)7FkDkBY8P$OTQIdQQ8^Mw-QD;fIOIR5u71n@kX3ZdrM>*3?Yd}f`f%B7=qY!%%vOJ&C9h*;xACV) zs3MBD8AT#jt-r>`)o1wtK!a;c{}sH#ZQZ z)|Se&;n^q<7GCjOPK9Unu%35*DIh05cU<{m@(iQ6uY-g9hQ9S4dt*Vhc-Wmo;eDtq zl03^$n|Er2X`uNt!sP~hJ~+rkT^$nAzQuUa60lRwiO7~U!|Q?wQ8`rwI|f$hCwWX_ zjo6FHdSyz1=8XEGp2F>{hT6FGKIr;?7ZFVE!` zx2kpRu^pEx>#HOe{9UBP(Jk>e}jB-0^HWQ&OQpDWiGh(Ur3T6>n z@8mL`lRCKTkc*IsuQ?rxq^jMoO>tfzv!l3ziS&IiA$Ikeme$p0BKX%u3+UI=iHIG; zR>QMSGQQ~e&Y?n%Cp7O^=Qi8h_S@>>o63TW=dqs01$&~dM%Om_^RyV5dS_&1S{IopwJWRTR0fKaBS0C9ATfr`Aud>KwJD3}9LR*it>=zX4}O{O{K$3wPzlPv zt{J8-a8bSc-V=TWNn*SuwEY`D`au!SbrNSFRgcq7?*_j=k)MZr_arl2)q=^=oUW_4 zsw5`Y@jek96mooNZ+m3ZSI}8yityHK@h;v1V#xSqheTwEE8+!wi%D_b6};bFG5p>0 z5UuHIEvqg5GR43*j3qc@w>@Bb8O?F8l21VbNfX|(KzXTQX9I}Uh=Ewmjfkh&EW1NaWc!p? z=Um|X8MC3exf)YK=qIUi)HS$_Lf3G%_eN<&;=IPd%?EA!)PuI;RAQ}^P9I7P zRfZHWs`E@Tkm)xrm`H);ij}q!rotz~k* zvXhxZozM%yPS1k@6?Pi5uLs@M!0MCnK$=Fo-`wGkhkrPNkaF~+xrmI|5lT7T_g^n; zThS}mvP6$G+`?EYPUU;pn}a@E)wZ3Z8*$|Tdy}qQDWW5DT*e~!6>D%0G-s7)5faqE zCl7e2!p`}hpde^!T-m6eng zy_B)EC99Lc+`Q+%PqU$(O01;Z*IUXpO9hMHVM-t1Mtv>u;xy~}y=3tptbqI%KJZWd z^@H;9??Cyu4z!ds5B71$(uV^rCHU*gPU4l#J7m`0(Gpn=@1O*E+o0otM%=?uEy#oD zI+zS^=bq@v{(zpVz4^J@6hNE{7q1^(9O8afEHf~9j6B7nQVSW>N6V#5RRz= zuZsQb=l>DQ*@*~AHWZd$tu~Sk`ov?tqgs0|;pb;g&-wo7HR9=7{6x|W5StzIyFWGK zh82oys5!Yk)XXJTbI#d}*LIswZRB=4hRk*m!mqE>oxo0bK?s23(TF6so-|q(vtMFd zc57T2mjkmT9_D!*4ow_@Acr!?VC(jS&7qkX@uM} z;@)67R5W7VB~KFHiT-_a|4+7<|I;zd560^6ufwl8^8VPDs2LdCw5c>e zmU$~ZG5d^uf3aq}JL1cRYG3E_C?dFMHJg z%j5impxwU+QAa{C$1&$aiuylI1vf$W1+78oKM&hD{V{Cgr~jgJ{vrRmWM{Wk@KIpe zK=z{2sDc3pta*$n-$!$IIk}oUBMzt5dc%On_sdtaDU0HKBkK4%FHbj(khad@_}Y>B z_!AVXLtifC3Yt5+9^+Yg95aP7;|eT3>{Hy&H)YrH zg$+1$$CJI(39b8vm$0+Hb#54YyU=-zxISxjBBhu&KKtpE!CQyNR*#HxSHbi-Egj?6 zM-eLFOp1{mu9m*mLq4x|AmhmIoReR+7LKmvxbki>oxrVEKpTUc z+X6f3T}Li~4qDu@e8*8Ag#envHT2n5hv{4EJ%G^Yy>Py~u>Vut>6e*zxPvYJ!fSRT zjwlSp32MN1g~mI_XkEi|Y|MG8>wGPPOMXQwzt^_X&9_|KA)DqB=dA$(>M%jU9ulol zV~gbMDT9O8?ZH;3mG?1;+b(eb8bt5d2=9$jnT|-lWmNwm{(;aC;G_~aVHARWMHrH% zdARv$13UpJE0JOaGHoqNMM_``wYCemlR2p3#?4Ss)2H(hW)X5UPo7=aD5zFZ-N9(WJYVMl_c)||ZUxG=;+%vGEyS*{xfv|}s(Ei}&2^_OCea<JDO^wA;M>@&4Zh8~wolemi^U zU$Pm0*KhvE&YOPuFVJY8sTU9Ze1P1!Q2{Gex?ylGFdAdcS+X{itb6;uVZ)nwSNpI; znz+THP~Qjl`*Ze(4(yzSGC5@EaXFv>&Po6yCMO; zYe$As#%rilz(J8?g)(fP4~;GZ91U)szV}ex8t?_f2YG3MPiXFa!h3eX2qCVPg`oEH zjO^y48$TF}J>v-*Ad$6l{5zb}e_ZmTQ1w63YyABS{8wU=zxM;E(Pa9ngJ}n@Bwx5= zisSB8pOOO;yBz5&TehzDIa&9sLT{{o*^fDp#KrdMQxfZMdtbLu2x+TracTmvI$#c3 z63{WA)SMW%_}Xgorlf79@dwZjW70XFfp1Iqv9sWqK!+e=WYMV`N@b*_caV3!aA;7= z(e#YPM#%`V4OCB?HMQ9@Xo@p1`UYcnUCxC)&7^K|mjCHQjWmAuPeJT7@?^@x2u_xo zK|eBsj&iiGoTyH2=(xMc`JuxFEZO%23Sih!tVKmS6W zcwA|gHKk`_1iHSc^;B^RMNKGFdKI&_Tu~!Qn*5>{?7Tzw7CH{v0HvPz?$Gyg+sfB z7cEjC35nKA0P#D{ldc%7ZX^EHZsm&{2Sz;qk$ZZDKnJ+5h-&+oAtP%Zgq!l98cF%&^Gq_YAM`aEnuaCcQP^b< z7PLj!XSH#q(%j#xA<_QAf{x`_d2Uu>Sp9IDK_nS+glfHg2Of{wI9LX@1ZaCt71@N|mZLQxCY zhndKrK9a2PgAW@F2;qGtrFQ1)3!={*+w;&HExDZO5&2e)NNX?QbcBH?y=z81x%d_% z?{Esyf}(RcOAq@;pw`Ybn!FoyszsKwes^-w^7-xO;KxZ6EBcpr{S`?L)^);X@~A1g z2 z^+CChHPKTa-?IE1Y;t{D+AMJ)g-@;~-9Dit-2=$fcUNAc?XSZ5Cxn8A85u%KsLv=g z4hz>o{zoKqRO^*I=iOF$K$)eB>OF`0;_F(TI8cJ&J0Wm@K-*N+O&XM&| z%UedtzWr9X#yOD?4ToHkL1xhcE&Fr#3S*+NF2Zkk+7f34l)GT6vHkpLpBqEOxDE9> zjrGs^r^Nf_iHf*Q7x7UVeQjs)vBqg*m5`OgTV#QRVVbWNnxB~lj%*GVFT}SH0<2%;1Qr*a# z&1MtuCi0y_LnsSS<6#4OBB#RKfSyQzs6cU!?(!?|=;Dg$9PDCIU|}p3>tLT6i_tDy zuWdi4x)5iwdv__F$*Tc*dKRRn%QUB7qw4Q-AF&1D<~O5qy7ku68ump0I#xi3*a?W9 zdO};DG-@2hE}5U(PHi8;{Yf28TeFA?w8P+7-(Xyq;b_cP82M_g-q_=iOvaN^3ciDN z5*I9=Q6?j+NkO^g$_eu7Sc_3I#h;s$WRH`{XukNg|8u093qX#=u+`<^u3XhdIsFd2 z%gX*;$bxRDm*)~%@5q5-O8z^~M^h*7FL*v)$3Rp13H~}5y^8`yG+Tf^L0Mja_bA8L zPYL2GrDA5;6PWT7uCW{&zFBb3Im#*Dp|bX)uh41uK;CrhAjiLR$P`@t33-*+HOczn zwog%ALJKTh8|OhJ_f{t5sR7w++>~GWj8)BaBq|%R6;QjtPMTp7Rexy{;-f;Wc{9^H zU0iE;y1*HH6)SZu`=fL^Jw^FA+1bmbbleTUWGMcI5sOirp+;f^Tn0|XpmN?=fADG6 zv}pHM_}P5W%^-#6rqp&*P73E>;-p?&$E~Tmqsz7liKrH3`j$kAOPQ#*jCRJ+;Iq|m zcxUy&9w2ej-9Ct@@bZj+_kF`d7#xj~sT|ImSj03#DTMmC zjj#_^Go+NC2qH;VtGcz_gg3^p`wG||M_MQy*FyCj>r5rd z8w=f@0ng7Ch)zbUe7N?wdulzS)blc*M~z|ZTlCyRw)FIcpT|jh7IieSqpFT`B(3K# z*!y$i^Iyn@Im|0xIMI>AWrn`tjp$}_Nx8t~^ldT(*L_Z8eeWzYG+f?k-&Y=LpXoH0lw(S5z6#Oe zc()C^mDUh&(D=WitUt$PsWqY%Z_iBMRha3=c<|smF4$hZD-iZ2>72$Nv}CM4*0fR3!-kN_9-u^E_ZZrvbnBYePS1K}dCMT0D&4dkL=8W)9nw^Rq`xe7@(T+4U;%aqp zv!Ia%zO{ZkWsX)zHsfVBX+7V2i|{rYfZujiQaRtwxY9S81!(-)B8mql$NKJ!)=gmA zxbLjOY5|H{GJ{sj+2GcI*DE{N}fN*(A!lhWxtL>6)eL37oesqWl^v^1HwL`(+7BfyjcR+E!7FV%Jt(Elo zmq_0~X(-x(V)`U0b~LMnW;4m; zH(z5^zYE3PJB6M5@Z$Upbc1(6?UNMPhpsbs#^QlV=M%5qbbbwpkhmr#uw^X0P9HIv z@5{Zk*~7KZSSqs5>_1Vx->D!wd_r%UnHQp6+ZQOF5!Z0b7Ywec3*W;HzW#*M&#$Bf zq-KqbqaxU?)4yzQjjs24yPcJ^w)+K`Hash2%w4;+cKTtyhTxIq`%gFc+f9fZV2%?M zg`A_-0&gQj@doP%FN6TqQ@Y2?9JK1n|C;fR%#D2F{y>p33G?V zuPVCy6t59wm@%LvUmdd5Nq8IB0$DVUZX08Tg;Ax|FTC88reBY?#__%;YnJgKRp;^O zy{v(5Z<~iqBL6@3&O4x~Y+LxKqbP!?fG900AVLI0svu+#A%X&eK%|SPNN>_hR78*# z0YO0_^bQf}U8&N0k=}cUKnO|rHqOkwqj%=JGxyERb>8zoNY2?gXP>jmUVE+I;?^&E z8{VSya@;psZ&n6cT8H4aVegtR6DzH%3#e?WTY~k=aJfc>=uEc_ed)s|CP8}JRaKWaS|T>B#)mR=24t$$#&<^F~lV??lZx@!bwARZxTqGC9iWl$2d zNMdC+tnKr#>uh!{*k-5Dly?`8xk#qof-N^RIq0u!4uqs>hlF|aohcs;u#&}a#j3a2 z1;V7>jp3YW&!3j*8$f`*GBNCQ{@rxVQxe}Tv1DYWu71?_+#;*hMD?YK%Q&!3QW+|| zP7;%5dRb>f$qX~pQPcHag@fIOV^3|1(-SkoK{$t;xY~z20o3ylGx??N4$<5DxK@KI z*FihfUX{Az`aEzL(OXw1v^PQ=dZTJ=f&s*LTmEZXK%eVITmAmd_OumOPnaB#U zlNK;#M5(fpuL>@^CdbRi72j~woiYfi_$pTS|%2$VT+ahnXD_Z+V%a$G%Tlo3Vr zHr5Z_Y2W=b@eBM_LmDeV~93@?Fz}HW1qnI?v9IKAQ*56|+0NxJ;s0%Z% zkX(xyyd#)Pc6!BooZm}3#qUcVdIa}cwSrB2YDv&SH$0AJayih;Q@2r80a+F@21#zh zq$dCg;E3G0Dl%3e;l23yB7}U3Vh_KO?}iWXV+98&rgT^X=-Y1jcOSfH`YdfnrK1aU zyifgl$^`R#;}w57iZj(ET%Z~ZIMZ2AP3e`x5zS~>x8}dqH`4< zWee1XIg2_ynl-1~%Od&t$WTVp(C{pW4!e*T&IBmyxQl`L+IZxfM>>SlN&}ZyPM#LN0`^ z;y3CpVN}C|1n)&erJwoi1^dD={`T*mloa*$6LI@E>$SFsr&WXuDv%_);2(%r`2=7s zW~9=jQMw+@m;vG+=enyv`MIdYR?-zqrx+cAED)I7wZDH0yHi zJnOW)Lr{7^@Uv!!OOQpy8S$iBE>pIXC5_9==YPa+3j~$ATvL7^OGX*kL_s!nA5CT- zc%!@7un~-+(My`MoN=p$d~XbxQ&gI8u$3eyt68X4n`KQ}s%BlJ>yskqG!{R!oQI)N zD(eqt?0@0v4X{?c{P``;(8$S`qOPyLQ$7$7)VoEt-ds&IzEvc05%{taK^p{#wg@tMM{xw+M7KOUFay@M_FH74 zD^{4CWun4sgk*v*YtqT!yCP&Zr;0rm?Q z4E~V2@92QOSVjMZ%*9&o%Tb%En>-7=wnxr79)Y!PAi{f{m6zBWu9};Y&8C-9liWjV z_dW0#jK7{}W1Piv!zTylKkSkBlmUM(W$@4_$5kSnVKeI*l)@vfUJDPAB&+3Jw5$D*pCy%i({4g4SC~cuicbRRv1p5Iwd9l!0adQ|8 zzr#CN60*`qH_ybOz1T{Ezk;o^0d-8}TqEw*!8eL^mhB~5q&i?u4D5hNO1%x(31W#6%M)sJy+JlmmZ z>Qs9H&Pw|<*;Jl}jp`(X4jh<>{ktk)tMq{+VmzQU!U<=nx2=Va;^rEfAnyo@gv!7h zI&S6U7*<9)LJpW(yd1<$MbfDdVLyW61$mn36;nO8ELFwvJ<|JkOS(K;YZrSxN7r`y zix*SMS~&0!>jKMewdTy^FzXvxt)?<}bDtfD^)YvC?f~#xo|A^ozJ}4$sltkGa;@B% zn|FGs3XK_D$fjKyHL`R?`uZAWp}T1xeABjnwN0sLFVu{fd=_MtwOA!2Cv^Vohy+xIcnVP;Ah)KT%S)AaV zBc1J8`^*>-1)>9beLFeqGIL`ob5D82{6MlN{4ROjD-pL~Vx6b8;{Gh@mcuzkd&rGt8WS z+`cNIyTsu!PvB2&jO#;%9MBIudica-8DY_iOH2&Scgf7vvbDe1gIY;UVgjwqo)3Lf z{+S&7ZnriT=K^}Cbi5#K0%$XpHgF2vdEpz!uH%|lcRLpd9+>RRAJ5pG_1x*@Sv&o8CCSl1-OGr;Q2Fzf(EBz+*E0+nKe?qn+iq#e=IJ!Y zA|qJoF9#Rq3BboasgMkGrU&UUve zE~w0}dc8%4tuG0(cX+YX8NU(MW&RL-RtX$xWN%E8NrjL~0GzO?!i80b$8f2tX|8m( z@%06eFU5K{eTz&t137v3t#N1kBCnL6W=4+$-L#>@kp6AW^=#!JR$?&wL&7?BX{xhy z6MN?c5uK0w`!s|@z4lz;k+{Qx16z>?6hG?oY?2HdwN_0>?PZUO2q20bhW=%7Nc)lP zMpFjU4k=s2u9FU-hWlBDrRIBt{Nux{NZdI&hMr0ue3u*=+w+Nh)5aevRWczV zoiY;ZkyG~(&uo8dGS0W-{Q)Jkt5wQ5!Y{dyRLfK>vJ-U&(1Rt7ppH;n+7hBbI|}zNJsE5(LJ`dtdb3+@b~+R7 z!E&lS`%U^L8&HSZXkHMO+#HAn^;7SQB>t5{JuM>4Z4f1KWt(_ver4anmF*?~%UCg> z6Z0iJBMiH3it=_bwp)!lrH_(q;&qykbDbtzr*u)ANw~pw0`pS4cc|=>kZFO$ z5yDJ;P4Pf2R@74aJ3kpOY5$|ik(4pECyTuoJ4(!l#Tgj8)Sc^ghn{ut-9#;}CD(K2 z?5)4gu^%er<;tJ}M)0o5Y^I0ZOTUXcp$zPpe@fG3 zkvk-K(D?j6*MC%GP`sMtl3u&auwvR$Cx73WhhC#S|7<;wg_b zX_k#qC3(`CeW)4<_3%p6NHNdqaCbUgdS+1rI!S%u=azQwSjY)2ki|Iub|Ng^B%Jm> zOOU%E@wpcL^;U^v1W{AD8(H;tnbc2EneRejt1=D|2PRf$<^>0bldKzRZ`-x_M$sbp z68;!H^lg;iQt`Z_ak^hX(pyv$L@EM(&^b2vE8j zfIb4DnOT$hB`;RDeOIyA9#a?PW#cNQmm@67F4W3MKJChoh$)S8rmdME7v!uu%{Xgc zKDxX!8BRr^G2hs*a&_|%$_zp&#@mhs9lD`0i(l^1{*RXpd5uSK{PIgS&-|vGlM|}u z&7L_|&$=LbHdI?*J$~+g&MP28!gWdbv7k9c--gEH?@Fr<^}_3{T&VSD9a22aMm>Dy zb)|I1xN_u_L=0GbikN44+vX&&BFB9m?OjXjs=uOtB=m?^Z5eHOi4P z0(#Yl8qCaQx#j~Cd+&9}7DpPtso!OGZRGgUBkDn8S!p>Q-bBuiy>o21f|SfV%9RyN zK4xW1u8hfz)n{bI6;Hh>8`8f>z*tSI+HhNPtp%kRF|c>#wWjIp6J@eG>Uhwebw;y+ z!qPIh?s2yr+{R$Zl_aop*;!Tvp6dMZGy+7xk_N?g&reCs9I>_cz;^CKMHc}6#fM3a)i za^FA3SdMQ@qz0Pup{ZHWJB(b-Ln-r6X8P^j&+c4i{f^1SI4uvCk*Qhb=2?F<=!Ci^> zdIg=-s9GDV;j_=uS_8W}!Vrr3j|&TeN%-MqE6R)yEya8GjGu=!M5H}WN%47=tM()? z%}9f}DlA^RdKaWHVoY;7-c8QJXLy7?qSdgEITl^fr_8qBF21|>5aDv=IoBd-Eps39 zoUx`hh*Js>>!9%~P;b}SOi?w?9uP&GZGc}6L+90NR_QP`=WtiX(gvV5 z?wD^@Hbz$MS~?j8T45ssMHlTEa5do#kIg{yf$0X|&DTTC* zT4&pQe6eLa{kkmPc_1MTFLDZ-xDJ7YE?wp952i*C`sm|9{`UO+KKiT8Bg?BZ`(M$7^@{}n8m_v@o%C+Uj7*##15+V)S|hJ9&Jgc#mi@xK12q2$3o4=DX2COhRr<8tWSJj4nrPs%(+1G&Qe* z-L8qq=wgIr)9Gus=`sNtbu1KCO=*|lIWyyu+ZGJ zh0x{8-Qx4&xQn##9LTS0l+qYlN-u|pzREvuxnb(OTl&f&Mj=emYEOKG%l35L`(dIw zNG;k8JSq?)G|7=cq8&z96>yTZJMi^>z|jq&)8W4IhPTZNXIX*zL z6yMdW4U6FmuIgll7B{(484K6FHEM;&m$gNllvNxQfuM)9+LJ3oR|MRJSv#E15BAZN zF6k>|u{azxe(Gw-xHPwVl&(ZaWqg9iklEkM>n#P>o-d-;-~RpAu+<+>N-BALr|diC z$z37sg~*{Ih*f<;%relzrkj=c+ZNPqUZ8!KPtG|rc~<$$FTn0yUde+0JHUqW zR7Ch=rmpKVywiUi;)umCk~{(H629` zUMeA|LKc$=j9X;igHX!D!=M?O3MmUwGRjiJ>_(zPx*;biVT&hqNn9wLDhWW}BY>uU z_Y{dslK6JipEwhKio?8*oW&ySZ|Y2iTIA$zUOWYMQe_6+{GZ%{R?n2xad37N7C_<_ zpQ~7H@{S`TO9oTVHL5SUxVsZ1Y*H%Lt8&vUeBj63+9=j^zj$)9wZ;mxq24njc%$WG z)-xuIB-M=%uptCanDn3ww02_$2yO84yXy`P;CE3I_PziCPK?xysuKxby?NS}`itSd zJQLpz(wv_;eLL_6Ir$5xhYmDzIj_6ZEN*E$|z2Ff#u3$4Z7gYHg@#2XEv11nS>W$kCoymZx(2}z%Mu) z#MtuQXp8I>;RT4SWxr`XBarL-YQG3~^!!O59@pF%wV7rBeRr0Y3rrRk^_#=$NuT>~ zuY(6kJjf5vVKdXP(e@#_QGKNMGE8nrak~R!Pvfd6=E=Y^s2*7dNi5B#x|cfDcHzw1 zHb}sdx_ICa1^HH6N~xdn`?4s-7Mc3-W+NRL>LxWpFUfLU6VS2Tc&t^0E>HeI(NjN*b+f~iyb z0ra7npIF#xtM?R=TlH(}GMt5W!V2wPT*}!Zd*C-Ci<6DGG3PXazV_VaKo1Q_xqyWT zc7HTn(=cI?_l-HyjI=#k>b8MM2AKIDFuoIj_Y*hA;;-qvSu%AaL)y&Y#Mhu(Es*rE zQ8@?}3t2W=^jhXCsn~$TTEr8)x5(xu@XUxZ^NrICh|Lz{WHu(sIC%#_c-nc342WBC z83DSYCvA)7`nD+hZ$8Lfw*y4<4cTojDQ)NIIfag9nLl+s){?{3|LP-rQG;rPgdaj` z)TG|KjtCT6JZ1&}Xc~O2$&)EeO_~0|TUzc}JpK6s z_V%!}z{MB3#ogqrKP5&bO%*frqB}g;M1MdA5^fDYyod2xU8R#jtPH?qJ0(O1o{G# zFIkf=fpivKu6fvtMSz#)(Uz5}cq6c`&3v9m z!Rk9dIm|Y>_ZmiMo7~%{6s_PxCo1;|G9PJFC12b&zHD-4A}=t%?3js*WlMo6#}G?_ zQ`W+$o1zbv(z%N80@a3)X1Xvvmp*#ep@WeuRoG#RjB8+`2cOjdb}m(i`oxTTV{(@L zti|-KXOmH#f*&=yHPydAo|)sd*W=RDoe6~9j+qG;1DXgkeD&!f8#>9Ej_f%E`tj<7 zRMgq8$xHg?^!T7yl|GXAICr@rY!?aU=M_(*l@S%gZg^pm&y(Ac0h1vM4T~3df zz8wQO`R}S)1%ou2Vv0suYL`t!`l)FH7bbnv+i20t6@@V zFKSw6CJGlxfc?Z7bPgbLim{9u2lQIWZN(_DDooxmH!QE~>UNR~|+^!xt zf-{%zUMXWIM2B@!El&`eAEMHXo1-6H(Lh@+ZeVCZPx@`jyl%kMOi5&yW{;#HMVToj zV1L`NexD@qKqYIE-4x~f{PnAC%>3ztf5tw~msxSY{Wq8(6^$E*J%}!FLTKU1LLc)b zSNN0`C&=yGBHMHzd8jV-kmU9Rkyg=o?&QhP>32vfJQ`L33OwF`C?%mwNjtvA{kNok z{O6bWQx3v!zp4NIXGmFt4h*{#Z7gXI@v5_1B*AE83yf-f?wmhep`GE^Ud&~0t5O4T3B(f|5q=>(-LYS9KjlUJ;eI z$W&g{mlTGNZ63SLjf!(rm0Qtz9rkf;b?V}CTF&H8{9azee4*In+Oeq)VS4V@Gz^F7 zryZ#)3w${SN$EDA-*Cd2YglRG3<=FUZ5-#vU}N)l@6G<8%~sG@cmVCc&F{S+ z7(3^{9gbIOn2GC!E%>`vwK2>@K7!s;JALwUl#=_UYY{GF7`33I%4$zH`t?F@S+0zy ztWE~k;^$?hjU3*8h5pL7Mb#g$DfO2=*YD`?kc-lBjP+oRiyN(Q%!+qh@edjcwsw+@R_@T^yD)>n=0wnXIn z&d0*STU3;G}Bd)%fhoMzSow`YEC-mvYn>RMi-0~7Rc_Zo{ zd~8l^zq6ZZ!JDXuU#Ir`W~jc-=khC8rE1)Fu~EUTp(y`RH}69IaY=lODZ8z55pY)vfSgFI+2=U|-9KVRQCO0{jGPzML*ufRAIJy(}sSda9*!tc;=F)^1z zI;`a(_M0NWhUWtit|rp=TfTVl0~kB;Copyrc0#D9K%(QIuSkNVI?qGtlfK-%W!=S@ zL-*%IhX)N9+bte!rVqNFDoEuR9FLahY&Vb0(uos+gF5qz_MmHcl8Aa+`y^_=K;t5g z@x4>)Y`$Z0_^U90LK!F%Rp{8H{)~zZ=-2+rf+|1Iud#+L?t=HCR|;+QJ*yPMGmGd! z;66^&tLa}@5x=kM{M`IQ?OM{M6+P~0}>-v0tupm19~L7jWb2gvdn%YR6>383-XFyH`-aASq^_IH};ZrsxT>QLUkq` z&!hbubgj!`cd#)>;`Ub`Y`($rQY|fLsK`K*byG|}H%yV`rWOal^1?K7FJ8Cx;w!(! zsW+7$6nlF+FfQyO1nT|vrgkQJ?IPT6W3+?%M7(#Dw#ER#&?lGCM(-q8Cr10O_%E@ToKVX@nGiTALP zX28DaH=aw`eXb_RLM!Xl3WxTkoOMIuL@(g>9@R(_Mi7c5%rQ}|Z6otM1_dE;dEv6L zW*F9BeO#zl;aX2S`p(fx&QE0dC&p6}M>+*d51`{3xgZ-x2qWYG99W?3T3MS@o$c78 zLppKLJN*Oe&F{z;1v}yUxk8H$t$f5B99l%gEB2$!yxU2y@XR3fX^X5(L!!f5K^Amu z=$Kjem~ndt`yLtxa+t|>G*MtVTYR_e65v%H^4(_mlChD`h`UAJfq_bRTv~N%km0X{|l@Qk; zL%OdhpueB`{q;}FpXw3({@Xu2tB>VXG09Y$>(*?CJ8O4Cfy-QvuSF@B{{ zb~S9oEZ|KSForiFrD+GB_eJ?RD{%Q8d6lT4rrXiC9T(^4H+9ihzENeLim(Kmn6Dmg zFwAG7ci^mLx|oYP zqt^ZJ5&PQlo}dNlNlqg>+mO}CrO*$RVE=a1HK-K54Dup!(33X0R3)PkhPn%&z%Z)2 z_-R@S`Qkw03PG2&Nxg`vcc<%i{#GgXD{GxUA~0z%?KmD34KGnD$;lo$pEttaqGMmV-=$0)JI#VK%u0wm@>4L{Xx$JOV38nvjw z12O?!tOimYveHwhu5P9zyAR2=TZXTYbi6xD#-^>5YuT1F-+pMhTy>_wN_JhKW=Nul zE$Erj<-7BNg98VRoiu8%zAW#3dYeTgA_HI3QG z$Ir3Bo*RXoo|AgK3aAp;d&iPyS8}@;9HcCtrz5NNq#>M;_1Xc2zNQ&JFRw~UtJi$(p4~sM@0*YJ_4;^sr^8RQ2&i%>wVBQ}@Ta4B;BWmD2e;W$ zd{b{e!BcZ4*`9A0Vs`}~<;GK=x{C`N6aD`@NXUg-ZtJ<-y7`)WI6u-eVH`zr+ zfD%YGj=P%9;{4Gg_$79CKIvF0XX`c-~~lI8=OMeA`={z!xgkfZ&O?_tGZ8%q;1dw z|9n0F7Jq${p|4Vg=z@}PfilfINX>1ggE#sALB&jbK0@cfZz92xb}}knM%ZM#2qgII zygY|u@KF9f0|^b{_s2L^(?Bua(_vFxtKx_b0MRhGcOiX)xKP&_qIq;IMgZPFro|`c zo-|za1HkS4-MhAD$}?yJWUEgFaR9a;beRA(`w`x@i_SaM3oL@<7Vtf9l+>*MCf?gW_fEh0asQl)ujj90 z3^`r`@&`d%?rRrENa{~|0hH@QH4*wb@Q~xyHffd(RgU2P0V>;cM@!tZvO5MCplKrg zOim(TdLMMYl47QAL!aXbu&_|wK2#i>%|$ltc0hT6EJwgU$XqP@cX+(NJ{rmBfOSog zgYv(*w(t0k0LLBLJb{DMhm9FEn9mPxkD!SLU|EYFz_QP`!Ls(fu8`e|-H4zP;mPel zouDZT2sUs86;zlMAV4!BHrJg6MI5F1EKl9yr`|{(pW^%nmrLVEE|;~=3Bcu2K<6Fk z(@iGA_-}blOW6N2-Q(}{*8%F`3Bpq;QgJj%UgwaGj4-Z*bu|sZC;XAb!YwkXB%J9M z8T&4|Eiy+%;*s`Md7GQCCqzZ`FnGXSNOxD0(`l_^7k{4D{CB$h|8^e#FU=K{UTw+D zEplFysIYhRXMDRjYahDN1|Oj#*<9O(2-(>SVse%D5P;{Tf7$8E{T>-)pU!21GysX9 zF36_Lt7AD&ei9)h5CD-;RHEQmCjdH0eF8cOSac*lU7zTQ0yYEPYkhlk6dRR`N}y0x zuA`GYqd>+iPiDiQSk`!WdQ)9sE&G!oMUSCA5UKz-6xgGbsBV0ABzKt&pQf+(D%+_4 z2EvAkF*u_c!Wp_G&P5;Zz8*WUJ@|+DRSe~1{&CPh(&V5B@F^eK!9Z*SsnL#6IO{_f zg?dze%V^k0G$_`#)GPWc3+(*%P|&wP-9Pqee*2{Tc~5&6wb~NOV^zM^DY2AYhf*nQ z0evw!0MzgAOLo312^f)Q)91K*ZXyTvZ;@3X*iEzyrvPOq1aSaS(H(2PP1yl(1chG% zqctL4D90p;Rih(@&Z>Ymj$wO0y7IQW(}lF1KNMr(85`oaGokuaek#XM)zd7~lr!=% zKL?^2%lS+LGbA!wMg7o2+)(o^uzahZc8ucRXUnURjN_(3H)6w8jsPSj!Y0<<4&F>l zC8m&*b6npsO$%jv0o_ofA#(3}r>(0)r~%H$W(Z=-{6ZIjH!*D1=6LkCSOfj)MSfcx zeI1J!BJr5V5;q)W!@ApnKY8CHjCbPfq|CxHc6OUwrN8n8xvJEt zpMU8mH6a{XXYzk6z+o%rE>RBmG| z#eK_a0dJ=yL0%W8j9@eDou8jZg?{=#sQ3BGzp^_8%aUdUCnuK(ny;o}Q&gAJBX(b8Vzk znZ_+XDymV=?2cGyeOod#2gtFE2j5ycyY}2L+YdIZ3mkae(np<&ZF;%rxxGC!=iiZY z#M%=bZsb+k@E&n>FMDa!WkR2k$|zRSG+DUM#W)Vvs!&)MqL<@Syulbza~6_FH#e{t zj?*N$sSRLo2$=&ZC-%h5C5~7MX?s=NiWWUxpo%jEIW#8QHa+`EwjYsHZma81^JUvKYAEqexsn_Ywb4Q#o1`(|3VTCQx?sM7{b< zGxq>?Kp*6rQyU&B(7j#|zEP#3+s82#Oq8}`F!PSPx3uAC;|?0m?(-$%#)>PB=%g|U za2glD#OnEb6~vcIfE}C}SYGCB)+YD-RTJ~~`Qomk|%~S0fyN zj$cVOOi3Hh%DotPaa{QN$A|Cf#`Q;%{MTPYJc~r1LuAkc(FA`wx(W1MP==LEfGo~W z@m!F!c+GP&`@;gk1omRMeI{s5kF{3T0Uf-=!rF6}CcF9VW)8uO7C`R4iQMns%(`}& zEWm=?k!%@B>#VYj?J?G7F1yjAkZLWuGV#Dcb&JdZBfe?b+3w$QxxLwql}yF&F+!Z7 zzeV}VZKsel1eSr8shE=ksV%UX%sTN9EmZ(+>qjbZ1ga><6y; z?ZEr1wVm?p&EU zWuV-E4XbjU>x1iZ_!Dw+oHYv7%&vip1?8dPouPYYZ(W$rGZ6-Y43IP+=2%K~q}6y@ zm}Ve%I&V2}nTeD&Aoblq5vZ^i794(Ay81?=WPECQ0fcyZrn+UqVk*X_)~;!C&Wy>> z=Com9zSkL&H$}<00j!c=GcmL8OtXJ`Q;|c#G-%89mR`k-gNIM2XQ+lAu-b6Rv7DS6;Q~ zWMx(M0#o9UH2>LO&ZzquR%*X_8vp8BIQT3g;QL(x^7d8bFi(T)=O()aV$>;9)+vyk zj-c;S#=^i<=L>p7z!A-iNqci%d~FNf5+B zkD1@;4OIxE-QRS@!Y-%t-aGP@w9u{(RRA|d&o$(gHFuqEzA6VKV}z7WgN~h9Z$VEl z&T%QAEY?jGH%D)}1_kQep5QE~Mmu?wDVJSWnXIhdO}A&Xqa%kt!;|}Fu!Cld<%zqn z2WeHZIK;3x+_<-j?lJsz!$%XR)769}P31^Yj68~;ul`Nf-u~qEe0I=;iC1k~-nl7i zji(PcCR`SM1c(|QuvFU)hC5GQPzUK5?9Oy4S8{LcWH#=I_HgIUfr-|wq$r4YD>RW* za~ku^yn2_v#=zSz1>=6hXHfof1_4o$yIoxUgHu)LpXQ(D%fv`81UZt<{_wH)66c*uHp zHXJ#kM<_Xx=ELTYgkh~5J_Ap$oiH7XA1E2J?mUgTM@ab%*Y@p@FCx>=o+YV!l2HaY zT_g7Wu`*GPMA7=WDb5~4q;aRqO)qOrs$=n^Y~hosyNLAJf|chgyE#}}iy zAH~qq?6)>M_3UDOZspNAj!tI&8#+}f!?F3SQO`=_GvDTyyp}XD6)caBy5x=;<&5ch zd&=79ai8aX9LnL9^~guW#$hP%br0V=BBrx3(ZboIesttbCvBXU81c-_ObHc=|=`((Qg4=j)eVM_y!E22w$d}B$C?aNH? zoZ6|{B(=>6ntPV0n~uo-Pjkt(X3PbSUAsjmLUc^-THhU2K8x=!Q!U7M}=H zjcE~Zxcr9Pq&0CIsrN~y#wyxJ-GgWGER40JEN@k|qAuf=$r4@v@m1jNyr#Xj`(M}@ z2*Vfxd*{Mh(Tr4lx#Na!(b8;k)wr}doLaQN#Nm9f^^W=AJ6LEQQ#&KpZ8>Gp5GZ6bss#)zoHtfK*##6;2shx(vDkMKFOaR07i797|8AbR7T`LkD$0 zwmg8`B!QMgI2|?Q>Mk^BG>KY_p61)9YD@Iqnu9gUv`0Sm(YHI1YP)?i0{iA3uH z>XptqA&&#};g|scVx9-(={OMQ`+U%Zl5uMDQOIH;ppZ|J!-!jCpcThwqk?OBKSy{B zV@l<#bU%;pr6!$v=_)GKQ?>xCkUnTw^5eDa13%va!_%VybFpfR><}2`G8j__N!Sk| zNJErJ>w_%Yx8w*1b!96+LT>;t<7sepQxM{Qa0fq*1?wm5`gCJIKY6hT_RV zK`zm{z&8gT{BUQVolByRL9X(`NV`#_lcyl#p(EiSqEF)xFax}mqavi;hlKNvoFs4@^0IZML6=DW$MpQ{_=M5sPz)E zHIn3)XMdY3oY%Lx+I}Oyd^7%{_lo{sWN;wTV;J)^r=PvG!Z$0ibq-CmIJ{s;9w~B^ zrElg5Cb&Z7deZEwCgjcSm(+iyP;6yNXk zDE%8)sr^PxW_<2ue(|88GiSRL4Q2S1`*=KtH)8tEnBEY~M2|y07y8e|Piph;(913`l)?Kz;l0KY2_q6F9zvCFJ1d=g)#@c`;Qb`1Xf$$uJY-X zUY?kaA?2oZx2$zu%hvQ3dzp6JEa1}6bJEGY>83F8dR9U1r;{mH{uAeLXVDloF3hBp zKId%DyQ14cr3nOiOHot>P|IC;mszH<9>*S?;c-oYPla{|?Q83LDi60lR($@(uH}0< zY)*C2`0L1@J8k(A+qclzllC4A@T+qU16~;YC*R>`9^T*U!TplY2)wGFy?bB!p`T{v zlVA07*Y^ugv`u&A2dC=W#C-0ef18+}7E9mW7>Yknl;|eLV?Edn)j}M+K37- z#0OR>TOk?pK2w8qtxUUQ*;y-+y={!-VuDk1);&I3dVYSeF0f1npVn&Mw8}Si3j!j) zHFojM4*g0{=i9{mffJ*dh3kpB+?C&oi6qAb8b8F2D%&5sudmvvpWf`OA7TICackD; z+W@LmOJ4)($(^(J5umoY6Z<5)WoqQ)CQm0G{ry=cfBYGH>p*8(_iN{5MaU4o_9Me> zm@}c~Pewm7jNL9~*8C_=zNs55+9|_;$_y@G70npaU{PcX)K+M@yetoWoxyje&P6s+ zt@7?nmTO#5!gZ^zVXMo?wfpD+4Om7D3E#d+-y41(^05W!a*xyyxH$t)cPR@a|8&6Z z;P7i3W0G^SEr#0ZiM?r-;Rg(%C*3J-4DM9SlFQD>x!gEXq3rFnFZ1c{$5m;~Sb>s{ z%Ufg)Fn$fEV>AtJn-aPU95e7W=aPQK4wU}!2}vTFcRdgr{o@zu&#WUzjW0H<@y$Pe z(e>ec6VjlTI7+TwW29x*%pbp4J9hwF@2B4ZxA5s3r(@)z)UzANLUig+ z--!FTfxGzOmw)(%rBd?SCH+rlNp$;(N=04!B(kq~ zB)2ryD&okC9uL2+qKb!|o8v|@gV1wnzw0>v>Z!0%*<`xkCUVc^LM_3 z{36}oi!A*3ZWX`cP>4G$fq;(_5c10Ppp#Ju2TpV4^Vdx$KHY!C`P<9%m5AJmK&!sU zWCW3lLq=-ilKgjx9YTa8(fYU?20IEXuJrd7B}x~M-7#T)qIQY34Io|(WQo{R7w>sE zkn|~7G0+C!gXpf}At2PEiVNFIRtB)H9|o5piwwWA-(9G-e<6- z)8`SOgD{o8a`@}F(v{ju3$A-`?gqnM#r5Y>vlF~uc)-rM6>#8eSct}%n8T^U3T7Pl z+3V71)$aV>O-BUH2*%Ual?FANJ)I+(oTkP^NZ-|rCWxYqT7ra?jo2Erk|rL_h_1yC z?=Q1S?5noqSbSuWAWrAFV>QXYTmJY5E$ZtPPS2;NYH2NMwAFdnoY4bvpY(M{afSas z?s!{iukq#rE&54we^T1w-t*fU6R7rPVi?DI2kO?Q$FEM=w*h{zeE%0F@#arv{fC!h zR@Va9<4(f@x9#jN`32tw_|bW4{y#N|hN*gIr?QHlc*saL zcv4jFezO0NDrUFyjlx!TzbYO7`B?=zGwO2{SkfZVwFnwe1Tkb3uTN|Y>RsRO z*D=+)At>u<%$;6eHhVuMPeEHotjU>ZLo73wVcS^q?0K27 zcT$v(_KH_<+Z+SWMb+3_`sEQVC?4O|aG|D5p)S_g#yVc7!rByCX1)Ij{Q9F9L@Nu$ z(p8-^i>rtTF0IJrd3{LfNbZ_Ae5|`r%S61Lr^iYr!b%NyIE(=Yt`L=digjZc&_E{nLX-9_2OtUmCh*WbijeYZK zpR~aKDeF7;yK?Trrenm5eF;m`#(mIt6=lJ(n_;VW?A__=jQ-noIjVy47sa8n1#PFs z#qMdSvE&}B8j*(h%QaurIg
?~9~WXw50-PfuO&Hq-=E8UE7=YT-`t|pu0Y6h8HX)?9UspaYQ zlghc%Q01=-p72ETM%<{6a6-yP>TM5nVR6)(WW~9YBRoDP!iT?iy&g0lA8S}<5s0a= zaB`F8BnH!H%ic@<0B+uw06YEs0DMmY^<#|@!*E_rdS}h)y|?sw?5nR6st6Q?gxkEw zSfjk#A(G8H`}%+9<0fx}9m%R}ch+YQ%@e{qZg7d2U&zBx>>};b3VSSaos%hiw^P?; z4L&$pz3=TD-Q>~Vuc^O+GlVW3J|Q!C&uIFce%PY?d#=geL+`({!z4Phf{d+F$sui+ zvVO=N@52DuSORs82whxiUcWAuAg&>lfDxGbPqsk+$oh*k=x|o6rn5w`stErDOM@PJ zj@3PxQ5a>3n8qQ4#v|VOQ{=_QI>iB~QiqJ*)2W^ZpY329q-e-1Ja>mxL}8-iZ88)} zM`tTZNzJbZXKvKfu+U`N^)Pt8GU~ZRE0=ksAYBtEY5Q$Csej>dyT!bZf4rA#KEEa? z#Y?lUMpvuMls4JHGufP7K;w)l1I>@Kv=6>Gm-k9dPx_kYgmx3)VBBt(KQfh))h98f zz`*!&6yml^tIOS5&II4$wGrL=JD=pPQD3zwjEz%I&UwQLhkfg36tT&RX*F<%pY4Q6Y#g)bh9R+bGRNG8s zzBrfHQp3CdcIx|}1RwH)=KyFTiA}wSJj|woQy&c0U0N-XA|$K*^xCtL$%^dEVyn&1 z=Vwl^aKpy+XbQ{)mL7=9gDjjfUW`^cq<<~x>~7Kgmh2~AsT**uSM$uw(oAli!shhG22qH{MBK)myIMi*wf7OSFFUu$)CQ!~kAU{M-|^*E&ZZ8qVg$!?-*Z+7}U4HIx(9i9Yv@? zJHkUjT|7>gxTi1$`JOe&qa39YRj8l&-@ejZY9HO@lfs}e7SUJ(QvQ@q;)p%_3xW%8 z6X>wlj){q03^!S)Xei9y>9 z-t&70`3ue5Pm}daKY4c=GSf*Mn9-?P6eE3~k0CnqlH7FEV1xecQp4~hB^|!*Upnot z{7j3yl6T_}<2L9lE0ucqk{5dag~PQZi5HW|AfwI}C7hy=Q;@mYh0Lz_aq}kCy|)Zw z_QGhU)Z5nMZ$(GtrFo`DNFUu9rp>8-<7+mhTt$5)pwFK3NPN-Nk$Og;o`r<(#ZVR= z(pb9uwvySAp~kz9POuH}g;H+8G+F$II(cJTCma6n)5$h}JDuF>UvBxr>`}2_V5XTv zYj35U;q5aHY#p&FELXLNBh`s9m{V2abWbPK-2Rw?@EuE+R<8QXoST2I;uzRcV1rW} zrePW3e)QU<6>6|WCRt(KS#i-lFF*8pEa$9cPRI4B&eo5;3F?tnemfdj`;$ACjN~Tk zlf`z^zWeRAjtWJMGrqRO-4?vI^Y|_8hL-Fmaz$&6{Km3W^GmIFO2SgvpB&%6aqjT< z4g8PDQ>_*073kxkV? zUX?c^v8Jnn{^p==h7VZ`3lk;oB=2lP)P=wNosmWe1U6uvYRb{88|xx?AU=c-P#=Ep z7{9VyMMy^A_-`yRXY_Ve(LY0ty$JX|+IB~!=sI1PMtUrZp^3`z@!2OcB8%$6Ue^MIu4Ce4+e%wj)FX5${j_2KL{oz6V%FellO2uoP~%aCy4J2^zwk7HNOiXUM;Dui%WHrDa-yfMt8kRLMyO zXv&BG&mDZ4w*8I>!jm&4Gs7_AUPPERA zhK%k!AiAV;A)QVl$9T$#+3U4Sa33{oR8iUEe|Kd+J=ImT+ZNd}P1_dPj2CiJrl5ow z`5_QM9Xd~d!ZV7+CD?*@J9AsfBa%VmeMGK>`@aircV3%R%|GN-6~IsH;TUKs{JWz>g1`-)UXCV?FQU*(W^0+P4`>cK}M zuN$CR^1%Vv!^xs}qAP~<06QXZXW*TrC$7|M{_Gdw368fpo7&i-Y|HVQ^odpux>Z4p zyTJY{Hjl(vs)J5{ z>bBd%uKUBe`E#Z94L-Nm&n7*;+d=`g`stzl)`$BWj{AJ^^|vwfbjh9*2OP;1r6U|&+HGVk%h9LFr)Cm;rs(L7M3{d-yxppGDIeG3SKGWJ%0oL}6y@vD)R<(; zwjaelJXWDh-tnqsq5RD;Wof;n>XTmZ1|5Rw@*a2cnzxhJv4@FAbFfR2tj04CD~I)I|sbVMvShxkQHAA1L)7 z2o|u2s$}%$BHe!cO$`2rzh0h;{fT!km935;8g{cw5%Ele^Qj7}Z-= zrrs#ID?e`A;bDO5=bGo5DjMT!N|EP{dW_yO9&C^P$y0p^xWM!$-ZEPrkaPgE<$+LF zA5q{4vH@kU_{p#LyRe9ur^*-0LtMr7r>-OI{_!1(`MSP3Y7ch4=H?>rJlfT&h&L%s z4ZDuDjQd~23)nSCP%jN=R>eK;{a@6q8V>Kr<7C)@WQ_WWcXXwgz7q}N_Y&^lU);k6 zounOOnlSj|A%pRVOV^l|2*K5V3l_c9#rc^_l0_eyO8UYI^t@hFo{L@-D1KBW=v$E| zj7A@TgK2!7cg(Hn)C#tD?NT{W0;%ky?Mu>k!vsh>8F$)lMn`$O7t(HIK_|aHDM?=G zV{HijP48K_^Hq-N5C)0xX2Jc7GYhVl!Zv@tNt55J3b&$L#9 zo0f(&N_n@5lJ@3Gm?F}eA>4=`nro{XD;YhrDb%L!GsCEW53p8`D^(`fR(|5S+T_%w z0^i5@{wXr8*v71`CTej}HqMf|td2g8HG?z9LgW-o3GR9t6m;}m4W+_N&iv)u;I{yW zT6#*!PouMX`Xd!x_q`Q2ifLIU{N7N5-hfh+a;R8@DxRoYYWIK6mFa^hj0jpPyWDY{ z`LeZ=e$OZ86V^KW{Q@E|`c1GF{3{ zN|mo69)gobjwCeX>}vf&#?Bty+&YNfo+YNRcgnAm*(A?+WYznNY6oXk?Gps<7DX*` zafLWMFy#`kl9y~R)6qn#MycAgOOvIwIG+Bp15$eJNGB(ttT|Zw4s5Hiuhmral(piE zsc%T2nHWX*s{;ff2h8u$Vb?mTZ{~KWf)ABjoM3nRYj)hGGCyXq=Czzsp1E$=iwB|4h5!lQ`=Yq>~$1cYm`Ga**$an zLx_G#WTOuyI)Zgum;7Q-7}8zS2XCFK^j&bKW50K00iG{B7(nUAY06Q$5oK6=pukc{s78Q&ey=(fvT^`+QZB=DMw!F4K*$EngRh!3OEo*Hzp!M zg6NDxv4?g1Yqm6qZz~0e@g6M(j~GM%=&3{hqFHhHLId3J@K(ah;Sb+#O~*cFoBcq^ zid;~!m9Ek`rn}~U=XH8(K?uexb1`d?og?)-CVa9dK5>eAM5~Q_YH}8?dbhkP&K>$R zkO=e*rewK4-*zfbKT$~N;XafLiD&&%6qqBoWZIIPQ_5ABW7ltS~>AYlZi27pw$DfoMFse+DD-HoB^+AkH@N%I&p9`!Hif%fyU z3S}-_{1@|LX)8g?d0`nh9I6~G29jfYUu%4w!i9yh%%$)|)hC#=<}zj$+oQ)QZ50bS zIumcLI=uf&cd4XB`}!mg0yr88wxuYx`F)XqW;p|c0wS!EsJ|Q6kA6#5nqLPpwUQ&2 z>W>3C!7WLJj=RbkG2I_F*vp65D}?bNvgbA&=A^twhkKgi1E9#g2bs~7H2<*LS{ z*JuT;|KTJk!3H>NVSSGwn8h>zRP1%a512Qbj=v$+rR&9^=ZnX3&OASw5`JjK!F2sv zrYEO+#QO%B)H{!gi{}>t0?k9jT5YenPjj|HvaLsof^y^(BviH4V@|;HSWy(0uPn5L z$d|$A`$GT92u`zyuasBosy6*?+3SMOt%nPd*Vu<+7(c7&-Toc_pb~i{vXs%+*uVH1 z`&I4tU$3S8FRsCk-*Iab+$`~5EeDd4h{k!{DQI<*BF2gV%4d@Muc3d00ddwArq`OQ zIPI>V|I^ZlAi3OrcuPfpOB30ZnXBoLS#n2giM})Mh!M9|Uxu^;Or%e*b*ebvu7PZ~ z_N7NKrU&z~^t8vezD)=0P~h|eFzpkIX;`v(z-n-9&GY+9>b>Ue^JkPhkga3H5do5> zpr`4L-yaQ#P&x7jaNB!foUT(5xl`=^2Or-hh@TA-IBlgitbNc^ZZ?Dkb_S70FXeq6y;rIQt-BzRm zYE7(cG{yCnS+;4!vSU9zNu4=I}^5%OflV3mm z4jZMPVyw1ixB$bz3IUbnRmybM#>fS4bHl)u;a9qDgOz1_ns^w~53BLo`;`@+TIr`^ zBj2&p88>|_ywPEQ5FO@Uf@$Zm+}_Lc`L16-HqcodHQg-9R#&O?!KsqB)?a<7BlG>X zHm$Sua3)gN=vN^zwxac(i}yHj9S{({5&CWJC*Jo0t;nb-l~=5V+bvm@m8l2L@1|&2 z6TVXdZk~usD&?^gRieRA|2fv$5Ro$ZOB-v7m$3fwc{ynKqW1F`|EX6lVMfuwY{IxY z)ksQAe|qzn%kkrgQ_2&Ck1_~z!MfCUGR=@D12{_6IwlsDKC1L+6|sk(KZX{8TXc8J z3s~Y(z)xpcyzYb2f2E0n{erevNr7b=7sI^$>)@zj(NN*;+4;yq3suEcm-KzW2BX%? zOl~;wC4)-c4U%P%3vlAy0?HRs1XmbGD>(LnlcT)wK#cc6k^H{^8sQ5+`-x}RvAJam(Od1(EgB^TY0v@PgZrB5z@O%bN;h#JNF~a-S%dR!hggBik)S zlS^rLoLQ?;R~u95PF=q2GF?XOPyn7e=ZT&vs?gaXW zqAMYKr`6;=7~#Y?w>E#!O0GAl;mDmVvK+Y+=b}~XoIkDemHsx{(@;A|e}gd`ec0;Z zl+Ere;7*|Fr~DE7xN{3Gp&F}r;01V!m_8WR4bDMtwR*&SrgnZb^kmRXgAs8D&!?i! z{`J)vlB>PEeMBLA^64?SYe6yjYVq}jE&L&40l{wPUzu8mxh~$A4X?hU6#u9 za=Zv$x2tFekI&4j zIz4*L?wX)ETaBFo1lKIAO;VLEoeX4W*a)=vN@2r9IDy&$s3j7YGKgpWW%5d^oB0{Oid9~w+6&(4`SWMkA3pgdYl z0UDAX=&#Cn9Vsk01>w&jsuKZ|;OQwWsyxvdjbuBtG5Ap>o=dZkG;;2QOX1Ebw$!Cp zbS9d3{T9R#eMq{Ukv3FqHIjPYig)9)u&<`T^n(qY;Qnr&UFAt_rmWT@%}8B|&H)>I z*2=Yabh(T-78Q)`Do zTgq|{K9>;l8K7U$(4Gni#|q}in|RBZML6p@^Z8_ik2P9RJ$7K#>Josj~IC4J4z;ra1c6v;ekLx z#%MX(*JZe|%UJCoZg=giYbV7a*0cab>)duP{l5r1J4WbDoA zgvPFW zbU0@s;R)yxnve*r?QEcXiDmh{)3a}U_Zb2~b2zx}YUh|!o{mkQ#gjzcBe9<8Efvwp zVjk}MRem1zAr#)=6bLDngJtp}(_7e`%;Z=9d?${!NYjm})Y*iXPLzmPu}8D&aNFi{ zb#V6j^rI8Wv`}~Rb_j{~#-4TFWZRdD)>7pU4-4%hnq4Fu4XD{wQ}0O~4@MfWYt*bB z54nl8M7!l^@WxpbF0?`)(4Rjz72MIv&(m<+wrdd|l0P*NQ!W`SjGOA16LWj!SZ*S@ zd@8wGvm>`C7a*`P1uE}y+O(TDQ)vd}-32v|_iJRp$c4r(pt2gFf_bd*D5BQd!mY~& z74?O71rBxm=mUuE%&1c^gH_iK&(4s=NBhX`G);+6O7`ciDsa1y40TaPg2g7{{)H6N z?U{tTMvXV*&$n<)>A-;)WALWr2+E`w*Z7|7{&GM~mkjWG&%}a&beEd*6Hi|Q;E@D3 zba8Q0V}8y#obQ-K{pMs{Lf)xD2={rTR@xn1O6Fw@V?P`j5Ge1Dr7cfAjm*{W;a_rG zsuMoDoTs!jPt*#D!qm%@g?tv{xj4E6DteI)BWGhS?h{E@VeXsOtMlA?SzVDTvf!h+ z^r9Ij*ybg>?@Jq(B!slQt+(Yo&pH?`NT7fI_PckNK#t(jTe4{A$yHZ*nhuFMLg3Ln~S+tP>#5`x$>rJ^UwZ{eREA4VLF6y8o11ud~=H zqVVlm<=YNfNTVFW2#tZU3YBFkOuMF6Tz7WGXZ%D~O$uav?e>YaXbmL3&Mj4YApD}>?nd*)9 z@d>wST$BeG$Tzl)5LoxfK4Lf4KQ3b>##7-8oks}3p zt?blyF|bcqmQk6?D#z@amf6l4$h>m0&r_V{&Jt6fv>Y*&)raFy#SRIma&1ZcE|gpr znk~x@7nqsuvUKLE@2S*I&Ip&jMQm^CFr+5j&Xqt(xkVo^fV(-=RDxwQdDRoBBR=Wv zDlC4%N3fs|Z{K-FYLuDY)m0PW;SBJtlLcQ}eGaMmRww5*W;0BBz#DyHIH|a_<95gq zsR2##;90_gt9g(Y(4$07E#Ye9fIelM!2oUhqjQk{*hk1>-9vD!so+V@RCwx>LlOG> zrzYFrc`wL9gIH^vlCA0OIk`PHqr-3B{W(>NKYF(Wt&~=sjM7g&TFpHebP}G-wIY>a z;a5t#u>puVu~4Z7{KWr8NLNNj&dfY&KU$|P9DM^%8Pthy+u*1;M3?> zXH4E2YD3#la9;-bfs47eJk)q(Pzn41|NBoo(}oLTQT0^Xs)ii9C{3gEh2l`UQqfrF zN2W|yK#H&bVRHEU1CGBs`8MO_D&yJQ=tD z?>B_(K-5jkPi--naLiaaB#?~5i}UQD^0P|heGDOnmPpI}5G3P7^P5z8so_U8cAjPf zykS>#=6C^K_dxMO7v%_flz#9^D$^o*2J5K1wlUIxs@ae)G(aDJiWeOYADQ=nt<|$s! zQ2K*2h!;AFYSR9-rjOXfeK97{TakN)dIs9GiWIQaY|WKEA<8WfQN4!Xpf}-(#=WQW zueKfRM6qAT`27v$H>_I?}yK#@ z;68khbZZ^bg(3 z1NL3MOs)BC?=g#{*~YU=%(}6mw$P(y5e*=V)drKjZc7~{XsZc5-JNLl1cxAZQsik8h7d(p*Asl6 z$6dVYo)fW>@?D|GVUs@MB;56kG%#hQXX0H$-9k4FMVsZ@E7v zAVr{{v;)>O%vm^QJREYmmncTHPjWkN8_71j_oBYiJ6d{Suq2t(a9W{&-%rfS%G!r> z%uDKE-k`+S$)C3Za&H{fXZ(C9i&kwVXdq9aYu3+WzC}XUavSMXqvxO(8^?JGkM*!VXcQQ@IM#vvGJ zh{Ea7V-Vu0U_I$5EA?Vc=zSI(3iqyFdUMlrI)U3J+dVsC*VQpf#XA1ka?TFp-T@Q2 zSWkQjtyug0E;>HZi0*DXDTa1$;vW|lTXQt!4UP#XXO4)*dUEtWf;M@G>Rr58<;{$TtqIGF=^i9) zKYRp_@P8R`<6TpbDCqB9@yM5m9Q4x)Ht+Z0Msebp$1*?Gc}EF>Z9%4ReUD!Vu}J^I zlfp9JX@u%Yn8RwcX`k@(hQYl%yDbYp5ctfS_#s6_ob#6i4m#`^f3bxRdV3%|G!<+t@(~8faLc zT3q+h>q&nWT_YJ+U=scx2CW_qeZ4|1L;5rFC9Py}t|o6j!TOhm-TdPt*Nu*{-ONMk zUpp@<#Z|pcWZ&x1;8f^JB1ZK=6m`^t7-oxfO}WPeQiej7JRZDa4+~`bsnD^D?iE~SDjYYi zmzKXS%y)~D8c9q4;Z1B;vAzJrqj8u=>1vYMc!0PHVxeF`B4ao3!{G~)gKv=ZBf&in z?lMcHr9FI7a((_?PQI|SS2M5TU6gKCV7F?by1<=Z_oxTVmOs1h$wq`DDC zwXz}jLLbE$oZ1{Ro{%WzS$4*ttz#2TijK|ZPFcEGkTM<14KU!cInKAvnPm)1j5ZMN9^g3`vx1`U(wI#7&|k{37)`h6v&>+Lv+fL7|?S zrdQ5}1 zRssNuqy1)6*-;RjoOL*61%!LA@9etJ^d9uoaTGnd4Zl(DTs3Gus_%2M(S7eo<|p2r z{$+4F3i$yVATGw$`_wyMi&QEynRgG32VF4~G~FrjYIG4Z+EuGL#11Ri^Y;maGKVSg zmvk|ovL?VE$Ck^bI?d6bI`S4WR%BaNdylJP!w72A7I2!u`I~&3n4+d-ds5b2+^8EqZ*Qc;luD)0gKmEP?BI zNFM&!O<9TfRc9m3&y$Cp!QxMZKOA=zCrod5D^7QK-1nA%YG^xe4Q1zjm2whc50;&6BzDq|xon#CVd%tbHK}YoMm_c;vgy-0?t(4pIGQXjj<<;H(WoIMPT5-8W zt&g>?A*^oWH(cKRAaRVC&t*duOE=I9)a16G(T`GQ5B!7a&_AWw_4(0K2{{h#V!q2v_s*aAFZJtey#xIm$!nOD|?)mUULZ1T-IP)%-2S6U~bim58{q_ znCb`{#=OQCi7FdWcQPE>()d=s^&3_H@X;>ZJo!{a5ymc7=V$B2y--wdo>^ORwfGL? zYa@J1L&q>VKAK*V*_#9|if$n#2oZd#_Vy(HmA5LQ$el*-VmUr?JP5582v@b7Ke!1r z>BWHB8wJU&tm=2ZRkqKVsxcsJRm#zB;nRT`U3Gn@uO@fvKYN!U33WN)?ON?azM= z|17eJeFdIuB(0I8u6r_QOC8=$_N5o`rtjfTJnEl#!H+>V9((iL{)x8~Zpfv?4Tv#$ zAv7Cl1fR4TM{Ta3MLie*nK7pU!wkgYd0g4Pe*s^z@Z_WvL$FuVST(QV3HO}!ktn;R zEH3AJ46NP`z(Cf@Ra71oDP=bc%Q9bj-nrbEv|%V|bsTj3OC<5VUSWS~&v1OV;$FTC zZ7!WDSmZiv0EpLn$Ft7^U!?k-ZC{IBZ*uFw>lR> zh=fCnWGA(FL z)~6`5Q?|d;~Y-hagG21bAnTT5m+Z%k-`-8sBcdco+?f zX>txZ!Lik!t8CS*FNiSHq80A8^X^=Ug5iHyj2cd!!6Mi-G7j=O=Jv4GDU$ zhLDj|=Y5^)d&F?%)R=Fw_UMG+Ypl&7Vd6J@tLaH?=u-`N)0sR9Suy6he5If zMFJbFT%Oz>Jb z?KfsrYGG^n3UG+B+|J-AmUS27Mv52Xf6csA^tpuhCUbA2pn<>=g_u5K9H4cMXqO6_ zh_Tcdrc`7{OXJ&p0_=w=_&FdWO|Nh;OKUM~$`ES7zFNfbB05(Aaf$vi&f3t_fEsk_ z_7l%Qc?-z2ex8sP$Qh+g)7IH98F1kt=m$jo%RF@xAP8I502XeMBS5G!)*FV@p+OJZ zuttAVbJu>ouVJD(ag9X;Hf$Vp+zq+7R=v5`inu2EVXXl5rFeSbr7V=@8Us^RWcWIy zh&tyy!Q)nZN4Sj{VOIEKKI@eZv5#pQiH8Tss)Gv^lmU_MUoWyeFM|g`c>hmHts*Fi zMKn4<_dWE@&YZ)p$NgJ5*i)og=E(hJ4859uAJcrf3ITNgB5G>l@okf}h=T)=mc$lF zAMKZJ5#3Ox#4_4KFW~ZnA!i`!F*3zZ^kaV5^sgDSn#ef1{S`@9hN4_vGRcM>jtn*8 z!_kyF`igd@ElK+X&_c=uQKF1*t&E?M8~M3XFA2H@^N+SAS`jDY6`pvVEkdaXDE zEXhY>AN~>Ct%n_@fZ)IZaU{Ae?&1%6MiCga%& zM(4dH zjH9BMKe`HluFJrLnp~B}D&~1j)d58bmrx;Szd9SJOPmPwZ3H?IvS6>q|#Z_C2z|UzT=;GfmMlP(L!kqzFK(?rE2^uM9HLU?HC^~90cVG{6R8{D z+;B_8OZh>;?Z2n>0lp&A@BFrG=h>ykj}k~S2-lFc0&kBmC=c1Pf2TJ5PrwjCXaDK+ zmY%{X)dpPUN#3fm^S{D##T7*OktakR(r)#`#|n|o=tgAD>^*W*ioocD&lNcg^_8QM1F&sx9?ZrtUYavdIsuj7}!jU`u% zA(03aQf{o`+K`i8pOi6Kl3Pd;k%^1MR$qr)62-WuPk;)Q6-<2o&w(L_ClkCzAJuQalD+YEV?DvX`#-(TFEDEz{ zqw15;xnQ6Zv!}@J%-1S6XP%1H8?C=kA65)))N?v~iGRK`;Dd))8eO9teHYGkJ%i)> zG4-EDDAm7MZK2{`wJwqxCfq19u?U>kzDpGs2W-6??O>{G8zLz6SK`xZ+`kx9#WHQ8ME} zwoBF3f%oL2U)tYe!xv#rUBiM28#tbiK6t<#Ah6O0{_^_`%-`{_|6b4kA6Or&-&+#t ze0Tq};aA`3G4;n5M=B`*(Lt7Iz`kP)nE}{$IGqxL)qnSy!Rw&QTTk@%iweUgtKYbj zd#reX+FszCS6A(R&8#+B>J-v({QHaOc&VsaJSuw--)uNYIQ@hv{M!i@Y z!xVdladF7Q=RrEAdS6?=7@Jr+lByXd^h#2jRwvx^7mk97Y2JQWa&)=8BK($B?PWd5 ztk=uIZZu= zNBPJZQmzmFXu5qAFjTMS%sTVL&1aC#V{MP*d<*iiSiM16ykxldLCD z8i-b(oOrTu>yH=#jaes5zf!yBYWw(_idUbf(`nTy zm4o-$iY47}V~I)LIhRl^Tm;DHY& z8Tkm=BdD8}4ix~7$N?dUE3)OwX4 z(VR#&)_a(L2bU2Dit<*e+_aX-`-0R&%Vdhmc@})DhFzY!@A<}|b0eiGGOx2I?)@Rd zRgVw5;+j6Swtf}h?AKW@OrPL}i3>21a1od!4CDaq9j3Tg4*v5laH=&*ZdL2=t^)79 z2M{H}$RCp2K{W6l?^^=+;Uh4rayta5hO>+k1Rjd;X#RcW`nX5MS9}jSTKxH&+P}z< zj4+qh9?YiWGu(Z#Jt{+J4jQUnQ^{f`FR#S_W4;-l?FbijF7_(oF=tz~4)QsWG7Q~+ z$9}6USEZyr-G-v^QP+#d{m5&z_Q+E*z6|VB52_FVcdCpwZOs;}d8`6tNC1z9GOX?0 z-;ia$1R}A2p9`OWm0<4!Gf;<9TqAH@XNA8Bmf%1D;7x9)E%sxB0Lo{45wXr=2>v&s zXB~9I)|Zi~rrfN#riw+bfW7~K%djR_Cp$gIKu6v#o>V~D8~-w-$Q?MwuB3hIPDaN$ zCaVQK3WYqZ<<-*B(kl#5V-CX8XcYr`Vr`DLF=3an{TZmWz_VU{if|f2KosUNFs1vj zghIWXpE=iV{c8+{w9i}E)S2Npv|;8c^;+mleGgJ#=&!v&wduTIxQ?0+s(l`p%3|=C z{lJz9B=qQ3h9xKhVDtzKCKMc>1s^=jFA}2o!@xkoD0ZN>V>=^{Q2z7DrI`PyN1H`& z;hv_za5W^ra(F->a5aKq?rs*A>QK`2*9Q0C0A$%@2oSgJ@?6}64aF{*rBSHPaQB|| zv?C6L0Yc{Zj0fjy&DdZCzkKv)1)y|)%jxjJk9lw#g{J4NTl&YRvJsMB|F>+X{#&@5 z_-3jClO+R@*)LHdzQATv%v<2>Jcb1%Am?(HU5((igNFfuM^%IM3f?b!{1lYrb^~HB zt`{brSoS0m8Vt6xm<=q;#mR&Tn!DW7eEu@Zlkjx@(z>uDXn#o0AabqmUdjOC+G9HJ ze#);2BZ`RuT24lvXNpa{fQCQPa2VGFSin5?KqU?XNQ#XVl``IvgtA`DsWfYuef*7} z;O4^%d|1i+6c;YV*=&u-p8P5F(+jy{B;?_#J^fsOEJ)Wy(xR>+vE#s6&zD_*TW#dz zemc@wV05tgh>-n_+GBFvnI3aj;t{S&ZG)Fj)}_87v&y&DE4YNFFrZ_{j#8dVS$jiO zO9mz;B;l!Cl3RF7nl(D>#Z&i+hPDG#=PnM7eGEU}Y>?DZr#94~=CsI42!A1(xYRbX z7Am*`xELG>Wii6oR=p;Rte^#3u*COk{N4Kalb$5aFEj};*X80kB*G=?>iqt?mjUX4&O;c=adhmyP{xy9m|#?(GoQB=63_~^?JI+Kylu}8%d6ny+Zo34V`9;OISIN z?h1&dN^8xtc+O~v-44vOdRfr!chkfh*EC0fu5jyIOYVagL#A7VZJlqY!?mkzk6;+a zHgD@S_K_6Ac)g6F7!!3Nb7IGRj~^oE+(>dZBTgCUx{8ANzI)Ys?*ihKvFkOEKye?< z4e>#T4F*-){JLFNU67wZI0+l(YA#u%f9jLkC+HK*P1p_8y8{AmzP^`QsSrN7$L`Nu zmLU3|?wb9w6n*vNrXpgFcIjyO1I#HjVD3S<{-8;DLUU93ih?B36n(?$aZ|Ln^;EVBa7(~grB?jY0GOX8tBCCYa8Qjogm>w5m1r+ z3sip8a%#tDZcU>_lx5QmL4|CM+}Y{r*3_pj>(T};osH+MV+7;;a#%ur_3j%gh?k`< zr>@5FQx|Y+zY-O27xYY~YTLWh5!d%=qPvJQ4RzG*_~n+gHxyx8O_m9S61kIoWCQHPwFwTFpD-m(S0~az)genXE<2_D{U)Ktd%=Y?blL-GWT|r%)1Sist7rbrB@}D)Gxw(y}^Bgd%kn8TU9VqBy%l%ioZD@~N*N(^n0>sqDPw3B*kOd*|mfLJ3(`fgB%#j**Ory?Fr_o*#_&4AxqTbj3iVN~Zi*^(I=< zA@dp$klT8wc8`zMhtHx!EX7p#Cw6y?8p{%g7Vum7D4dV47}r~Cp>>LN^{a<{T`Mot zs`4K)`tssKo*1eUP||XBc=8uFu!%j2S_e{}vuECDg&7>Vk1HJ@c4z2}3*wJp zQs%4*{O(rQEabxyy<^vNqPPF>B~fg|t)u{C(_t|MmKB8;Z;{%JJjHf&;@)fc_cC2~ zc&>M=n4udMIOgWM;FFRqA1|8x4zr*t{kl!jC#@Zg+R?=E2v~pGK&?DUO3puR)<|3B zxc4E}>p5K2Pcbn^A)|9^T$0M|vq+);d#45S04^Kti(@txpNunaa1#ic8eFBK>Lp%d zzUeCZJ=7Fwi8S$ML?=ba-3*1^IV6*W9U4F5?hF~D*>HyS)>_QwdX&-N?qp8w6c>?_?jejFmxD@phFAs65 zV!|^A_@e&eQPu4yrR*nrERO$-Ctbm^%|O3$jNMM-|xk08{x zt$X^|=Sb5`3W&f$L6Y42ra3_`x}VTNnpP_z zTs4JuAJM}(U6M&uTJK|!k zgZp1m^cH;Yn{1ZEGJ?YzbYMwowqNO_ITF<#A%mL^z*C6vO#q3I81fr-7+|m1FG}*Y zhb~V!Uo@=L8EZ3>-8&@^Jkokwtcwm%@W+k%Qya@4M$@@!Xq(0CW(clZ`o$Hqf`Yy9 z%@<+k`h4DT*qbz$S6+6iv|<2<=EI(Fzd06v>5_I^(G!bRJka?TcR32>?$W>Xd4Et0T~tM0BrLFhmTemkxeGsq za$#=z2re0A^y~5-igAcZm9xB8x zp?QzwB;cVbd#xa{&MYej%Xrm0Q~U42I(;MTAd+d%z>}syA`5P&GH$bqr4PTo@OTIA z?7h37mjGxR59&GHeX8~&=N485ZJD$u*1lDLo8G3zj|5mwa~&-67|TcR(u1MvWnI$W z=ifAgikw^d1K8>-_}pOLi~*T$o-H15|MdIa_lLi<&M5R$ReF*b8S*Z5%Ap!_P3|HS z2^iBKLyE1%uPSIF*?USf7c*3tjVI<*a^TZ*dX+5ir0#?49N{t+v@6IDP9JS|w2l0k z!$o+joCV#p#B%#Qh={oKl_7V~*ZSL#C)F>{xzN;nSG&8yk^+u#&TH|2PF?AypGnZ1 z^Y&mb9^`b40|?v>LQ2tFMc3v96kaz{y7`-5HnFs6=;^5gMF@^rLT;4Ty^_pv^e$|>rdOBlNY(BGqtpZO&bG1JmOd;aoJDVMKjFQ8<2!-AS*i6<4%-7(Oh%ky z->2WVtp5~*|Nj$6e}E5{MhO)xOjb?k$A%==^Bl_l#8bPFCHCM>LV0vQrcxhXecRN8 zPoROOC&i4X1vlp-Q)wZ+>sjzJlx^-{BCJ6KO!qTG%^WnS0bgx41eH$7R-) z(0R@JmE*C-1<8Ve0GzCz>+TtHj%j@#a&)a;anf0aXLd7e-Pm}%UdA2;X?>W-I|H?o zlcK)~;2dBLe-^Qrb%A|-EtX`$M4U#+CL-LX2b1PeFKNJSV^!zEn$4m+Ab#<&?2fS# zd6dF(qT-3_L*&b09Q(Q&@KO4RHvZEfck z(B`;#XYgA@X?||>rE2P~_7~%t)Q>bm;7?730V|{ir4~4;lQ&bVOQQ2KOxgnf^0&9K zrERGG2BXk)jsdlBM@2yj78l1xNt9-M5D({62YZy5(+k~amyG4tFGsinKLOkM=vukagYHmn9UDrs9Pp<pSCIN zmY-Gf20dJ#$$W1s4e2TlS3)B*^xLjwP>eZVIyCZXgGh^9a9F*V)qR#MTuN{4ppy66 zQPwul@J^sZ)q$e@;9W1=Cm6#bD5#1mqM|Vvf2zRFhWTz+_=`tyB*ae^#GMqoxgMZ# zyT~Hdp;srEmnE=^t%1eUoK!G#aq8qo_b#bCGF+d2ycF3UPD0&EjeKvid#03j0bGb- zbH{l`UzCA@BiC>(%DVQQ)zr=oR=$g&t#`9%1b@YwpFhAep8<{mC;c4T)KSeqf!w5n zv-oPPPUPrdP-(J1!4=x0Fq2_%2+H8cTdw0C*CdPPngF`+^KLtm5l~C*Hyn$b&H(QS z1IelGoO1X#<9fw2XBqG?V2Fq!t!k)A%JYH^7G>OTq1j{Ei9Hxbu>pJENl?Z)l_erB z6PUTDwZp=TH!tp*f)C!gi=T=V<(lsDMyKuw)Q4;ulUq$Q2nYHwuh+&0AYZ*UuCpv= zdQdmO5pv2VxI#JnuC+UaHZsL3$YvcpDex07$b&-Hx!&=KM^qjZTr8+JV+mPHwhj~j+iICmV)FgW$j8F5ZkajbJfY3g8JtX@qa{`9=0iv^P zq#Z7PwYON!s!%`b7V~iZ8VS=-S^HqDl`82>zQ(iINw#otMG>nxE>Y8` z=^l1#EAnMP>J# zfKCvGrJJO()zO>{5#I}M4nrsoAOa;RpU(*1F}WDDWkqNr$=sb^ZpRMJl-#z~AkaeB zSkm%Y3h}A1NwIWxXUyX*<6>76J1P*xb4d--j+`G`!h+rp+-hmK$w)GBJ~Xphq?aaB zlBTM_$bLs2Sfm72$gfL&8h3xYo=Da1*&{zjY&^YVk#X7Ln+}9@02e#1hgIEg>%JM! z-wd_9Yb|v5%cWu^t#Nt^Gr3hNxpWeNM~`oLe!c4ViOd3~{dJ zGZp?`FZklU#nI@hAh2>bfbZblTDL!|dYF;`*8iVeLOO(0BdZ+!>DV?%gcY0Pp&6=<* z+J3Ou5?Q@w zu@**whDDyBD&a_p%20e=reA6Eo7@+Ap7FGnvihq_ zL=fWsTm}Vh=5xc2L#9g~z;z;B46ZPT=7WVlM;W0((x0ep3aedmLb!%R{X7H(?oRj@S zYlG`-==6n;&tno79mM1=0ZN(168+Tzlm|>!1*}RMJ*4N~1==UYu@;Bv3GHb-RYtM% zI2~HobycbDM3(M^orTjHt=Fu&R(j#xPY$EqrH%0l1(4R>}G8~WwjK)|fa zlG}$3ZFZGaEMfOLj{1{P8qi2B0e7s9Hx!{$(zJ7|lg%1X>Nt$hd&PilwTEA0!!}5U zOaBLZ?;Y1vy0r}lQLq3JrHe!X0YN}TL@5c1bSct1D$=DWy@a3$C@oSHr3D0}6Y0H3 zi-1V)z4sDI2qB5zcFvslotbB5-kE3SJm);$&wu>k-eK>}&V8?St+lRoEppmew?yC8GfQUHho3^?j5`-SO&eQ} z+|kv{k2xYydCi$HF@fwW?w(Tf*MIE7q`6=>Lk>1MeY-r{v@BC1`Guds!VOp>n5Hfz zrT=93(6z(uq@AF7QPbeA^2^o+jv)yVBUcr^f_#x!QRl~lC7v;ymM;{&(qfHARp4%d zy`KXV-#-SXyGhxw;Ua(;eQN5zr?~o)hUDL5Jpw0K%L55O6zN9j&m+My?nnrM>pS5UbvtIr0ZUkcut0{&oyek=87mGu85 z4S2uVDDy8p@xMaO6fKVL1ZV`eVLK!U`RPv4kCQ)mfXN@-Sh9AGsl5E8`P@8CIDGX=f2CN$(>x!{bU8m-Ole*YW~xw z)R=f+-zvLvh>(UqwUfo`|BoodhL=ci3K8+jR=2YguO{y0|CgsJF;+QDtI-b)ryO7E ze%^6N-En}jSfR~QBZ^-@#VGyDox!)v(Ip0<-J>R|TYzMT$H+{~)0}gR50u?{{r}9o zjz5n}sZ<(HAOJ&4Wh|fZS5~gS3;okIt2g(;2Niay8FN@aE5N1E?~{7Ac*OrH3Hm#U z`#-kJ{--Yh=oelurR=mFBp<%jI@zXlAF5k11n#89131BFT0Gqzss0~2I?S6Ga;G;? z-N{htW6#9}IopMFsm}S^2LBR$|382({?tcu;5?tM?%E4L!Fc?)K@Q8W3wA^AjPr`)Ux`QemIsp_ym*F6B$=sN>1yLE+&}oHUq$O_)$ykvYVTj(hpi{3BG^wy>mEW z9qN$T3mI7k^5ttERd?>RHUgbF<5E6v(bP}PXr2VBPWM$kWK?_P!S0g0?iqvql%M$E zI#s+$oty6UKkp9y1AZfY%$SA+=tHeUq{=E9oRc+d6(0?vpE)QQoqgRre0-Fjh+cu88s2R4Oz7+NfM`A59FuIK z5qm6y88em9=_7OP`T^aG#f%TLKbv#ZlZUBy+h?ryA40}q;W<*-inI4{1F&iYm;CTu z@*Xjiqwj1>{ptsVH(3r@{E@V^$0n66^D6KkCn0uz`}3Puyz~ME!2dW2{m12Sd2~8I zo`e^J*HNE3SMRfD|9J8r_evgWxUTo($sg}n^!)LMA5Z>xN897yAE)#C+xfnB_SpXa zva>1?{NF4{xMI2x%=H;cCsRXd>#7kzif&3(wWEI&id5V{)+^3*t+}*4<_Y3k9aFZ| zWwE@Q5^!&TuD+%l9SihN{OB8_mYiC22H9F9Gl0Ra|BcC|Cg-pxCqs%ORkE!vvI^=& zC-hzVEMLL5Ry|rBFYZ|6!E0G?Ig7(8!H^w&%$!b2))=X-0ds!_xnnXa9#<|Bbf-)h zu!C4)`j6JLDqG>Zx%zId{xz;{dXG)D@I-}K==tXKM&mq{PnebzlKcDM#7f0olGL?I$woC;CkY5M!Z}Im*KBLFnDkrp}dEWm731>4MVb0MhxnrHhw`11DobsJxCQ z%yG$&1H5c5qvdD(lk0|uJmgEX7e{@d$v!_t1!%XS`ZBC{$anYs9o+og(68HoOyBL~ z!yukVC#M~QQfM%Y%VsWacW|L`0%Y1ToB=u<6McA$&5PUyV9@oI ze)6%!lCUkSeuw+>C?4qt$PFp^Wh2@7I$@^a>&J%;jh}QTmEJ+^uiM!wv&0mBif6_t z@lGN`ZUB`jAaWna*Wfc@lltx$vM>582m$Q%sVqcx*P47j3J{|3H=l+O4%Cpom573p z$&AqAJ{L5!pzETnR{n7sURegVJ-`Cpr9Xj=W8+_je-?zc{ZScPyc|7(O#zw}` zvLJIBWWItdlK+8r%q&&#!c)hhsR(mjEs|SXtdI>#WM36;J&e{FLR)ThI0m=ladHIY zio)q85;oz>ud9XMKCbO!u*(iZ>H|QJDG3m{>_RN?RI8N$ZD4O|#vxzOhYDYy%K=5i z%ITCpU%i-?RM}|1fjw1K8=CE47%5c>y0l}y;8O|l+zM5mz&sZ;iaiyqJg|lNY=&sy zfElbM1HGy`lnodBsNxOF^#`tqp z6d)BNAj|@EcQSqjt#2cU+K^v-Pz!Dm?TFJ{`7g&2b@^B%iNX{pPRRq@*E_)V>Ic<6 z{PHl+x7gYQ+dhQYCjo8(p|2}xL+?{)$@Kc zM}zC^#Dz!+zJHOaC-_Z*8Kh5WQ{}aVx5m;^e{z>8BHTEMH zl8UMEsiilmNt=o(SgmMv0I<4>Lq1Aa8JqWuQa%d1%~YF-%HmKUP?(_77K;tEV+`~R zRrJv2T}Ra6#=+*g$qxdC5<0XZ8{Jy&uSdogeMEVyYJigrKdkm%k@J z^j9g~f0%qaCpr#sM@S2@_urqIMa=q_e5oaz?C{|gjaLd!}TMiUUxm4bo5RgRJEqMzHZY*yx%wMvEnx>k{Wm7cw`+RJ$a zSKX-2*2N*V=C%E=pomSbcORrQl@&I~IcSyH#n+cd?oP(haFX;kVB*_$yc5l~j|ojF z8ak%0CGNaTE7lK$NyFHdll)yoJ-Ox!LddTvcb!Q~@#Vs%o0mlq&kSZ?&v&N=s)snM zdzZmD9})V&@HCUff<5NG$YEvD;L8ly?Va?Tz<5ENc>d>Q@Ef^KSCn2A*|>kZrAPPr zvcH`*hhV?6)Vq3(w_K3T<}f@E8%Dl_9W7N=cMHCby=HJN(>~s66k+;k+#16A#ty09 zedF|8;WgN9J%kjyk-IOC4SSMnXDFz2QUKA)N{4BG4>qkAUFv9TdLPk=N|+nDZg|AA zP-?+PpuZ+l%qq8F?&-go?Dgk^^FQz#zsewcLj;DGM7+31o~a=SW-Sa2pCZaG$bz5o z<;vXsk68S_yUX~NVVehfV9P_maParZ|ar_cVI3w{jh z2c1S_Y$@9wU2tshyRdmt`_7u0(!|bsu((icxu7WIPTZqc5}c3?u4W>Y)G}M|w4!a< z(yWKd!@AU@6rJ^wy&A61MdKWJKhsh6-GF0&$GISwA0(f&HvU5NbAPBtcBaxMOtp5x zY9fPQOFMdsIB-WlK2R;mow9)qH^^Cd71!2|a*EQB3e?2Y=%!hj9@$nAdsyxVO};iy zceM$;pNyVTz@cTp&uXfqDj<+}EL*BKlzz%=c26L(fWgm3GN7% z6dJl|c^j7_BP&MuPh~0FF3>Q$V%6xE+0$9oCakX{ggC|}o#U&x^2h*FhXgO3O8Bgt z_SusqY^J>&wIJjetcIGpfVHvOi^XTc)uTH4+L+jjKReJ%3zYJLLW&}%WkXnh62 zwoErvh32~ET3ny5jp^~2gQIK}Uva&Dj@jR*f6XZqi5KEcNXhQm)_i#YQeY7rk zt$hPA1BkLxR(E-;y<7H0SlV2?Jx|tO8v^Q{%^yhu22~2GBD%paSi`-{$xLyVWQ(Uk z$^V%x27lqHCZLxPpPrBJ>_B#MtPm%-weY~?p(B9Y%sV|qnJ_unhH$kQ(7UDgq?egI zD)4gP57?Z4^GA+~w-bGfzyw?*prb6h39lh~HczHb_sp?5;U=@v&90o>i zrXQ6pyUOY?Y_Bb#wHitUE=5Jz>xzS1l^xY5ZNdFm zc#cA6UnQ?+V$&h#Oc`=NKk+W~?V=GBy%Bt?YJ}k2ZN1461bz#dQAHTk6>~7^R3udE z$KSuaXCSn(%uH}abYDAdHW~QhN>w{!`FP#+Q5!cg(x&4efzrskqt!t`_KE|0kmIMN z8`L9gn>L;Cyw;H9*}eA=2%U|vNyb`D?lfPv+AVdZmp9IY-C*oqgdDF_OkUMnADRQQ z&=+z8-@rt+z_oo*OD(aLsux^M1Dm>WF4I2kncJ4|WTIL3{4ht3nP3(N%?H#aXo{o! zWX$@NDletJc5Lt{+n01Ugd@{pbp%XcXB^hW>O5G z9Etf3oM}VQmZ00zSYEn)@a-2@@M@_VYBBd*LF5lexf!*T+Z#pV5Agb~9GsYnlxkIW~GVuEqqI$#`^9%jNt_ zI*0VwkINmt@~Rf@I;k2aA0!(g_Q2&jP1-?7&ZR3c1>&PzQ>HJRTU(f^xLVwX3AfukAl0skkMq)f`=W7tG;J5<72!CQ_E1jH#F%Tizr8grcch4M z9(A9wYr*7TKk-JfvX=;L0iv}L^XZvq*eagVKr@X$V)jLIDCW*b4HsJp;l@3#ZfD_V z@c^(T9v;Nn+_5i&ZgpQZa~IkMdHj@P{TAc$9rV#GmG&j2(?ZVj)xm%e`9Hk#I^Cn3XXeL8^f4%y$PG7en zci5-<(FdQVQ6F^S*rw1!Ej#HjtY4S zek6V3hgfKkTOrw++eM*+$pV%ybgVnv^QFRQRy^Ub676Tpl9l-E~^3q zb9i-7*|l9suMX-rONhdRNSayacJSzE6D-W5kDUw>V+%0_NZrm}T&E$Q>h3nTkb~q)>lYyy7 zYItiYYO=3EGzo{AmIfnn5gUt+=Q>?vO=i7|VXr3*4csv8l%`5tF;h4UtkLP=BcL0a zDG|{9QRsJ$_Z%3p77xu?ky*cTB5(*!Ck`<)_?B&^b<^vNAK>R}6=4TSskpDMub!Gr z7n%(kR_?LCFUMa%8}!51mIDGfG5=?&S_5-9>lGJZ+Xs4T)`90|1HmR!wjl%x8`Iq( zMCf&xwJD+jGj|M;IXNYofA8xpS=aqBXi+QtiZ;NQEQCD^% z2VD>|mF=n>)et=5#xS=I%DT+Ndp}Nsazz|mYdKaPggf6AdToez?%E36+s@QhpCA<6 z!IwjK<~J-cA1K?{w9b>Mq71z~@E{7vnwg*C25e=(5u%Od;Wh(>h5Gwh_iXqJ%`Hjr z`9oPmR++MGJB5r@+JR5N$9fP-6QW3}Wdg@sRk!(ZG>=u&HYS5BZ8~T(Yw{eeStjge zi+fm@P*2u=0TGhsAb0PMk!6>^UO{z5_x#Dhkv0du1?+Xry&&ttwHI+*8bTtvYDvR@ zI2BXTGHr(Uhb4OOdMFaP8whzLIu0CWl$6M@Q+~_cJtukJWlve$`;R`$-xY~Nu9CYDn<7>rjW%2ux*IY&Vn4tQ&)Uo| zi`=I2m1xJSb6oohN-#i>42TUH~cK~)2GzhHrt@TijGvr#lZTjJHyGHVbcbI*Talk@Tk@zTQuE>S=(kn|G zXP`^zAK{?sV6Nw&>ngkp^0H!34%>vhZ6|H3fDEzB#9(nW$)gRhS8RACUxp0LfP?Io z;X~E2q=ZU!7vZBL3n!c3Za0hFIx=rz7orj?rwiW~`kOjGqH?9X`>Q#gT z3#qAf_@SLnJ%$HFo-E;s)ct8~y1Kf|{TfE2?H6`WT%CWdd*L%&Q8EU!>Mgc`Xfok> zA#|qOKRzq8RcgyasdoF!-8_zo`{gLS#a9r6hX=W@a~F`O^DZUg5K5p~Ks7P2$%HjP zIXLu+@sQjx(Z}>4djk9dpLg z>JlOBg?Dth@e0oEe3ybz27%p$qpA8OULTtPJE=_NKXu~e(&}ctBS>#eE$K8Pshnfr z8Uw|OmO7Qg^O_lbVD{G+2NE#*8p1?W2P$dtQ^EwI=Z@)MEJ+s_KrvPX;Il%C^+NT(Xe93R zA!ZJxBl-(bgd^z4)qf5-N6Q~7471r!US1OTB7XC4h~fXNPc2(@YZ?Lv`kk9ys;UFL zNF_w_?KW2;Q6W7;yp8|4H3pe^#a3iS3cFGOvWn(>Wk<2xmB+a~3sxPu2 zuu8MUL5wV)YR$pX<;+r9b+w7_^2IMy#?{##uz2f!G)sEcEn;6aXD(-QmiE|fzRXMi zub751p-+;2a@E1f*gEe*TYEh9+Bh^NtR~F%NgtF}H8!QQi1DRsz=EB_{(>Wr(+{gY zA<`!C;9&OKP7V z5p-qQZ!cPxOFr!8uAe*hcE4b)#$+Cz;(dFgFRO@SW#BX7>B>XMZoj}@xUutN?!4^q z^74x<`EgIBLOpx3~O$|JMuo506Rzw)k_e~gsqr++69%~}N5d)nLxB?2?jNUL1G zS^c0O%IM~i`BtAqFDh1GvP%bb2@v0Tckj!&`>iJMc5m~cE)B@cwNMkcBl8G+nt;Je zq|=-j4@69I;v>^8yeJ=Ph#f4QJ`!4k-vbyEI9iYe9vHb3Z%D431O8}Q?{m_pcS9sa zaEQQaF!lodq>x=q-^7!zAVJ2FBeXW3ba=P;5Pv1ycuMaZhmH z6QGUHgY67m1>}4dxM1t*)bageLk`5JtFYxD!~&=9g?_Q=@snsjB6IpO6y$m4T_Hp)VmZ6~`#i`K~qi2uSnhrOWYDPZcqfBZBk(`!a zf)ACH#`Mhz1beWZf9Ht(6bR&*pMrC3SCI$Y!@cz1+*e z4(kt$xJT9>KWyfIJKB{Gs$MmAY526#HinC((VhFT@j2 zU=s`VW~OcH&a%5hKW`FPazKMmbCj`il&XC=4xkM;^>sSJ&+0t8wn3T@*_DDH;r+1la*6Ji4G%MASLo00D>#Q%f*uOQ*K9dP+8P{Gw&}#$w{S7TeM_ncsdqbxP zB9)!wOG_8+kUDw5?O5+Y4M_?!kS?H%GX()2IWv0M?$TG#YhBX{*xNm|3ES?Z5RF~S zWazQ0lR;6!x<}Rl;R`YXmCdY|&W@Q}3FIGT zL|eSU0Q4aJf&rR0rmYk%+IV9FXHqM#~`0Dvm zaBqMT!4;BH4+xe4g;_`BxQhz{m^hYvA+rWr6r1y_)RW^YHSDrk4R8Fko{1d4wxxM= z{Czz>X5I&k4@4=1QTL%@_R2EaBS{MxXOG^F z)<`o8;fIh|r8&+|!dWY2rs9PajF3emDYxY}GGLd8R4VY+gO>~qF$d|hAKgl#XSmD% z#Fx65?|>2?D09d^ge@W7>E7emiLw_-;c)E$@Kz%D*^a~!=Nz3aRTRl3dmVs3mDyV4 zch%2Gv7$0U{yB@c$Fb{<(MQ3_833|)hnbh=m^Lxomqs*SLJ)|7gbwPKa72#Uu0MSL zTXsAob4lBmU+ys1Q-P`R7ba|`N8R_ex;fR0$1LORsc)Pj*7~px!qWV$?c4 zqJO$Sll*ynsZx!+gC_STg5f_)nC->l{5KkRP(hqn=C|DC@Xc(ah+8N4ryV|=vFF%N zr+kL@nl?lqbbmjrE+3x#X3x!$vLQU7(lac11a7;steh^KJy}uo%Dg0=Nnu8_{yghJ z9|j6h?=)=I6eT{n0iCkAOXD0W6=G)QUC>HY!>EgclcW|X#wmybUfJ%0qW&Id^Sa0$ zrxiKOUfxXG;vF!nf-_Is9YvgU7t!imwPzcfnq!web8YVl4F&XB2;{yM<&G`@kg$K5wN*mwDTG*?T;e5LG5 z$6r)m4X!2A$Lz4GCPDAzhwQ#YR?2^4BS~~nV>H^_GE7;|MHt3rjK98bJoTk3Ht`Pg^UCIP-RrOJ&qSOF z*F6P)Lg~0WYQ$SV&Nk}K)p&L2sbuH_OlyTN2Y1NMbdL+i^s+R>(!lrz+>&-QJ4>)~ zAzz*|fmPuX*G5J<1kFOul4aF4=>&5FQfxbgb5ecR)98 zxrQZYYLqU}!qOgPRoap^ksOH+-_EtczvIU~qUqfQQ?8%PxR;TsrTy+Hia|q#zwyMCh)Z>uArWwY z#R6-Af|8DEM%(h-L5G`*#q)8WMb2`30@Bpq$fR^csU*9X2Bh2>r+MRhpP;QBKKi?8 zW$?3-D$O(Hoxn!&%F!l3Mk=FjiA^;rrES)RNpl!>kDsxUDLJz%tyEw$`)W2}~$VAg95T@$>cNW4*;a$%?ULz4rZ*_QZA|tm@Rw zQcbZDXhg+NU)0`kk&1U8B!fHnvabI-@;QG)3h7@4GitprV-E%TSD&#SYI?u+`sERs zC>6|HXw;(}z~MdxRD<>=O!xMHV6IjtJKhu*vN2jzfiSl&*)3t&yOnegiM`Idod`aJ z4GbwloU-M;)0?WHWf#EG#N`iXKxj7S0#RUl-F};sZXuQ@iy~OQ=+Om%GjnsYl6Mh~ z9E$59PG3OKL?Ep1pNY> z?fZT7+{B|kmg{^tEbL_e-pKW(cP?x_(bm&f63F1ep2I#s*_KX0en?XT0s6cf`P!*% zbhw?}`^t)dzR_cCSAyBC#0yUP!bGGYPIxD|!1^)v?n3uV`CkqOpMg(N3*zHYO@#~p zHG<=vWF|($Q<6)+O<3MSHhu-sdSjt8bsSwg%{djT!8S6UGrDXCm|Hxw zWzRx7Is%9w)azE&#$1_4iVgirS<%bnFidA)9t+n%e>u5*Okyh$LA`W>ym_W;K!hW! zcba(p-fBgMI<+n~3xnEtfj3&jX{`1Zox9YewZ&k}Net7@# zsL?0R7u1i{7Niy^SJe*Tb-F($Fco&@I5u4>_mKYhT5~s+0G0-=8Xp3AZ|zIFA8UT= zeJ@RBzwB_Zx;Dh6`~nJj6pmf8#du$u5@50OyYJR@sY>AT!ENiy`?O7^!+wa6{46Ecl zZ*_4x6U2SG8J4(hSuUtQyT`~Pz|H8U z(j`iJe}0AF64!s(jXwA0eq;yON%hiW*IT_=M=!eYOPs5aI&~8-Az5M3?{H86C=Fno zd@i^{d|?K_aL;-J=o6+kpa`HBa^=m)>W*3aWLMQgD5K_XYS~_s3#y%MlucZdXo`-G z9-qyUbwOSIop0ec%R2|1hU;C9$vmQQx;1iHAt{PMgSs^DQsE7Z z9dD8f5MV33r|pGFjkYKaIk>L4*eiu1LPP*ibHcXoRKmx}9?sJTAVO;UGuXY8MzXX1 zWAWFY;`(eoXP z&<{^>uej0gniG(EtOYR}93O!g=RHVf{7DC$gdw$O6#QEU9neO@WfQavefVc9Nq+VEE_mA5q8rjW-9XLO2{In!-g23AW6oE+CZN2;{|fqqoVRX@;A~;Y0o4_K!S>x4 zXqc2!cSrv~-zud+Ltm4Ky*f*X`;acX8N1o(OVTGgrRj}^8{KS03m3Qp9$fkqn0%gv zYZDz%sr;^Wa{R=Sf#jgDwPPn0*E%0ez=w+(n+SFz#YxJ{FB+r;rXmuCZn%J?T*X>y zy$%5Bh1#r<17Au5Gy0sV9bn;3fqFqs(a$6ltJo*882=BJ(RYQq4SmsZUQR8K5*fa=Nhnr%wcBkI(Hu){SoQ45frk2Tv@b2Wjm zyB&w}TiyAjDYE!@>LxW0^BG8UG1d;xF9D33qzgLisxA3in+D)x_>Va)^nRC3- zxPI4#9SONn2e+ebthjp#@CAyPz8t$GtLXBa!3L(6h3#LC)PA8ZTYwgAjOApE*os%p zrMV903e8!kKv$eFMIgB0h9*!;*$DHNDvHs=jV{TrKAw5ZfP9GQw%{o^n?`;nv7p1}YLub^2E}kb# z=tucT12i^5-M6gwJ71_6{^ObGsp{(b#J9|wq14;C7@Xa66pKw4&XBeyE||XKya@qfVt!e25p0Zccl8^w&h$FDE8j22>iDjqhvC*;SSrW^Q_pw%E9j)R zxL?rNYa_arq}e#eoZoM^<8_U*oKELDeXvl!`8;H;ub(Jl0#vSV zkYHp|6$rA?=Gjl82CDh{xSa*WQ8J^EH>|QpSXh)Lcdjh-zO4V@qlq)~Qi|7z>6G2+ ziQr%#K18!BmYL)u<%wQtBWE=LExQI^L8}I!-Jzd{-;$Qo{k}EDSME*0q3q*&JVM&? zmv8jLw3oMeJ9NLW*(bZC*tiabhP)TZvS!kYbG`eRk5ajD?0gLt`FzbKUit}lsn!d% z))RC=QZ;Y6chQb`iV5Mo!II#e!+BD5Z&tJe&qL%Qmqw|J5mnM0^RghF9_M{yUDdMg z^?Uj`_GVV8q2-su{Y;tM=j(J$j$*a@!XK3-YgUhGT$*d}S%!N-9IYq_Zed07A|J(( z{&sVXq1!<#PFrWlqNWymPTSD;neNKWICSz}5@C8+gi`N-br`8$9@t6iT@h^$e5k~yC(q>;!%W{l= zjsVz{rQV%?II}p(y}Mhr+_*8i+0>hAPtj z)21>iNJT6x`|}Bw?Q1C)tKGL`93MdIpHc(B$vF3+l!JBKlGocl{hh;|#zrnX)ip6U zRDR@JHUn4DRh&$P(>L1IFgVk4UvZ5%EjQt8=(K4$O7PJ~U+(we#`_tLvKhex1^@A9o5GdUA-)N=e-u?p&I6# zkRGzxqRA6$OWS+)#W+{2VO8YR zJF%a5GPJZfq01s*j`i#-pG^gSJ@}3Etaj@hR$N|0ghp`rJ=wGIJjm%9`h^Oe@jDTE z^2EGS($sW^yLzoWv8;ey z%i&}owj+7OuSHI&oH9~(UX?pC%(_{B57Vo9n3$zerO>Qsasl|7=mWC?(--?fQ-DU@ zgd9_lH)WiL85#NcAI(`>P*Y2qo0_Y6iR}uIB~EjG zFsDgjioNXhSfOazCz2#PN&_N)~y4}5mh=_=GnOFsV* zos6&?XN6`Zjkw!v8uzm~o-kyREIc@Y#2=%_Up$>oIRqfMY8%o!q67|hQqLC4!&MX5 z@&>uL2)XH#sfDOj0X;H3LX`OmIy~M0=bs(7tEwlx_Ku^CcoeyE%GQSwCz+peNix4w zp;KApq%Ua6igL1saTz(K#<&zyKTi^xjHg)2h~pzNP~detYYKJ)5&4zJc(VBK!*%XY zdMzmg}OmdOp?C4{gF$8TOPY0j_|X4HwY!LjiXoyg}Zg7;k2=c!qDx@t<@7@ox-01 zVq)zsko6k6rPp}}7(idEei5{td-DHvo$e;XRs}x5k_*5`_iXTAE|;cj`R4Ef9#POHcJVMY~f0D$pSbC%^EDXc)2` zS?7BO&zO2O87tTF&_aU6ybQ5Fe;4pVak_my6tPpndrU44gsoUWHcNEl6$tLXVMtcw z@F%Z~6QD}#KcsW`D5u7QAe)+%K+Vn+X_B=sg2=?e_WNq~b$q3OqU2BGgQGF2I|;F= z#$!vDxm_J^wToQ)G(;`wMsP#>7b)fliP|==2(}f)MM``;^6*VZ9)H>TlPA)QlMfV= zJ-D{$^_Xr?JGOI4Wv!VWvmw}*O@>OScOA$H`G9`K7UCgI^AKZ~Vz!Y;>&XW~qkygj zT1|zQ*5U?D`L^^YMU>LsBypWm%blX&h=ngu)(QYJ1C}b5N(ETD(e#eRUmJ{Ocm=#Ste@t z?Cr8=-i{qse$eFqLc86Y<|z6Yr^=~j$eWIG?fFqR?S*#{@}`2jIm0ZXs7p=3tuz zi6)$kg{GAfL)|+tcW*a_mWsG;@b<+z~JpaFiRPrxNkNgUOWG@T%eB;9hEG_E!>U4v_QxDCT*G?o(pfab)c+q(i5LUol zxrtzrt@oTO+PwDkl*6m)QfjUdfC6H!Z!9A~rE&T2^@AE66h@FH2+*2UC%ZAO5q4$t z(F5opxL2fN>t_9CA{cq&%u~9<3$k#DDfIIL(>Qpru0EpMMS-ayZfh)o-Hy4vfe=5kpE5Gb~OPPx}VDy|bx6`rEMSe$&^;O0vVdC8Z0Gx3=7f90R! z)ar!y&WTf#934osS;dLqw>4HsT7)J3eYdIqCAw6mW`$LL5KJ2gy1ZkieHh&n-PNY3 zP#zZ&jN|54N^ZqboSOKNF{z1vG37M1&TeCnnRm5Gjeo%NR;6$^%xg)-i+N>s63L~~ zBdH>OZ_RL}xB)q#8O=3NOicuJP?z^pW0T2>JXPcs7(rYdgx{>jbt7h&t-ped0Di^| z1`3NxTU^k_{y1J0WAH-J?&{GV42u5$nf*9v(SiG&7pH(tHqPd*jvvbJS z>p}Y&`anb?H9CWVVv`Y$+=#4~uZPeTE_-O@v~}!1n7~KpG2;L2HxV*7UlgNXw?AENPDYpMz@ZFEtP% z9G8{45fCr7c9&CmSF;|bja(2%d3)-{d0*RjP<;&xuZ|C3xBCR_ihHpus#(TYynb zz;Et%Pj4j4{Su3C@Uj$; zadO~nW(e-pWA~GWSi4g_}4V07bDW zuwUASd~j}I+DIQ}w>Sc|XH75Z!}SvWF_CV10)~WrDC(YU-FuX_BqSMN)}Xl!@pFVG z!Iu}8eunn|($CM}-+zQM5QhU+7y}!0guZyM9K5J|vL)?}7LFrCeg^ukQlrw_T-EdS z0Oq{bZ{4=!&~Xlbf>pcl=#oI`)8gcL0gJmWgldU8url_!@cIZJ=^!@Km_pT5FhywN}LUjdkAM`4bQ zR7~hIW-b<*#Vz5^a%62!3%}CmzEIC7o=lBbF-u`UXaDsyBY-Sg^}3VrJeA`Afb@03m*_Gw00Nd-lwpnKRpSe%JM# zf8I-ZlP7O^p7q>ot$W=o=Mho`>w+QOIL}L^pLlv{)@F6xA4D0817}_*ysY~hAWPcaNfD_@C(4#Bmu;X33(~BrhG!(D2 z6pjZl;_4td{(DC+6)sIR7 zR;;@vW2tTJP~;eU*Fqo!$n`ha-(Dm0U;|PA;1I^#`ta%OQ;NHgOK#IGo3n6wtC~9x zS*ntb?rbx7b;7r!xuuMs9N3Ud$hkY2**UPaTH+@HiO@%G#|Q(^9&+pis6uQId#fFL z`+V#Ldxg$j7+1#56ny4-L-vpO>2EiPE-c_}vgQDx{bDkJhfv`AYdT| zy&;#8($nH;l*iLIlHFUd_6Fh93meauhwU~uicD`A87YXTnTZS3LcJq`_tE zP_qc06vNj3)+0l*+_VbWk|0Lo0mlQypcyilvW^fYJM33N?saSv66AK%0BlJK=WQ2 znD3w#F)hlrbe@`uB`G;Afo5A|hy<@U?Ug|c)~AJ3LBPpT6IU~}5W`@$+TbFD?>^g$DhR>B>W$6N<^^9JiwtR))o z%{vTQ?N}e)O9%2otGasa8%`x)S7^4Q=NW?i#pL7$gc(_KCMQ`rF-xc*9ZBjV0?q#4 z6d>+ZHE)ObfcvEwE$N@-70KIqg5G_8)y6{exwz#`rt?)4>^q1wa`rpu^r8cNIs^`& zPUx%y4hl@D2>ufcKwqIyYNV?Jbr81N<8O9D4-7z?X$jo#SyC=sR>)LeS#bo&kyj7t za{7N+rcn!hIdunp=+A;WU+XoRz0&l!m7jgH;hE2h=t)Zv$YUAD4-TH*V}Robfw0P} z3_NXc%l0u@Bc1@*8d}u}=dcrKy#7Oh;x~G}qy`T_$I(f;sV;v>BXCDx-_5(#k5JT)^sww6*hn)_fyq##5R~-jK-@qUOj5nWr z%m4b!+KAl9bSV-;7-(k@rUDk84c5*1)}x_|;N zLWI3{E@A)g?BoA0XeR1jo1dnaowX6~sxtFdomGoYb|p{jtIEkcrvFl*W=_P?$n&Z0 z85gyO2r_;0=-Be<#{|V}*JypZvRcMCj%dYfUB7RAs6j>jbJRh$7A6#N8ik1rgj zqdN#)0;m-Akus$p%7<*?rn<)&+;r3Lzm!Ks>_4g3iq?@|ew&o9_{DZ}pM>+xe}^UV zcj9f->gQF9W|L`+h)(2H{mh{|L$(0-t04%yZgjZ>Z8fDbXmR|y^;>!k!#n6U`CS~D zBdWPQyFkwSyk;v6S|>+yDrX`e$lLPPlN0HoMP8Mw^g87zppm#r|HF_-&4|0lFO4?c zwK=;J%cG9@zzp}UsEw<~qUP#lLO-vO+#nooVDgeV;g3zXzU?y~P+9GSM-Bkq?~DF} z)uEgZsEWXXJ~iWkqZbs9r1HWXLl4dKPj#oUg4DW-Ge195_($Yc>>+fG3-|4gk0Xyq z%b_8ZTwXl8kbv)?9St7nR-*cX2baxm${i z>O>+mWBF$5w{gu`QZRlyL@D=>33mp)2b^S?|zk0OLLV38;@g~Q&_$U4c^y|VSFy^ zXui`1@^(RYsMQYQ8CG0%4|WVEI|!z!Uk4eLT_WDFm!}!+CxAh4_pvU87R&;}rX>U0 z>_coZJ=Q#zZfCbI+umKPZkNm@%)>IwkSVFrkvZuM@!1sdu<%~^7?2Y$F!SihY`F{u*F`AR(qZkA$AaX4!N8DW0t z@Ik)|u?Vvplk5L#*g8)h#r0wDDhVhh6x4*V^Dl?fuM(+_%vj~NT{)fyqH^$qJFfX2k#=vZ(?7lV?gh5xdP*Ak72*6*F$6Zai z31A;hqwk=a)`AaIaRQdn5F8^2KI7Ju^j~-AE7gt;k8Mw!=_PO96gHaB zlcvr?)b!Au^*O843-ZdtXad+O6x_b zbO^06%6a0oHTN0jDe?EASF-Vx1dRFneZ`XNs~#ZkCAF~Wi*_3lD(n?$mQ}Q3-bc5T z;s$7U*zi0rlek@ohND*R(m_d|V9bNP+;fb^RuU5L3HnW~l9dGt2oQv@J~G{YdPuVe zY4cV#9P%P`^MaZ?Ie6MPpBY^-o|PkV^1ZVnkka-N$QIogcZ0T8p*ZbqQmyQ`EBmFo zXM9-0fO^;UzF<7q|C1DD#bCxbif-373wC75dD5{WO8Auow8kBSeLeHl%Wjq-`DUPD z`RQh64wj8DvS|m50@n0`A%fT~`pfL*mLQo5o2Fm(2vA2D0Vg?rJ=3;T?=*9xsN#g7 zz_Kv&=G{Yq7|X{X8c_*MboCzLl&^&0{3VR;D=$x1_JIoi68(~@GVLl?8Q)X%-A|M5 zec41-BUoN^H-5YIb@6(QO_ZI5LXprN)`Pff7P(QX$ca=^^~ z)}X(9;&rkVE}l)<;`I?Fph9%_3r&sxn!;WoB(TJ-tffI>sQCGwib`67w4HO%So8b$ zzOB(W1rAF+LqW$&zm6>ZDh~3~ST!s+wRu_rjg`C96Z(Vwv-{jaQb8_|(Ztj0OnCpp z9suc4;jE6>z8dv>gxgv|_NYsNy#UzQ=%H1Dk;f$Z@EI9+IP({8|M(Z+&0ZF~UmOg3 z2{A8!uoMLZgO&Ml#A=v~vAz#Ze6znC(gHs@2wmX>au_c!-~~PJ9FUt${&GafM1uV3 zIi5!JHE4UJxzwl6wZXp{@x)01NL^>W!=L5A4s0xcz4OlgS0n!VS|yWCh;D8DYRq3= zYZ>Cvl5xwghWypF9yo0<{{Q{JAR@{)gK6?8<8CC zY7KAgCo5T>j6Hb!dCc^y2f+%F(oV_l3$4ETSw@4B=n03KeU&+kw|4q4HEaIQN;>he2IS92%Iq(fsIEz26m}*DP^kk71E(OGt+*zNP zfO`h@!|}}O4;7j*Z{UKdf9Fq z(=;XxF5}0L(xIgVqZ7czy-c$__1ewgp!)Re%kIHKPJ@mPiZ+Zz!ZHAaj`yW#pfPdK=l_wnjMe2ifD7HvBI z4vzba5Si)2k*Bw;Xb(kHlJEyIUylg~>#YP?RNRxCau;x+ZX6{UmU}`&rZ>mE$r#kq z(wl$-@E1WnLf~K{74#Ye9Kv(@2Og0Dhw@{39s=MXjJPN33moKzRxCc82M)luFN6O5 zAqX(F@=Xu$G#uj$cz48?IvzO{t`R0xZ`eB=)n+N4KZr8mCcZ_Xz>>eiwl+8gz8sHR zopQs^`)GIYMATpn;P4~ndMV+i#t2H7)9JlF$RxZwi4Ep})uHSbu(p_9ia7StjaBmq z_sBVB7y8CT+_n65#3rX7g^bZClDieCP%(VO$J6#$z=cz%rB_)FvD7I1=LaIR@%88r zxWV5YA`AjN)#$0Uxj_xy@|~8eE12qsPzvLYU_1}jU%@%zSUPV!g5-@vNb!!<{%VDb6EQN*A>?X_+j2 zQV1uxcc+gcNjF28wN-iE(g%u~@;cxSLksSuCrwW_GQrQBKU;FmUXl}--!K&=$^h!8 zTPV$4BNaXEBCa=)T($>OQ__E40|t7nKVcF4?i~5mQUqOiNX$ynk5W#@0s)m8D&*Kc z=d%EK59!;bYs^VI&@-;Bp zAh9lw6D%$Qf`t3;fRpeaI;ixzK!IMGM-One5eskt$hZsO{q=zF51+=}AVgyAFzNH{ zQ=Ig?OHnj=(X`Qb9>-L1cb%nJP4O;3t^Dg7_sP^4P>cudS-g@~(Mz&YBg@UY71i z-F$c-X_YJ(JJ!bOd_P8~6(Gm|5gCYIj)Dqj^y&r=Yv0*8PYRFLEVprw7_@qkBpn^6~IRqJZNU#(A` zUaNNFuh7fy|5t|a;w9q4{GBnmdCnb?Dy8^Oie%zf2IbIQK{G)AuT3Duj=w5ZNGmh4 z^v&ZnK2n_dnFfyIG*$eNc^<({tDFF?5zFl!+?SLI-63!KTbS_Y|LqS`DE<9M^)H>} z?ve0B>kPHyS#2z=Z+-1yRf@jqt3a3A#Ii&;Pl!$>H^pB`0Uy(60wG2UfGz=W_=3#+ z@j-b|^jSEj8(=cT&j5xbUkyh9!8t}^pSeL-tloYHfdIw^BVcEK_seS%glL1ICOixM zz^GY6>~)Lzd$uejhJI?Fo!aH+O|pKef$__=^gHwOCp7*A%2?$?u51B)JZu-_eAS%% zM<3M)IjWsoc{hK!r?IstC_k~%)pWF=z8{wCg#pE5Dn-uZY6>NtCt5Z2haR2<^F9Ol(Pvhb4rr-}*^QhJg6& zB@g;GmoXOpw6@2_&wF0(%LfXbAMxVIGO7N?TG>^1{DIDHnEop=A)!1$QnVJ31^d%{ zB6{To{8`0GW$5M6wW`~fpmm#(3;w%Ev7xuyU09OCn;M5t>`@-2r!=dKpMKH=q>1-Q zG@pdNdRAIkKbTg%(RfzqeB%A#y@NZKcMA(P7)>DwJ{4;&yB}k%3itB7h;I~<{-nqF zyNK`q#f|M>+68~};h#a2f-3}{{X9oUsXtB_^YVy( z(HUs)a0uO|KSaYXdV{4ZH!5Uby#F2h@oyn!Z8CYhJ{~$)R)D^hQN0Q$)C-r_Gij&m zTbrIY8G=c^#&b*3LqQrw?Js}QQv1El;{R;@KV?-2=a)}5_lq)5Uhg5Z(}s81#X?tZ z|5M-mUF-6w2SD<)Gz(M$*QGq*bUQe9syMgNf*3qxa3Tz=1%IKj|Oy zg{Tio3rP6;B;xDm@Y+2uJ|(n0gQq)Y_O=vo17WED~3WgJ+rR&X~zN z4f+6L44s;~0Ha(0VA;#n=QnuL;&eQyeL(5e;WGbxu=h76>%SXN{s<0(?OYc*^_D-i zHh{E-&`4c&k8Fk?-H3y1DFfoZ;|<|OC5^2n0qR(p&;{Y2^_c!R_v`0`8i+dj4ky+P z6%T1wVJi3XmL9m!^gsJOqw(+9DScWPW|w2MqzL_vtS`fd7T$Gd(C0^02CBHB!4`>O zTkBJTkdScS^7}Diz$rceiM;bvH0fEb|C7i3d+#BwH%>^ta9HWFYU0L1V9a8GTo1Ac7b-VGdqtP_3UKk3E&k=x84 zMg#p>Pw-!!C_hCN5FClvt{Sa;yTw2FKZs0b`4|v#I6go|)G70n4d^nCH1_uT2AfkJ zNr{o7rq(h0(@cO!;D8#6sAIe1!`DZ;yg5J|0$@A3p&I?MrYr9IRc86zb$?L#+HcKj z?izHb2ZN7IhP{ks>Qlrrd7_bweMEZS+W!wdB`a-6h%#{WBra%jUQ66oo7mpK{T zIDZEdmvi%t@J_X0t>zh zU1`=JulKW>Lsu^;Gk@%C`m`*x$Z_C@sb*bPVV-^l#c6{)fDku0L^nmMZ1~y;?@Z`% zYZ^c3+EckN&sv+3^Tu>E40nhI;LgT_G`T zobKfXpedR~JoJ5jMfuZAB(0-?wH&qPrT@Bb?OLW=-$9yhvci)NdBk32@J1GkJ&cLb zUf2i%G`pr>&vt~GfdCoa0HTxKcBsl&CSn#9`siWDgDE21Zaf`czt`~;4)%2NdDhUJ zV~VIB+7?``E11wPTEK7QY9X?%DqQ50l-5lzCkzBqH*pTM?<$nEhV9%T$^>P*X>sdp70L)mz*22MEUuG!z6 z(dEI!%xaF+_nOK*jJzef#+}>wTNCgbRK|UM9WV9tcvPr?5^$~TnzxTQ#MB01r}XN`{JU zSv37H?FxO_R_3CgOjJ89g*RTq(64|tJ-$_qhbsdBi#hmJ;|i(gcaV>Y*?vCsb13kV zr5$F!S&fkPWy0kA3xsMP`G^)a-?X>Z(+V4z+fsgQn3|sZCs0iAW8*nnIa);<{i-N6 z&K-O|gp>+PvSNdWxTP=NmE26-dSOjsXq1?%nHrY9_QESj+rlzRpNF6c!m6{b1F*A=kIVgMvA{54la;z^$X44Jur^Fg^XJ(CBFE zWV5GFinH_>FUb)LITvW=BZ(Z%F5H`w(J+Wswy_af9M&1d#IwgXUngHkBH5yv6==81oou=u+#GH0dn9?3h(0PsV3iImVV^0iz;OKyeG>t!1g1~(_X^i=7jw5f)S9M`NUXkt_S>PS#Hb40z9#@9 zy-y~xiCR}6_rDg^|Jc9&XEK^z6DNuQ?(9tm+FK>USDw{98~wLTG}dAma3}otk^zue%RY15)js@b$kQQ zj^RryoLv4~8=py{>dG$*`*ar)s99g~acD`QSUsao<1_VlkjtFd?kib!U;*IK zoLBJmFz7KA>QBWpeBLK)b(I)OA1>sue={|q(2=UBh3H2iHkPf&k{JiK^qdtnqGfgE z=(t+0hR#Z8qeojb{FIBBSB zUSXBv>TWp;h~t}ibG?x0|BFXQIN(Kr-mtRo$hRh0xB6;f({Wy7t)mp5vx*um+AJ#M z4G`{cvpYjhLCqD}-2i8LS-)HxDb3>U4wTK6=$$@n}@(AsUAL zdsY0n9KDnw)RN!MyTF)|nFsP#oNMr;q214}8(4X0Jq8gTfXTSe{uAK;r#gc`oybcT z1sqjYfO=}H-h4G{v~_PUG@xEJiNCeC_H~b;N~2|U5YyPik^-&hde|_F-q3}n;pafP zY4JKRZF&`a71ostPXpQZs*pD|OeanXB|Vb5Sfs%U7?CYX?O==iNKe zE1KK78ChG?1Fbw0@p{s0d$&2_gp#3f;Nd^`G3QuR zokls!>bnKLB`9^TT~RP&x$6JMIuQf&e=ebHv03uCQTueHIgHZt{63_oLYuxgX829c zInl3Z!&?2q;-!j@GH+!Tnbe+;lih=Hl1))W4F+;6^_jB)d0v|FZ54=Bc^CCC*EL>s0=L7#9w5WM&yKM=!tHCu5#x zS5_S7Z8fzgTC_`}gNA#9Pb9F26Zz&UgR|T5dt)N4|IwlvJ}u)b8667Z?)(n208_)C zx(V}Mz98U~-!KaFsD96Tl$H+S{m7fs&*eL$e$&M^A9 z2ykdkp}_S_hYs7^{F~Iomq0fND^C-k3!HP1<9RSXU?1q8O+)r=u_CZ+a>}U1&eorw z=L?1In%Ws(Q5DU3*h|4GAf{=ZSWTp1NvZ(dE<6W)S?F+b56jaq&7wni(*6xNodyV< zOM>U7{alV7lG<2_+CnmQtsdXm>mkcLAvCTs_(75_b?Kv8NujUO-%nN@?_$^rfi1xg zwH)CDcNv*bn*=kS?pL0?Rj&rkI}3j$!XI;4O(kObnrgz*MTR*_O@}shHZpdNUSj)+ zQuSd5R>D!=zD~9{*QG17Q8zDoF6QFNGhdO4zDujj^dCgVdvU_9Gc$t0aZ;f~ zJl?)S%XTL+SWfnxQAuqSbp7&}E4~oCdY1_mh%-%d>+V)AqTDlLz0Z@Yp3$y$R~lmC zVQ=l~%aY<|^T>URErQOJAw`jnD|eq2ea_yasvU7=_160cVS#Ys&6&#Aw8lvoJ zU420rXxQmr32h(;uU39E*td^f%Aj3v9MMT6`OoYgcP1Jd-0{jwp87VQ%{Aud_%$*9 zIeV%2!h*iBp_p14;$20S>EyY}m&x57)Yj1+=hUHb+|6}n^PY_q#$9%HENxkN&G$ub znPL-BtK)TEHKED!B16040=E|)he4ZHU{zWJ$p}{Vj9=6tQ>1{Kw3(|>HAN(KsvH0oLAR=p|uxV zi_^+<;Pj5FGa|F`SZE!IOf0}DdXxYwyy*dYt${PyA#lh<#ui508_@59N(6Y2Uq=##$su@^Lcyihh8k+ zz$pw-siVC=XGzEPhh#{-7q#mL$ie=j@lTPm#h;Uu%D1R>Q=R?;;G|r{$hhe~{8$}5 zR!ph)z*D>OjUzD>px7bGvu7uBmE#nHUwJtcy1g7@O_~^IK3B&hGk=;f(#R}XkeD7$ zHxSGtY1|=|!6fU!PMxOQ3zoD`nj6+Db{DM8TbUUu8s88IoMR)*-k(8qAm@#) zWC_mXd|heonX+iZW?57*lexb-D$17E+!u!*SfP(mye~3#IVkJV$oqp*Y#r%Xr3uxb zw#CK(>Wd4+CDGC|3;U}bqm^xsPwTYoY!tFIqbeQ78(+B?yPC46xwB^6(c2AxrAM55 zrh^W6%&b>*agl?=)wKbHaT$#&FkC&P5?@7u7dLG0KUcdbdEO(^_<()uFgz}k-pS1ujPF^PnrNoJ*}u?Rc`?3I|yWc2g$k9MV#3p39h z&#Qf~DFXUcZ+uT!3{!WA6Fuyb8CNDg8G+VTl%P#>-k-+YEQ+>~20EXY$e(KmE}&Hz>O zxr0(v*lD8*!ve|kE-!t#4$0qa5v37yvU<+tx%tm-zn0*?fY2SVy;jBaOr=y#sxSZm zIA_0uz;%}SSZHfy>6j68j6I%m?JFW$ij7yxBlaqG~;RMzw53f}>PjBF~X6{WUUy_Y4=F=saf*Qo(XqV~j13CD83(O(@?nws?3`hV@CWHGU9YDvn(2 zgB7qu-$_?|;^Ox09(P{nBacf!wZDtoyAHJw5f8|_+3?G=skI3LY~NbV$>=UGVE0fV32;iOU|ZT*^WGF76%m!F4Me@W0P~_>u`z_D=-~aTJ47$mnZV|!L>y(4 zK@Kh+NZ7rt7Q~@S>*|hZzd}82sS#$Umub4kYI;OJ(WEVuXwpsHH4E0!g-b1 zQ&*L8{bEsle6pcf;lU7kzB$n0G)}&8oUIQZXd*sWM0-Nm`ohCwOMvD4tEz_qPvOC{ zM%atmN@Wg-)ma`IVVWV~vL3;lgII=^0k_CjZW~9saoHKKDl z9fLj4vnM#SV~!)HzC-8xSU^thYLPO&7K!&an5Kp3w~IqqQo92`s89RV=ik7cB!V17E9GK4iDnDdtR78`s&iH($AYIcRyWJ zad*LJKMv6v8oHNHoxXZUvJaA8e=p`g_rzLQFf-GTmvo*9dlsn*qriDBaxF?VuS?b! zwG}n9IOQEzWtA*%dv@S24hX`@PpeeQEjaXJMPt9-yfc4MMGM{7ylQt{x!z%+D%_Xz zc`&*Bi9FUP*(bJz(K#b{Ij*!ms(*#!VUKA;@F!+^zndW$6E~R_&h;%h=^rCnVC2)y zI;77^-<7`+IMrG(-6^IsEoe9DogC};*C|;rVMTx24sv0!b{1iI+Hxy0PImu5Dx<;{ zt2X;>sV>jmtJm_;WM0QM8)U=3-xJY^D$%5+8_?D@ju0KR^~%W_!-89M9Ju)2QD>5y zJg+g_0f!aC=38Eb2ih4JS6n>E>?yUI^ij0@42)7IPXzR^@uEi^UdAkRw zQ_0o4?C-k3L-=(()UoOs`Z0f6_g=dgI9`6Waeaz^(Wnyf9mKqRI&Xpp&qk&#kA92G zE#Cdbj*m?9q5vA4>j3u12%d)&5yaE)i`h3)i6X<`>(21QXWv0%+&$}F;FCI_LL(VI zcN1xVl_({HYB_@A%a4}(JWnZnd zClidGDegpjLUdln`cEX2rV?Tw#%N1Db)eQQbiC|*!YRZgH{K1|f7@MxtW>>iG7E08 zBbBGjSncH|@Y|M%xAUO`57tfkusbK25}D?Jm2THY&Et7WN{75O;pRCD2FnuZvi5XF862Z|tG~E5@Z3TmS zGM(9W6i%Z?PvQzID-J~^Pam1~?K`Lx6|50gpm7w$b}}pLK_seim&$;Dn=BV&E9EP{X1ALi+M98Z=#Wv~jrS~2V$w!fN7@7bv^H<1?qC@wa zpF0yHRJm`}lQ=s1JztJ4v$&D}=ZvjRRgg1Q2uAr;Z!+kn$_-r-dxiDU^vtA33pLl; zj59r^a?sl?6sx*w-=?&wi48AKPP(FSgkX}1TpwCD zc?Mx%Q_{@cwu#7(p%v4Rl<5E@mVyc&UpP&wx+vCVul)8DdQGhk4GyxSh%Ns(_e9h} zQ3h~vPPt#NR~%JWho1)US~DBZ^Ak+ES<||HITUkZyh41GA?a^=1nBlA&LS^ZNpVzj zTcwLX6^)zi=x%5kJ^>Hbzj`va;;DOjJ7mzx>(zcT|7>Hh^NMf6*QuTmIFHAj*Q_j*fc>(#vD2m4QHR!rQKb}<~4_)@zhw3}1>;8xD zAV=8g<&ixbn{fQ~z3aiv)VkL`{1aaGZ$1JM2EftIZHvbJrRn1<#ZEKwxL9lO@hlYY zYeBez2$7-(b|hqzK}teM!-D+sghO9KlCM-95=YX&vjVg_+1L)8;`s5AS$v{5%XUqO zPp_k5*Dh5U!cn*iM^Z}o|DjV;NAd0LyG%vY1apvbyI`B_enG(VzrI;pm1Iy$a5(>{)qJHT@-W;@ zB+w3~f^iM^ywW1=c@beG3W(3G0PX(RFyBETtQhm~eM#;2?^84x2~SG+yBkxx4%zEj zX28Ci6`r+`RBQHyfHvKtf!sWoSrZ!&s(nfk=>*7dDL%Yakf)>GEl139H59Z5!(oxNNP>470F+24m5}Y{)zJ*3uX=I z4QwiS%dn62_BBP*ClNXMZ|r5gwb8V#c13O=J=M0H!7SmKx6!67jz0R_dw{%Q7L38m zBu?LlYkleY78O?*FwXUt$4>x>q5~^saLM`?VJ7*{VT><7%KC?S+J5ozAFp2nUbjXc zX^WsGt13yUm>-?TC68fOSK$Pge1os!pRAw9k6ok)knQSfVkB1qsr?g)x4-d`{|)Nh z6j~P-;h}c=f@V+BEj|asvf?`HbJ=Toj#NAw!=Bq?BXEA_A@RMwFIF*Ps#*54SBJJT zP5W7S)OL^tRH1&gcNh)LU(AAoP^D8X-bHN-hI03cY8mSSoF~P>NTGEn6`DGJ!9gB} zt2|$p3FPyH=1i^gM<|0jx6;TJz9FRCdSJ}gF^q>%%Nr|a)y+@*8|M_E;g8ITuGe?p zT*#q2kiWRt%ifpa1ym5*V4t;PE1z04_u!Aw)46@~M$ooRZh^0BD|LCoI|y5S_<`ML z-H@QuDeiZ@8I{O-rR7)+lcOe2{$_9Pjhc(cuZx*?9&mmKJr>2AHF7m#_;vBU=%f!U zPpn5T@fS}O!mmZP*ImKyGT1>ow@o%7QL`?4{4Y1-P@fDQ@?-8TK?*3RM{94(pOn{q z29VAjcnH04(HxnnO4(2mYy9<)WJEgW6CxfrJaa7KG1_l-SU4?To?`2b9Bf|{uN^D# z{Dyj%PGPl%Q=&JSG&)#m%s+Q3ZlA#6=t-FnIDm@Ptb9|0^$~QWj~QUtHU+_BZ#;{@ zuKJs@cJjL%Y0Mn}Fn1LpB5^)i-Mg7#@Gv&ha;=6L zQAGMU*RZg8N`s4VeZ^b8%8Mjp&W=XU&7f5gy3OHmlK52A98gu>HWHi{`yv=Mz@C~E z$yJL*%;|4Ecw;1M!|WDvO$X$yM`gVIQo??U?Uuetd(`y(#1;&s{7ORmtY%zY4? zRZd_)wk~CvsJgz>%tkrqRuoZgtHnxF$;rIM@s6m?IKYHzO2t_&-b$iav0QXKG^2PD zmlq=Ik#pm}_SgS~cy@=EiJxWGphRvmy3E|_ZDh5{Gai1rSsMq5;j>OVBu13g~^?}^)iEzqk6tsm6l7g)|s?wjI02#nk# z*l#N(F`XnnUai=^{R*2mr}wbcLU{0ef*jbXeECU)48qYK4))kF*lg0YPe-CO>35dm z0Y7=)uEOF4y=kS%ph_qBkO8rb_^gA9+(T~K5u0!on^i$9BG>BSm~SIX+NYzp@bLH% zh(}~6nx1=FVz(Bno#kEDS_%_e62H+!bXqy%li0|$zp1MpsWfPdTs(Pmzc2D35g|rG zH!#u*8=<`rfrHknF86BovGulAQC6;+Ic{}SbFdD(Y-O35`t}2%F=Hhvp@?J`hfCth zZ4{3Y4@NqHg8MsqTq`JF#I}67&$E)a>oLYztOhwErDEIUUfi|OvwT9M3Xe43+AwUr zIAFCW>VLb3)B^ZtQk`8a^5@DgbV0e&Lop8TBkcs!O2sXP4y-oN(@fY8Zi!m5l@}|8 zn+gqsNZ*dUI&A6yk`>ir2Osw8W7!BpGpjSdY8YMus#G-5CvrBhwz$t)?|F$o#vB~^ ztl$hGLDtjU&7YS&@+G6b1?hzMA#k1re8{oNS=KB-~vvsWPq;&%FNr1bhoRmD{ zw}mk~C4ltQ_U_b69!R*799z|WM$JWjUTfayORfVn9$4av0I@z^J(o2NJfk;74>Tl@ zChgCQ-I(Ylu+m)y8`rD$gp%!Mdj?{`ArR>s#^<3nirsy`4;kyg0LX$~I+5 zOG(|D&SA#nA4z)ZejW8Vvxw5176|0h$Xtnktqg6Xd>Sn<#5a;v+ztP60e+0lpbiwS*gDkf`-K{1rw zllGaZFYKJ&+&w11CJdp2TWfogRhgg$I}7?>SznN(DV3~#B){q5+U7CTlbXAVVTl$E zxdZelczXWqC1Qz7*2{LZmfY#s^y6-!36chEaQe+jqS+)Lnjl?nRgE2f>iXf&O578o zet(ICo%uhW!(a0p?x0!lN)^GU_CToOE}(v2EeF4ewIH?;9=DsNt5_(rIbG z2NSDNOX}eB<{(6uYvdCZ4J_#_e6x`WXyMh%yl%`UF(36QW^1;O_2ZFXPSB9z~XO+RO`kspLSjnxPpRW}R1S?K|3Q zl^XH#r~8L$GuA2Z4kFM%RZhW>%e&*YOOWGRzM_30uq)0`dN*Nj`X1HcYblDRF76}3 z;W-=`R3_p%@J`hsd@Tn);0EEgRVT)H=qpy-ur{Q!>pVgtzokU)tN*b&QS<%*|ECG+ z4_NKA{9G~~yW5w<-nmNP&w`tM#q)m@!~Io2|9!bd2Eu4)Huhcz+h?mak4t)IfZ?fS z!)YjF^25#%g1|}!AnrM2$cs`KP8q{ED7<92dW~rO_6MKP8-%0KXUUQh$V*laQoG(i zlG`Hs{22;)w}bTlk?F^_%q741fK8jD)0PRUxitf?B>WEA7+HarX-^cFA@#S8SgS&f z8`fIk8-VVvnb-QsT{p@(Nd>p&cH!tL7rkw5T`A2Q%|NlnQ(vMI!ckudyL%`XezLWG z04ohm7`M8)tjOET%Yf669%$qyt*Kat-CVfjrrC;Z5rJD@^}SvG*&1KTNIBFVfSRQ;?gElT%DN};khm@e0lkj02~WrAlN&hh<|aO`dx^W|t}NejOoNb_UcxD` zz`a>GH+S%BIvCL9eebbKk zvq@r}Cy^dQRKCupeYDOhT)3^zcA>aCL?MFuHpyB>sl0Z4cjWi_(pIdOgXO}`YeEUAgi)Is7!5t& zpZ)6( zm`1nm3-!c)a_lx%aZveoU2Lm?+PF@N+ta?4^DBt5#D9&wQd^!J$lt4`SJ&N zElP#+N_o${&v}g$SXFt9X7QeGBrd(dlZb=F2~2!+&rW6yue6Cg4b^U(Nz5t7q{OYX zz4&rnYPP`$P$|&S&0$;kq9?8hxu@Hka_!Za07?Ct=Nr~oONSSCG`SrIXnD?SWD6N z70mLBIJsy!b63_ffi8~W1RHP8rDV01O~Vt8wu7vROMsV{0dQr{tO8Mf0+WO8D@q^E zDSRTTcXLm&`Ty8^@3^M6tbII)ih_a-ks1{cFak`)uMeZjBT` zDK6TAwaXJV=XZ;kytB)(;=b~!Kp_5}M1nK>R!)KY?c|c0<=qn=M_Rxu2BQ8+@ZsjI ziUYP_(Z*17gOIzClnu*^t^)60>rVKWGgJAqLyeQ%C>=DDS!J1L1{6-Ym!gNUbT;%_*R_k)nF z!mCR9yeu}3PS6PSNql71*o1R}+i~#uLZfRoAu(5v8!o$`>3F>&Eh_!i>k5+$gHpAw zR!6+O-Nar1yf0iwwDn-DOk^udeiz3ZLRcbDp>2yU@Wx^6^J`RuRw2Wk(HR2urZv-3 z-esr3f%uLy0ed083j6#g;hdXteqvaeENjU?E*}9%lENMC#{dRI_7flw#sy4`lOPWZ z{aXMr)db+uMwQ}tV)BO^TclRbzJs(n z>@CF_qpT)jDYWSX^fn*?H#yT63&M%*)6Mu2BP$F^Utu@}6)KYhAw-jU z9c-roOgg@@7z^qg6pn+@nMTJ}97Gfp1U1c`2w$Ws5uqF%Y}CJnB^S)ZtLE^}o38dF zhgQ3h(WllL9f2y3T{cqZ-7jsH)w2ot=`IcUpY#_w(Cd93M40i?**sdd2s6&se9MeH z{itEA&RP)J7Akc?Ulb3qn?#*Z%5KiCbu`67uZo5E)p&a zsEf9z@IBidSt-;OPShD>5;&$#eMa&wH{J3LJ>S)#<5p)@>~0P7w8%&rKJ14y#Zh@t9pix3E8FSr;E|r2TB}%izr$yR7P)O*r~#HVEEq8b$RY zT&*`|jRG*(Wp?v2_|We~3STCkU}ezlBT8n-eO$T~HmoP>?A)okD0fE^NxuV>fAhQH zyjPoM<|X%O_-(XxIwjY7=>ZEk33#I2e*q%@22RKSBAj__nvumhPO}z>G~JKG92lo{ zm&3D6;Sk=`*`Sxiu9o@~tB>TA*d33EtLmy@i?-V$EsJ}w6L_DAx>5ZXO>UPG<3G2^aOh8#Zq))rFV&TiJA*FECxD&)R5;{iDU*B5nNT?>%gPR0$7 zVsWBiZ+*OFD#FaUl2Ol&J~n1#S;V04H6HH1jQFy>&$0}wnA?^tQmF`h(3@jr3@h`x z8Cp@rSnuBJ;=sGJx@X7IDiq9)vbD89LXh?B&(()c4fe2IKnT{vN+WvT!w8_vcIYue zAPrWqy^=qJsp0)ta2Z`QhOo0h-|s~}d_NO$+S?v_w2z~=+%T>D`JHy|R}Ie3>_QM{ z=%#eEkiw$;SMIWYh{18XugyRl3a}xWXM*VR1!qVbafHFpNX)pr3#&8Qo7JQSO`F|6 zTRKIp)24wrocF|05!-Fzi9VBN|)iYj{}>s^>jW=Gx*->HAV^QkzM z;menApc_4VJi41&Jv+Cawm)%v_&)5(^YDE&gwe7Ajt*!7!%L=LbGzpx#{gM%Evm85 z3Do0PWjLjH+AR9eT?i_Aya391o44_GYRH8I_;!l8sv=vZSGjz%hvQz);(CB*L!*Cl z+C)9GJZEIf<)A3jcOcl+O#S7ssJ2P7Y#>h_Af#N9R3;av1BA*l>>$x!3{A2H6HcMe zo+aZeRL2zY{PXU6GH$-den6$J%GE%g?D1v9PCX3Au3J1Yc@L;edU_1MLcSXhCzsHX zj=?(}IsrPvaYF3VN)Gx#cOXs|I^RiZhT$mn-k~O2yWjQVyVq|aunRDfoz0 z5*EE||Fraoxw8pbHXBUFBmrAMy{iM1YSFgQ-hPq+I0HgP1V2zU2Ek-7WnNOFCs?Kw+j3CpUY3 zKcdNse7MPgOzmG_(#mSy&Bm0(UD&qiJ5AbHARYw6?-vdT4XyHZNeJZ7eM+cv3n`d= zYDUs8Dq9XIRoi{rx8Dix1#⪙-NDnBU+8nZr`Vpe$_PqvLJuSatK)uT;xo;D(C4s_`3aTwk1X=neaLtG4M~#Q^09x_MYb`DlYzgkiGj(ZCHM<}%hzVv)!I>b``#&e3p?KOl;@$Ma%^u{n1XVgf)XV( z9PWn%>^x9GKgGLDB3J8_4AqegA%chR!}@vF5yPQvm#PAa!b{N@Tq0Tn z&T&x|qKt~{uK)s$i^j-(YG+?^aV-!%kn}h!#in#1Jtl9pIesCqy|Z*{^?irlb2=kMq@u?uuP!Au8&0uG!y~EVWhbv0AuCuO0|bbP`!kz~bkBh9 z$jO8E%F$P1=Ng~;vsaVu5ltzV0MGlUv+qCn5BCae>rfwTWmuVXc(8dF&{ErGIGEWV zOz|3)6ZYud*`Z%x{Si6-t$ZkVci`AnS&)yi@r=avi6s~{s_Gqm^0V)Jbk7SjYuAHV zDMlx))V0I>!O}%En|bq{rx8usyuXs z6?mf*L_nhI6}(vNTId%sAKAkK32&G-x4CTx=Jiy^e4M8 zH9{;mnLLHn(K-P8Ciiyda;~DT1M~-L<&~lx^o5+!Qi**2(Z*97*U<<(!f6+Cc&Y7y zwy-I(aNwfnyR2>DgAc@(8jQ#L!3LU}ZYKqBbWx&gS6~|;IkJ~Uv&Hj@3EE0T%mwd* z2KvmYcltB+Vj4E287D>ps0xr&So*kjlo*s!3Vn-CaJLlboKe#3^Ex~yvZF#l)|{EM z*bClgeTVjDLv7|fMAfiq3q*%Z?R}I8nnd=Lxz5%@;L#Zc{I8DCcbEb~A`D^D^buRS zYg^}U3cOeSnjfdyEaMC)_0idQn$OKO0zT`I$ zmha31nI1CDK1#A1*kFFl8~yN21o@6Die#e<2y5`&!k-aNBHnfK1UB(dE=`~@X@KfQ zzddF*hp6#Pdx!Qv;xKU%HtUiH(BhOyph06Uya3Kv2qzH`u`jphTg00KE)71n}4OW#QP?uGRYI zL9vkGUkCkuR@wYRw5%YD28V&1yHvy=c=>x~zRypY`5llMFkuW#8p7-plf%7FzQ z70^Nch2r`0HuOw%Qa|9XAZ6U-PA_BV+>g)gYma9$bk^!f&7`M%-ob%`UD+}}4V#wQ z7Y%;#n+o#0<^~cE2c$+nt_;p0lO?HDHKrV$!2uFVPSYOuM>`axVopiXoks6)m1K$S znW<|`CYG`Ygh#|ZkujD3kDmr;3H=6KQhg{m8qhw_28r<$`}iNC?w>k<1k^c(0}-(1r!?<+tZA8ng>s8agx z5?Dp(f+~T)8;X|yX@P9meEYzbkbZXFC1283JAS%SN{bsnjjLu)55I(lZJMl6rYNP} zwww+X&c@w4y&fjWVP}Z~=0NH(fC8LS{ar025z zno^O1hRr$rKJd%jHt0OYBNx~hu{YDFcweyU$fH_|kzUy?_tHOoUI+F7U0ZI-347!) z>`rVyqEIOAz~b%EjXcZ98e@Imb%H{#WFB87bCJU3_x1(auHdFS6BqAzC13>%F*zP@h#BMBq z`Za{7T21WqqQw{yd~mlQ`@NRu)z49LU50Cg#pAVwvm%UphdsC{vr)Ugn?}|Q0VmbW zZqz+J!g3Jy70rhC$J%LOdpvwZWKka=M-K#`^ARE5BSD0hN1??>Uy5RmI!mi!(SDD6 zR@p7z_!OgFXdOGqCBH72uI%o{#VOIq<@cAXyLnkZN1r^(q_snP23P^D8-ij!Lu96Q1%K@a1EWm{|)4K)jtI+djW=D z)9y!@f{zaAh(C;Lzw_KZ^uv|w%4N{&FiI(~C>~P}9~Z!KcI0`|>+4>#;UDv-8dF_C z>MvZW$lSHt%-6eO8Ff;X(Ud;UrE%25|Rp0&a*w zIG|vaXEBt%$sVGU+g&DexhY7q&qs^(Ha!jrlszB7F-zXIiAgz9wL0SiekVL{{C3Zf zJ)L^Tbdt)({<> zMvMFnsRk+0GXs@w!=4-A9ScdV8+L4$u*_QIKCD9xyD{_aU3&tu0)Va0z^G?emab#x zQt4-13RvgA!n25%`y8|=Kb%b@*iH7fdTxnP5JOYII@%6Q3_(!j{x{n)1|pF*`O;8UpA z>%8gYvA)ZuAw7lN#kF?Tw&FHb1eEzwqo&4ULI0x39f@9jxg-mw_hL6g9X>vgZ8}wW zykX|6C%|fbUL3#v;#qOTyu5B1SnYw5?VNRwnsB|O+J)n+$In?=ZGbx}4By@=+CwhL z?vk;@Man_wsWbN3iX5nkpAy~9HnY*hQGqJ~K>}}e(!qSl;x`cGth1Rh`w@Sl8DvaE z`ELM`bpJLW(&GPnK;(a)GX}@YWm$P`%Z8RnFVxT_P5Cao#>YOy+o^a;C$6coF8B$3 z02WWXC^jbL((%=qNZ332ZTrx2fpRq-IG`Bj>LnI1-?FgYa^+p>CqoOnYC_R2f57t> zLxpt)te_0Mn4>N9^S^^yRK&CMNlygx-X z2_m+;x0!37@pbd0N}F-v`Z@#UTON6u+r$3iVVu9*=OzPE+!pBp9@ z=XBN@(9L7HeCPU}R^Br{b1vl8{mjR-=|u+8Jq2}ejVB%bP3g2whBWZaf!w4LhFyV% zmox2@wqX3J=`R)v4(w_Y-A;E~`1smvWw)9#m;}pMj;Mwyx$)N`j_I0)DrPL-*mtr^ zYnqlcyg$1zI%zXP-~3KfCAGCb?#YzPMDDP;_8TUxI2#Y!k*BX{iZF?U@WvSfp)p-~ zCnw>ybb?mMm*nM9aLiLiN^sWZAos=|ah)%!ZQIM04RPDw$P~rIaYfLZNSfJ?3 zhvBhBLs^z>NomzSOZ&{@R#wkW-#*oPgDl;50QbV@)jR2P_qjK=IfjN^d=0aAMQI5y z%;j5gsp7HDl-(cUa` z-x*Fo5C*tG{rg!BPy(RP*TXuIW#g0yTLz?)D>c=K3RujT4qlEz#x4Eln8G=bCM!@{ z!1L$Q0x(L%EDvDs2Z6S5?E3wGsx3hMQ*8m@nDH<3Vt+j7Ur<|sVis|s@`8oFXrIu^ z8k?JxWs+$E&uEh9bFX8EJ)N@<-YBYa=_%NS+U(`tuUXgYFCR+^jsN;(rVAvxlSpTu z{8AL`&vt@MZ1c^qhOHd^a8sU+;uXit5Ya}Fi=T-W)ybp;cjLW7qE~umkRk%iKJ0A8 zG_fE8ympuu<0~QgBxU#;C?n7N>B*oM?3rL&TLe=N;Egd)BdRf{@#zgkuIrJ)fz`IT zNWMo3V)QZw_fKyvWP|JxR8XcBHp*~~lKzE!lk$7r>_xUCj!_(8Qah}I`q@%PoEwo) zilLS0aC1+VlE4S05z%1-no#N7^$)hF`=@b(ckEsDk0RUbWr2WL)MJDK z=7VP^SrGG{m!NHyi#XdV@|H{?7`}7)rQ~Zlyl9HETa9)h>haxSfPP$)9!6JTsN7+b%_)_(gpg0K=St$G9(I1> zvdZ8%2*7EBrpes+J9`b|Cp@?w#2(AJyCe|POg&;3`j%FV01q-X0J-ZOEqP|+bTjT` zx!{M88e_wPhi6|hgf|v|JZ^oT{Zvu-l}R7p=`#NUR;YUFvMp;zQ(1Ck#Ut^v%XB4& z2Sb-(Aa@&+8;Yja(7k!pwwVOzFer(kn$Az)29@-~Dv?%wX=Hc1!#Er1y@R4Zdvu-H zhx2b|&-)At+>=Y2K9sSy|B-U6TzE>4xkl`9FU~@^$S=NQXmsn=UJQ~0Sl$6cz}&EE z1cO$ENp}`Bx;8L*>kD=qZxzatOt?6_MDM>(nCYShZ5>R!9G57F3}dFzsb^)Q$p--l z=`-aemFmq~(}#PGc(8G6pfH@H55eJQ0ZwfqUUAnUV0>B}O>chO_LJSNxKcGUX+cC} z7odWSS*}gx7X}OubNC#K;IK(v(^Rbj(R#h)_y>W}KgSCHE%gVioFQa6NiK3(0DWI`IwZPn*6p}V?3V5shfE)v_kS&S*;k7dNNwzAb<(+t*#^8H#Y+8C(dYJiwg68f1>q8*Dce&fFt5B70{Ovc83np}T!6c#%yyBjCk92h!jlh+rVU zBOx6)O#^`H9pTns^5I~h{nM<2A(&bDe#8pUzbB~XV6h<0VQ+ib{fmX8ny4HGZNWaJqy)J0!lFxVX z>6VvDhTmAed-XUmv&vh(*K;LzQKUg9xjia4%`Dv^3T5$PVmyFTeLuvE5Rzf|VT3vG z;A8d->)2=I&#dyh=iGLljeXvGT*lrYVKoyYA%8J1kB&Buv4hzsvO+l#2cETw#5tQo z8)9G0_n{X>Il+uL3699g%H|HS4X14_%jnX)F1YfGQ-7C107( z9t7^I<^sIbNb%3t%b!_U^1t3O5EHs&ITX#FLJ`S6A%ae@7;YKawd$Lycfa>nFcv{00K60C5>uyc?Zi5W%a`1G_;) zGNqI34M;~UY5~4i0Eu=LUK3&)ut$4#iS7iVDLn;fB?4gnp!x7X?3`UGo#et#_Su)_y8uQM?MYj z6Cl{bxe*@5_>2qe9ZzB#KYDYk=@{v^a?6O_&-STD_$ z2tU(#X?d%}a6eY#Gj7S9x;9c?(NS9Fxzu+G7lm!DR-b*L-4i#P=LQ=tYV>0}joKdM zl26Mvej5eMaemcJ@|>KvcN!HshidR`EjIs9PE6gnlsE-?uN>yyH7@!uIY&64_Uj1hx^Z4xl3m)U%$XFEMAf%Y3L%azQek5Gvd+(h|I$m541mL zSgxDo$8#0N-ZPet47+)?c2P!MKJ&(N)As3L*Xi>K1NDE5Vn4rb_X}Hv%#>HqoWMKe0F3k8bP($*tNa#qE+M1LQ;Rqhti5f`8fnbDUKwh zJDp(vV8%lY^-8k_%CYANbFr#w@2OVwrJkA4>$JOg;p8=MKepGBR|`byWghWT6z)8c zxxq9*<{GrT`0!%?2D|@J`ooRXl2oax<&|Z9T-zHjjEkc$OhBSUaPxV=Og7O%YWLGc zg^gQqT5qDSIatam^6t{C1X@lw%Un{KP!a3TAgZEu>$;UP$IG8zZ!vxjfa*M|={$BK znGxw6Sux=<=Jx=!VYNU{TFG(%UUYte9CHs2({;%HNJLD(^I_0>i`-3-B|pv0@JUr2 zSLFkK!Mh=%%e0&KRYG|ccz^zr@3so$==AdRmO5|S){psVzo?$N2|4^pLFda=h}xli z-kr6l1N1%{|kBK;q>KzJUm| z<_35MF8lxjo@3Vk-8FZU8hiwL|93ycrGV0<4T@4_wDhk^`i3Y4FIBJu8j}Z$t}`xv((z+)_9`& zOhk26ToY0@l6_~g)5V6juI_Pp&cm7BD|x}(vbxRY>JhPdMn7w||A+4dn8e?ip7{H} z_6L-|hC&gQ6WYVd#TW&GIjgdO;m4fU*Z*Nhg+i4{PDAR^yGe@CL4C}L@&_8=FLwmQ z7zdF9pR@haWk)w`ryi)|foP1k;WT1@$CvyKlnvg@atBNu^fPd_-^oJcb2|}f2o(>i zzk#S5>%M`uSLjH9_X3-G2#Aq-0810IBDd>J3ncq`=%+va?^{YaxZ{hcf}+)P+m}l< z=L0{=8+tHAi#>jOjQz0DDH*k#;%0@bipEC0588exZ2h1p|MEuvPy_ydKkkE+s)`h9dBZXmYDcC=`U85D1K#A0 z?n;{2q|5AEHC(A==r0$$WGQ<@ChiiW?sX0a77q)i(u}^~d)sn`qB8YE-hZ(J{`z

kGX3A#E2|MAz%JnMc|fmo9zNzLIpOv8MP9|bTeS%5g>iPgXvCqxfj zkCv;X<}Mpq@RpD-XI^bane%+QT@6Y~7#ycMYRYV*d>pehzfu;o2Z`F9&`e7L6!Fr*6IT(MVnpWcYEZVI4 zkXPqN0s8lJ`*4{Y<$vRe7I^Y0)Vt&42gkycf|R)<F3vlK%h0*3NFy_)@+&X% z^+rlb#|-k5ntKc-;vCW}cPs32NWG^R*_{vjHO_F>YS>UmkHs~g-`5&4XhMUkvAq3p7i zxmyA1`E1hke6Ph*|EEwz6P?ATq+-p9X^|3KoLr`t3Hi*otNAzoaTD{`lLah6gXD_{ z-C&Uu`$RnZX=orJ0#s-d%XTfp`rIX%fAbP0?;n|$kGwo$Rk!7p=g)DoGf2q~0 zdv563^vx(8+U$Xd@B%>b?j3^V4*tgB2~`!ndFy|~s3&~K52e6CO@={KW#Ou___Apm z;)u^tAdm_Lv3&-ME_>ca3-Y-hG;i7vJDjW06Kkm5l%vbPxwj6sRv!V^5+~rbf&Ol- z0daZ5<=e8F>PW#?n7C~G@Q&Q&@LjsL+y4>97X+26B1fY5%x_{w){$(`8f@HIHwKg7&A7{l(ek*LQ>hkefV! z&h`NyeN(pF&EMVtKd)>84nDyY_VAy3S*CiTDh^KM+E*U1<37}Ly+-*u_8lDk5TDu? z=e4QrEXSUGdZ)@<8e%iLFJLh7DkqXF?{fSTDXNpI@^OWCsH7BPZ z+@7a%93S0QSOKT{WpqqL&7NYr z-6Xx%<;l+v;BG8?TT;=H0-+`}a%q1oy>RSJwc6r* z!Y4>eV3+bO`8eLtl1c>avipAA2kwS(X^W$G<#b5O%Yj2x=32(Yb(fvf4|4r#2>#DA%D_gK`_xYMth-l8!j=Nc=?z*~1KRje)c=|*Y!)kxu94ZiuCf)nCSi{yMXK777W zIVo1Ih7-Nw!s?fb^dFBzTu!UHvvUh=ioY|77G&|gtZd~2`$?2qwuGlum{(32A#8$$4=`N3`iB~4X;56BXOA59DvIT`Q|QTo>X-l)|P2|I_& zUoJz&WX$qnq$nbyPA{8Qnl`aUQx{(EzSp=+iRlP}}Inx^g#YCws*L^h#mp$&5SA;(SUE|O|d z)PiSaig)?F#ZE3DHY_>KOrDF~f=B1vdZ55U8Nfelce{6B391*l_cn0~ym3}}JFgja zxx=0YS#1cOB*EKI0PD!*t<|y9-B-3t^$~sY5K=rKI<#9A)gc_b(K`#!TEJ%~CVwDu4N3f~|jUNdFCo zxcGws8uK#-K;PMn*yua^7MR|z2dWs@Huye0K>kLQaDn2ZS9Nsh{|y)S=O4*5n+l{b z(Ln7H%SbNCl>2It>&pndAh=A%i~|hy&8E?&_FVXn(u>5aR}0jCE@=LgvxJo74=orf zHl@1{gfn$eLgilh#5Vf&p{(}|*U(NmV-n_xMbEk@%{gnGOx@*uZXHC?*TK&Lm5w@~ z8qCPwE6?buysC|$A8UU7=#c1mJc?6tow!V<+arwSg0E%%INx!gyHO4dKjdt}`d$>ZM1 zgo8EMX*c++zP-{4k@XTOZNK=P!mg4;2{N3vsYmJdC5VFcTb=hksi-0(mkuz?4^W-7 zc!(ZOuBr8u2vxGG6E8#d^vD5qQj()f!u5MN&pds#8z|jDp{3?(ymr0Sq#cv%c18UB z{0&hsg-DZoRDD$P9;#)}sy>EK4)Nu~>(DT?bh}aF*k?t9wtxJ#bV}?bILuTldI~Am z3c0Roh!*d1jj)62Ud8qN)*Yv;mSL^z3ZQ^qI}~K~px3S!LT$gPbd~K#Uu$qdK*<>npg&E@ACl2b^X52_tl6f$KSXZ~?%E~#>V;dNH{o!1%tX1S#qs40@p1o4o+N`0sB z*;ZUVJH3*$o8kg6*4U@VZa%PCGQ?7l2Dt(w%nn+#HsSA5(1w1P`k}bDETTp#FLr(> z5NF<5S4=VHkm=6nZ1=wgh{WeL>fcDFcc$^vedt;`Cej3ruSH!dtYpUmD;cQ7&GYu3_;4@T>rW8F*d#c|$YYHad^a#7_kc|160pqrPQ>0hvYgIMGF^`5e2Bc|N4#$iWBjbFPH0GzQw zai+oV>{&xHsh#NvkF$M|dtbg5QtIQ|0$sf&lJs|zRwm%%RB)6tv{5Pbg`OX$c74f* z_+02{HXZ}nBDTXqQblWU+!euvxi?f@IIq00K0%;6P9bv)0Z*!?Dyi>vyOt~0LA^{# z%_-q(m9JlYb^vQ9<0G-&Woz_)!jyf%pVTqX#10Ux?wDr3>ZslzcthQ|> z%fs02jrm`{nVxHz+pA{E8% z(Q0d*hpL9W^DI$T&zn1w@_W*ZgqF+p`L#R#WQQM{Uk~%9+~p0QjpuGe?OGs?J#8Q^ z6SlS=8ryk_Pq%8O?^5SsN;&IoZ>e|YwEGfcT=hZ?SBH|ZuQsf7A1Z&ApXHBW15$$qn3{H(hxmE8@(>P^yp*5WLM}TV36*JjJ1F3)!Zvc?WKD+$a30h#h4ds#&@%(v`d`zdO&$R$KS)db*R_v-pF95l-Txjt(`b631ZNt5ea$@nywW)1bN^*C7XEKh z@Y?VVhqIpQx*OHl1V7pbX3q<`W~2Qs>4hr97#M%6+brSQyEnHDR?gF zPEeHi^)|n!g*xao`2a0V4J~(-9SD^#53l+B^Wjn3X$KNb=q3nhy<=o!d408eY={xS zGyx4bz8t^=C5zLUvsDig+C!g)wX^a~M zi*S6~%;G8zmjZ_)i0)M#Rln(YSr_g2hG0ImF4p84tpSWu^^?jWm?AN~e!+7Wp!IuR z>;)#6_4LjjJ8ur}GC)O6g}5ivKr#bq`JMYnuw>M0e)YrQ-p2}%`zA?hBh-m@=8*PN z==kNf=Jj*yQqr)Be31D5Q*QRCk{!E(silrH!Hd_er*SOV379Z%h9=ED%T^-now#pN zfg#*t*<;tUHegC6^qUBi{!WGgf>NW8uekyi{GFmL6Q+zmx-y2pp!zcTRVdKxsX48= zf3@H}-MGz{ZK;|Ib+=723E5<2phT5D|04xCqMs_%4gXZdn={C_7M&(Uzh3QcPWiw6>dC~T_t-b7~6g~!GEn;(Fx#R;Lfbn*oUUIACR@? zX6g1ZI@+Xl?cr?GR0Vbfb?$Xr-L61>+P6_5RkkLk-buEIUMU|TedDt(rrSIIDHlfZ zpZxB;vkpU9>KVqEU0|SmAboP7e&Z%6SW*|eopM%gKmOeb3=36z0@t82?*`Mdk4lb9 zHKL;?TTeeBH2eI_8@+7{$^zfVA3|Nd6@wClzNz0FK;Eb1#WgyzHY13tD0_nv%7Am< zDX!aL+{?DV%ph{b=devTecpG0TwZOo%gW_VRWG_PVA{!`#J1SjSVc#qo~!A}e((ob z*hA;`PZ!`<>^%pFj}MLo;-TH*OA|bvV*Wmj+g?uhbH^kOki@gqq-4V=q>H&!8an-!9QWC5y!!fLOa~~R9sZ@ z{;7h69Zl7pw7busn@n=nF=}77cyDTGXnELP%~JXE?7f+&_6_`r$SW3oWjX(PC#UpF z$~G`VCr|+0tV(vZ(;{_t;WaSwr+eV$WI9;Kyc`$plIUgu^@8a?fq+0g&bVghR>Rb)2z7!gz7Ql1JPWh#4h| zWHK@i27tde{0#I1_w5SXtgE@^qzrw_15A3pQ-Hs1RqP-FN*~ChpBViW`TIG@y#VoH zO3KB&L>5Iz?_WS$5)1yQm(e8>O#qbLBTuyE2i;*-HN%V2whoEP0H!zi5wx=xaeWAE zQK!2*;O!=pLEiR3*2x*I)-c6<9=@U9qC>aKHU}!4#6#agOF}&|&-)vsXzdyV>l4P; zv4=pu)<3tR|CYZVdxQHlzftxcdt@IcgH`2&+6>nMZb~xpC37L>PbKfm53viHkqK`xVsv(cUhr_;sT+ zlhe>Ez9#RBuh>;`P^YP4PMJ=*hKMA%Jd3^K4n3xWe}Wgha~ty3jLa0JpvR|lN=lqQ zC;Nj|VAPbR-kV^E9i(< zG^wIf8`WrKBGU@~zN!g$rp-oT9Sci zz~M?}WYpvN&HWG`PY=P2VZUxHSnzmMP(ZI=lPeVsGNOJpjPsU#6G-H zt#oPF;1it#fe^3qI8X2z-4xk$XVss5BPw?Cg7&0tM8W(se&OkjvA;Whv?9Rr5L zo~e?>7kq%6oL^p~UC!v2yQ56xuDC!txjjy#g5c5zAw?HteL{bEjeOSR3^~x-fqa4& z-?frG82X<$;2G{pfC8mqj|zBu8#xUVX$!bH6R9t(cTxBzB_+zMJc5VmJl16uf5WPx z3qpWv#%*JRvMXSi6RiB(RD9q7uP(uy!A^oHWjk zaYrW3I!3HUCIea$mb3gL@^mJIF~+h_0`UQh@8y`{R7f7sE2oEYw~e)FZL4eA;R#FL z984>q#6Nb^ku69>F?t1deo4Z*((G*FWsXmhZ4~(mwf}qOI4%pOY%4IT(XK zP@J+Ts1QU*?mr~h3(^A;&;TYGvR4gpK>sEp?w2C5pW3ASbbsxJaLk?_y33WY;@QqL ziOf$CK!&-!ft-57#&O%m^KF?-gEF;llhUaf3f-bkT=+c47}O$qa5PF??8A12-9F+*JBf1}69-Vr)=dWLA8F-q5P$^>^TAcX!ai z{JMV}itk3=>bXEr;=>K$`2x}f=pspfy%-+2ub4F`E|ZAP;LwD-fflzwZGQY+w*Y}Z zrsZ$%Sk+oxwv2}*@?ZCAB^B$nV%rS)UB3Qv7kBi`n`L!jn!aB8V`BzK0r})CU)6WV z0#R1uhkI4$9dF)kXLWbpf4KsCcC}X;J2@x6Q=AqAqF;q-$?p_LV-JZEep&BgKG$|1 z{=YtK!dLZeG;pau%nG1joqeyQhX`@A%N|jXORvFmUSoR2?|k9nbQCh!aeARMT5S{# zbbFOAFhAncc2H&`jc>5Y<<~jmJ?c$4OM7|@d#K+!q%?N>ZgOU$ztKJ5xA}RiVIN=B z5Ya69Mkp}7_K3fu2!75MJjwG7vmgo7rYE!x=`Mbl?AG7O<9gTRf4i`;F+2c**t2iZt`z}mV{Q%@K(VVoZ?oHC)Omb z3{jupeH}4oq*(xuZjJ_15+D0noKqs$jz7VOdHZ>JG`+dK?;ht($x7<2R*Wv7OGUT#_p`in-f1fv{V&V8SB{TBJ(zOU{(p*euXu2 zfTBPp9+F22Y@F&EW`N!8dXP*rJ*T}7p!1KSJquSD(Q2az#8idxYqG;!I6R4T6acJP z{)<-YU(=hvga-eE$Nk@Q-f7y|6hrn0I%R4knRK-gO~sud1*evQp~h!)8-)hgm{^q| zu^#A?E9eY~B;Bsox@L;hxBINEh2bvqk!_ir&%b$Mz=o)PjTudU#lV?I~l$r{IfARmc7vzP;do@6>P|} zc)w<2J-5WB@>@}vE(qOS##hQ0ul$?bK;q(*oE*~X##Qo`5GeDJXTTg={odQQ=*HtRwsd6l?=qjCUSXf8p5`cUn)8A^*P1YKNs&Qvc%` zS}R5njt|4j_~{zKxIIde3%H|f5zaUUKN6_u{)dd^AMv#=N|Jor9kbI1Pq}9M+1{h1 z76$aQl$Obr;4SgikkL1~gG>vBMfCqz75VRmqAaFdSX7l{8YE{VIfyw*cH+x?8e;3) zIhb7iRQr?hhI2NKV-=>q9b|B=y(cf42H%>X9blR_vo34llM|O84*s~H_f9WU*G?MoY>7X3 zW4z8!=C?9Q>EahTDh0k};Zwq*pC1yH2XH&fP`x1Qd#c+v-?KCtpJ&Zv^=aw%tHhWT zc(dl{QoTKKjDj{GzEsxn=Py!ryzx85`2trf?vGv3LhBboULi-C{L|M#*_@>OFK$TY z<^8P>nBIBMPT~ipL1q9J7eUuiEi1vH=%VftpQ&Cc5OM4D!s*O`@lkM``{3)4Ch{UN z=f`j7A3FYcWMezX-b`x~{PCD>26rXv7zEeBn^xo%wM+dA)aEW4V~8s;=*XD+0IVhXZ)XJ$gWU@b&(ZtRzmzaHFa9h87UkO((?&%{4<=IVjFg6$AlllL08<$Z$lgjP=t=fUFu2hyJ3TXV+r1g5Vb zY)&QSK6sQHL^=gc3kM{(X}E5{p7FnM{AHW8tAUOw`W@~R0&ITgPh`F zfFp|Yy60I|Hk1$6nH;L=5zL--+mqbqXs$yU9e|sz*{(iEn^|f=j)b;lZ#NUEs@E#t zYgO~R%PWU3I-B)klCF_$0L8!egkRE2+3_jf28N7`B>Cv8k}D!&E%07C1u}MXe;cQz zepZz`RaJa?YH6$Y;~*&8vH#donWC2ZSSm*F#SS4%(HV5x(sD-Cv)|US^!bBj#OsMH z$iu2j^0j@`?9-HTB09TDn%x-*=_K%7*9!1cS!I-+rR!e6`R^3*Sa7AW_r#|1H`c?j z(Oq~o7K(|Pt$6*G?c9Ru3?hsFV+c*_Sn6{^@^D!0Gdy7xzG9;Sn|?N;K2Cj4Tw-AZaM+8(R9tTwqZV z>`D?m@TU`3it_)LwwkVZ8JD{Phcx!hn?{lr1Q5zY1kKv^C#_`?Zg_WhRq5t?8ZNYF zj+|;J+cI;b{&?gY13vY&EVh02tNJ-cBA^4XP0M|6*3E7fnC0ObsN%q>Yv3582Vr>w zO9FKP16ObVBQ?o+t1|Djb~^DhXpgeyYxp+>tU!&46wtDFojMb@dttAa^$?RD<<3l z{PgqRhcN46#SDw?dEogZWZRSXzS`F$9Tkyr+U{~+o3{)34ErRJwGX;XvQ9R|6~ZlQ zAH-Z$qP(ChJnYx9pxLtMTVoN77EjU339}?Q;Zk06fKEg#*aUBRLIAs@))2p!kJkN zdJE^|MD^7WVuV7=JVS|_OZ+avKYP_5v8N1*i>x3qzD8A#gAn~bf2T0++$xlYHU56_ zAxC~m^=*K!@ns5{9v}x zcP2W&kIZ{-0)9qyD#h)gV_gfis`K+C(j2axJ;Fm zGOdb;ysfDt!iZZG@I-nrhIXSSSoe>i^ygF>9g`l{x6My;>1#3`-J74txi1u26E!0a` zvia6gQ2xk^QMjr-7^J;uC}PmaJY(;mZMwtf(U*KS;dU)EyQay>Un)L-yC+NVmsO;7cfLyKTqnd3cSF%vw<>YR_z`AOf|P-|-y<$F zdOuEsSU2AKje@?r5k) zrZ=q#B5X3RDltw7)-L8X>W-m8)09S`raMlw-M9-E7;jhfZKMP=I>Gnb-*rAMzyK@r zgv)pi+Lie94_gCdl@*ZP=0NdNOfJ_|=bM+yZ%!}W0J9S6stSDV#5%v>Z=)s7yB?@- zsT0Fi)-pfTlvV~!>2n*+T4|jX-zL@4ZZJlvU@m=n7HZLJ6P6M}KV+!vt&h z`RkEX7tnX{j(^){0NHOa?ue_(xLWrNO|Dc!4DDSCAm0Z_Ld~A%uPm1K?l{|0Vx63_ z$V~a$u=x*D)NN}WD+aG;Vw_bo(5FaN{FVXX6h|%w(4JbbS%4omuq^fF$SSeQHp-lB zNk}qDgiCVEpPr$q-H=)|8DLBv0%&C(FZI+b>CAN7cZ}Mj_c_d&mXIlbB&0uqME|lx zoK59%$)*h5M_$+_MK(qUGA!%SSuZ zS&L`_a!#5;vaRjGUuzMS2`uPJV(c1Wub^; zA}1@HIo_6=nkfyGHEUD~seS!=J3me=eM0FaxFSb@vQ=W-I+AL~VHI?;*?u+AHUkK2 zW&AghU#7{&jPWdnnU(3-sTN~A6L>a;1YL69h8FtU{&Hu2Hnab}KTt|ef2SbOmmv3d z!EMkELpoxFv;)Z(n1Fakwf!DmZy^p3;tDI7yw#_y1Ov#ihWOvt@~3Sy zW|x;;;i*}jxl|?@)J54TPO28xAH{!jyDYlv(2gZqM|}J0z<;PizeID_T1n$;WFx9c zdpcY-nOmi!`K@NG-e{0zDytsO~@4eR&xG76J!h`jN4@X>@nEQRMh#O6B5qHAt zu*J)jmD@3=)Za!>2T0FgIj-@@6nSM$KQ@5oK~N za&tS7fr-z^C+!a6MJq`Xk=4wPp6f>Jo>pjfmgf7tu+ICbsI1C49+&XohU2Z~d2M4W z$^H*4PoCz{W(Z3WBh_;m2}Zrv0{O3UZ(YB2jCc_Y zEyXl2q_*;zKR&aoy9Mcd`NIca_2y3}df8v~~0|QQpbB z(a3$aSSuH*9YAOOx;G*vmhFX6L|V?>m-PY-Z!SfqR5Ly)2B5Tog=&|5L;(i3yGu%J zam+VuCG3vf4_5cOeG6RX4Cg~-2UMWmKTtS#^&-jYT9cDIE%ZX}81@Jdu3ut9@2>!u zn|@}y!Az5A({MoLmR;+&$;(Raaz`VQx?a=|1%;oE)CP||)Rc}6nN<=rqH~~GqLN~e z>;e>{iV6h;1=!Bj;pVfHDRG%pAL5_$oP2Hx^tAs*$@AYU!$A{$^>FZkRY>Rx(63TS zadB<&pRq_I8DUEM-#2u|#9DlKIVoR+2r_MjZhof-eC*i{v9OXO%}B(5NaRhdyG%QS zeI7R&yl*nHHEm8U9Sy4%(%e`;c+xs(JUp5mjZg%)Fx?RcA(u6)KzN&-P)XGBhewa4p z$0KuXd{$jYchB<%D3DeHN1_4;{GXKZ;rRRA%>6@`2zo{kp|`os26E#bWRrjrS0P&K zRIbVc`8T%R4~UzbXGNCUhZnKkMM3PdEm0#Hms43^OTIE+u0pW&A4`d}K22jlloe!CSDF;Sup8lZ z|JZj5Iksm6XE+t%#SLQ(brCOa+jz4W)unshp3FX|y_+`Gwl<1q>zNgaB&(fO9&@v> zV8(yN)}Gh-?Ap#nR-DVMFUNtHAM9kyV3Ntymn9$D*=BqG`H#xBw({^;`SBNKzFFxj zfbYq?%(ie$C@DR9uewpe#^d_w>wL|v$rQ^26Eh$*K50LqDP^JE<2PdKmE5mU>4M#e zxv+d#9Txr&XBy{hWS!aTgE-)M3VtWP@zOoUVpTuKimnf~F4E}W&gW%o zz_mZNeBLPoY0KW>`rWmoDpQ-8y60aJ8x2kAeN9NrR)6PB- z0it+lqNy)Sh?l8;$zc75>rI}=gTr=Yf?crn2R1e~oMVvWs*;x8-tsD7L&Cl^mEpw; z-hrR=+M0t_*Y6M`ACLG7;*5{4ypM8}X_kg-(KxD~(6r0l8Sv+??d{H-AogJ=;a|R# zZNQ(es&2WelcirhOZGPtj}*p!nbsqj{fVE!#NXc>gKNkA4ee@P4Wxm?2)9^&q|xl!iC!^`Q{W+B3yJS7zMrj z@ql8@&%Ex8x~G2GWPj^Hy({Zz+{d1Oj1J0O=rp>NAZP*97QFnWnHIYy)UwBFE*xJC z1Dc3c7|~toA=v?{<%1YvqU=UNSDc&=NUc4w=QhC{&39s%wB!Iw zB%D?-`@50D!6UpO(I|tZ=VeAY5%$V^J>~lW;Ps^0CZ%m;^ftQ)G*%>>{22IhzHO;( zgM(PngR=MJlUIYPLuWY)6S)9s+i_ESR^yUKofVw`#=oY(7rke*&pD~+6FT$me@A@y zx3~{@_J4zKc9&-dDhO&o6x{~{T0V=EBv)`t85S2gzVVePW~Ha_uD z(-Aj6>gy`d2efkh6#-*sR6gBdZ3^t!@0UuH$*@v&S6EE7lj+d*fw`k^+06G5Wb!Ly39$Gxw%>>h!l_3+nOiOp2Z( z^=Xw+E*0J2nR9mJi2^Vq&}JI}?X&@if$2=5wiodv{fXCn0jg3I9)%di?h_G@te#3z zgV&!e?x1Wsx=Nj|HLcAKAK+aNT)0E8d+KoLW9eKR_dISuc*k90qxz&g{oeH@+%`JH zB7P<_Sc<~{Q^8+S^dX>$f&D6FzK26@=5yrWolMS5ACz@dSBq@sh?@>e^LEZ8?j%w) zSgGy-Im>N~n;uN2r9 zS{w_Y@!-#2TzN~%6N&zo)Wbl@ub-r)wkOEXpH}fwH$;J>Q9*78RTPxus>CH_HdM}N z|z z{%G)(?r2oU8Be=Q40Ykp<-jOSB<%gO7DD7D4&M*a1T3`~AL9Qo_ug?$rdzu(RumOf zL^@G=ks=+0L{Nx;^b$HFy-4ph3L=6O=?X#wL^=WKHA?RtLPvUUfj|f$a~`Mcac0ln z=j`|U&ikH!=9fvrOrGbypL<- zBp;wCnU_yy)(zbuuMg=Pzlqx>Oc239_0b=m;vpS=ZACjiYo*vg5l#7QPv|CNgDYXZ zC;UiLDQ9Tjk%lIsXn8)U}s>O-5sVurmfLMCByojg=WO3V zK?Ne{2~i}?+4WqmW2$z9uQ?V8c5!D*8@`bqri8S~A3c_r?hu8kFoPk$fCwyki@VMqD>o=$w^`XgY$lq-${iwK%PqTmEa z3lY|n5_grYc4$OOW)VkZkP{amyHqxL{_epXRMj77My7^f+fmU-NWRmA;M!JTe83JK ztq{F3+_a9u&{YC-9?-DPT)8ttTW1u$R%~Izh8>UrovTL3tQ)y_zyuVxS{M5rY+EEy!e1U$Ho#lB3zQCLT3KuXA-y6>EUFfokU?xiI4dkKLo=6Pu0^WxRRzf zUM8{$ctfeyg+La9gAc`rHUD70DQ`~zA)`GtXgS_>)}$_=-$kV?eDFWKFe_oBdYw4< zdEbBtiN4}1)_}O+A2#F_cmUZCMc>SA+>(dCUYn|`FN-8+7dK-+oOzos1O9e2Awh-$VCcUdEVC6GLP{g;pv3P+Ov81SOtSACykCgQ*ZG)Qm9*p z97Yg#iPtvKtHxDkPi#Kvvt>rqdhBpaJwl&Z-|}A{ZRk62u9-r-vpQ;Q)jrTdY|Z29 ze>F1mj!sBeVl48uoHO%uDYC}G~U07x)`O}Dc|b(e1-kLsrmCz&>p zqxR!ftak9gjLitz?$9cfjb{HR3Tz(LKG&2XLD6|3HVSBty8R1yFc#U3RlvC0F=|sU zR)#m;25dgws17jzj%$gu^aEC?MiIb>g^JLQYyhe~OCl?f3uPw7xK9S>pF;gFlEBLm z0w-cb_odmzPMtf)U0aD|oolT?uHk`7+tPwn20Z#JAPi57%OjClyYS)iL=?GoqIq%z zQ-dr#w4e{sOJ$j@QV;dV$Yb>P+S zH3IwkMO5=Vc=0bVVHn9$z-`+rpKug9Dddc47%1WL3*uwDB`?jcchtpF=$z-q>sTOZ zQBL9+7YB0tfAOEmK%A%(ASte9+B?J4hb9Z2iyDiqeTg=$dvf~P$DJE8$X@Gv+OPLa z-W_`gN{!k^Z&M#p+Xx~pULeyBqE`L=| z^lHUGYy6mN#F#EyUtAhr?4!7U-*Ks>W*qCZwV$yPVD`Q-Rv;f$3qU~Gu+hC=pPVX+ z&?MD^tiZxCnBe#|7lhpL3~tr2qojbz*2Nea0E3O0*{B|O?ty*ts=?`al@hCLXw1mo zowuN-Qwsqs%(Z|nx);r(sm;}qyq0v_n>`oL5zHPMB)>+h>vjus1zi7vp#3T3t@{0c zeOLbF?fSp_0lD4WrE@#vpH&IR(MQoH5-Sjlz$yy62O_@U82~7%EANNvS$(ys9{y3& zW{e7fEL=xzm%#uU%Lhmcj3_XLA_Ox}f)LOB>SNJQ*(%r7i%xt4P(3x&fKdUIR_E-s zHO?j;m05*pQSnPP9%VHN=hq^lvNvq$HdNM@uIMFAGnF38r?WnZd*J{vo|(tNVD3)k z<)3?|uDN2RgPqZbS!O;y^2?`KN@Ij0UAh!Frfcf{fi#U-W*-hr0VhQmWF8N~FPErZv78quawsE|VvglrnWlsptWB_M%d`SZ~S39v|kWePNZr@6vmDq|1f! za{bu4KHa3LGSfGvF9L)s&dT~Z{fs|3-+nMLXCEwb6DEM%SQZh2P)+0 zc@IRPf5~555!!oqG9U%jTPM~;_q??1YEzREzw;2k&wPcnX2$IEHg%&ci2fUyG}X9k%1IOGMNKhcem3R%f5w`3WstcP!pMjhcfxlJhd+Zy_lUAycb z)OC8;Zxv15W=aWm6>(#+rc|GnG4c#?Oj_zri+(M|N}}p6&1Fh8>pbA`rk=Ip`f9xc z*!nHQF%!jpp=|iQ+S9p*fnlRv|1r7?DOfkL=q@Xv5Io*~Dy zOD~iaqp+!upUpceb;N6T63esx={r#VF>z)c>-c??q z{7#YuF?RsQx~Fy1AF#jA?+L5~46%+b0B%QCM9bnvht9muJZQ7obs5L3i;s?$?nMn& z932+iCGRU1POSaQ24CQsowqir)b@-ItTwqkBNS5N!OA)LZsT5<`|!7B<#GAHZu8kS zf!--=cbzmjH`?Ie1dFf)uVbRT89=CO;z!~R*-|riREZBeQO6e`#MhB^%(auyUGraD z4s{d$8avx9A}<)*7-@(PmDDhtI}@XPMxnyoZ+#wqqa7f!KWP9&cI(rS6Vy3Hi@kN8&2D9$y@fq<+&UT{j(ob)8YmofsfB480^SK18Oq?@2DFZ= zxL89kDF~r9u??Tf-ed1aMM~tr!x2-HtJZ%eXn&(>r8wqoAUg@V$S0;*fPO{V$;T64 zfk)Q_;!K@jQ;EPIE&qV({-|sJ@+$C~|KMu0vBy(nV9qK&V{$gfWvSF8nV|Xb22O=d zeZASwQh)Px)>_oC(CD5;Jo?aNHpiiO3qHT3THuehkH*D`-H~^tJK-8Ws(Xa#&Ny77 zT+XCDR3MV}6G>{s>dy_BbFkgUptfIfL*%FiU^NQU*8-pmv@ay?x|@w_5JuH?Tz*|B z7nAG4$W4=T#`cDtcCP`w)3cLpf?JuDzSPh{ZREyhgaSt_&t zJWGYlyq|Ta{cSObbZ7}9#wcSjrP86iO48z< z)*lUtr$%wEL>V69u{QjBb?YMt+xIgG_q<7q7`#!!NwMgs@0rVt?&RxE&MZbf?*r+d zN%U(K`vq;J^#%M?ZOU~Ehn!|u{C(jF+vPlczMY3!I??0SItYtFwW7w(y^8%4?x@hM zgI22aRD)%BmgC;0VgF(7r zGX4?H*9!Ka92t(rKLb1nGH z%N%>u{2J&GeS|g}{mK+<$Oo+EZ94>h#V+c~u8>d7jcfpimi3XlSxZ+?5WGg=wxf5q4Vgw6*bBSxAmHlzReRzbu$v2^_-J5<7Zbvu?bowbG$mVcKfU^~>~H58Pp1@61!Mt^ltQi(J4N4^UUeJ?5Q8n2PhNn2 zvHObscXnTqbJO%S-n?7P3QwXHtHs-X^1b!|#$Y}-lu<1e(mX@PR=&sYCq-us<+W_( zLv2wWh7>Qa8@p$%ditd1ktf?jl-w zDE&~kooMoIKQY9O$C2E+$Y!MR_IdMI8bOJ*R>J<50)6Z(6-{#*uwg zUCZ?E!lRtC^SR8LNyDx;jWnI@Ea$YD7SQp4kPtmnvNLxqvhW({Y~>&dj8ww}Z1k7LD zvjCiqSs>o<#z`^^ix_PV&?O|$R}hlGG@@)UNTk7Qv>eQ+7YAZSWLPP0xjmVrD>lY^ z!l3h-FVZ+JI+R}1R+r5UR_{CYTw)vY!kt*SHa$xMvTflKo z>}2r>QM?qr^kpQ2OpHsGVtc$7j1|C$qWuX(_ex^OgKu=z4V>d7a_CI!;8AmD6GuHxkmT zmR>IP;g{d_shv)VvX%KI^qiimEQR|0L7OQMpPjzl3VwL&{So`LWjH0_J`=^90Guwv zM4HXA|5%$YtU!FoNCWP@Pu<9CaIE=Nrww3-M<+!6NrBTX@(qM+!)`Kz&(;}$Y2KOq z77=+A+mN1FIa{Y60rlUg@qSAmeiP?0fPl`IqpO*KeEJnC#p_BU!JlKEkT>$hk7sDU z$-%bY_-otWt{-6*6h_}42sH9JFHiY&&ZGRjb2 z^rhe!9wZ?q|1z4YH6j1|Y%19J;(q3$V~uLxes54xMRfl3s>7(k+ZV;l$E!mW*+A6! zVmG!v@U>$>)6Lxn6cdO-w%!c4le!i9sr!6gxINn1Ds}Z{xjrc zyDG)+-c%kApDB z3W|28T8P{ZHvV$z2u7McMc;X3MZE5Wk{L*#wH;lnyQBRhWP*i`Q7XA2cA*6L10W=! za`L$c62H7cG@*U%aC-B|C~&%)(O5 z3^Z*8CUiB_?OxKGR&qS}X@Xn`MSH@HBCrAY7aT++!m&B66z;x3c~*9$KfIX@fT|qS zavyxAmskeL^L{wp46x7sdjvJ79bUnmY(@@|VI?)2DJ&s=6$jiFFb#ZZ;Ad}B^*f;2r# z;Q+^Q6@tSdU#I{KRsLVXP}4x>@t=UGZq8-JGGO^kOPdIsNd|9Ixeb+Ur_(|JF&W)* zo+9Kldsb5e&?1ka{Qj*x!rEfV?Z59rUGIOhB?rzB-jzNbr3unR)2r5C&Q&^>ih9oD zwIM(3CXGnYI}YdSa*v`Pw_Vf^-_Z6q=t9^pKp~+^3DlAI+#}wad2GxT+3C9tU86(@ zsOw+<{p>f^o8La7jXH5wUDwx~Oz?^N3OS@8V4kGsf=6}9p3&=4O<=76=IpQkSd`M7-{ffI?n^w@#xWQ? zoXi1+=>R#v-a-D_kk))x&m`&$jL}FvYtKK?wTS?on=)uRq~FeJ;&x@M^^-@0xNf=F zk=IJbx+V%ojX1qk)K$Ejp`gdVHfxj^3}&>gXBl%95Y_iy?uibtAw9k{vp%@4Egzf5 zr)Boe^2W{$Mw{(^Ppit6B;Kr${cN{&exbJ4;)38gg#|$472}I ztE1i{bg{Jps8*ZSGo@qT(NhUZiH!0_nV@be8~HP*eHqZpZYI2sP{IN8VNoI%TdU#G z9={cd0wN5|14ys*B zQQ$6`;b3L3%%J#HewNwF)I@eO4njMmVFthe(BA(>%%gVhy1=7-@pP16ZWS=;w&ai? zT$L?rG(dQ*#?Sx(Eo+M~6%!!?D4F!_;2?}i41}VKr8BaCX&`Z_JK}Vok(X+diy8aO z#z55ZW*jlxj=3HpZnCM`56awmdh~gzMuGTfa>stGcsC&$>;xWo1^6?S0>+_$)7e}heo#u7wE?uuOb12 z{PkwoHdy%Y_U!-YdyDm~@OJ4D$amIf#m?m3KT&!3_hr9wdB4HL@6H1Mn#)Vbll1Q| zsSgY2m=PPSb8j~i{wXD;XaDN`TMKjWc~FV#&7MW{%E{1CKKsQ47d+|Gr!#l(v(5hPNxFAsZA4 zZz#tq7+(>bd(=)&8FF{i#x&?ugE~-3`5oQ-b63HcMm#cRuE+9Z0`vwk3r0LJl8XLVT}koBfE1Da{pR6f5lWu8&l^d*cr6TYRLmzEPseYx%v5@z8^6}3ks#|b~^FZzF+mf56N|Z6Gn#SzRC3!si5Z!i6rNzF4XY4R) zupj>QI&zhc4;W1HT3K{>ISxB6uD;g#0i@po42OVb>X&kAy4&89bMWN|22ro(^c4Ml;uAppI*5c((VCAFFmM0x>x)WeYisoo&s&DvIh?mO_H62!?H*d-Iv zw|S=K0bd(RmO8%GnCzj}fOgKp?%&!uH6FsZQ-FR>U##0VldXEIu3d8p=hee7!uWSf zh~M{`I5JFM^&l~bJ%w6uOtJ@vs{N=3fOq)$UkyL|@z9II%U>$tcMvPx{1j^u>A1jF zbq(jU9o>Hb{i`3)bPQjrOz@m)$b zNuH-FrlL+J^iB)5yZ&U|S<7<7++EdW?!%yM1kHy>?ISC{)_lvsv+PbTNmZq|pR5M( zE!*!53~r8dyeLn4(xzK=OySy#N~Ew21x-6 z@LYXfMGBvNdSz5x{263lcvve5kyVxUfui8|=}$_3OndIn1Y(#VXvCxg(QU~b= zmqE)Wye4{`S!>icT`w!0EucKn`UYI{s|*kZeSK#{LKvpbm#vApSsLk zhb*M7gMi+{eGz2J{yz#obBynJ;1aM=5(I|MP2*&}3u!YF)?io8pvwq(g4RvSB4U zWyuEh)O6SuT0C`#)x*MMBax)+Ki;qRY!lV;<@fgvKX~rP+!bs zfE7AWSypy*yz-vsGWRuK@&%x=NbMh>rb^R6p~Nyj-zg53?(%Ot-|UcmWvb;54!n~O zcO$cKA;^((Bz?=ttB)HSCaBMm#No8qpkagKtdUB)*WSEVUKWOu@9DyYOPv|DhsJl7 z(u=A};${sOFK+nrd`zO*x^11Q*4B8IuH&|YuSp9fjI=M>gG7yla0DHcAp)l#L3gFDl z5PHXsS-||s8>mm~P%VUU;iWZ$9FNPFsQ9S;LNZsU_?~yrceFu`Vwpw8SEW5cI|UIC z+49fK(3Abf41sU{=j=7=hSRO+JUlHu!(Qzbyp;czuexf8wTFI_cL%fo9a`H*h1mfn zA9*)n>;nh1Ka*6BL4f2w)4j~y?Ci#tXZAX)?TU;J?O9K%h}@Oa7&GabT*Vo0gACte z*f|M|;xb_Q5O&qeboRYBrX_U7L80*FC0~2dp$GVB1RsK z$Vups1Z~*8M#C3GoPQ=c1y~i!NkLkgVY5Pcc8wM5_HH8>fs6dIzJLv+akXu0(iK{n zaj0%bVX*G$d;ys)o}}8Hrqm~P3OCY-q~a{Q+lFzuF47)i?-P zzB)&uqg2Ob^U+LANnsBvAmX> z;ne=Q-*7%1j~g$Y@#qNHiwxaoR7DL`6}v8cB=o{x?jUqqTU4!mXFO&?7qM`;{;jf2 z;~A9_!%iVHj&yzx@E2EODbqzZs-Y9UI^F&(?vimXR3#oJH^A%0%l!R|a%A%yd8r%z z?Mp~>pFB4gYk-J;ex6pm-ZuNp^bJkHzZShXPrd1;YLeAuQ!rzF*7o)J*RKn{fn56z z5^~LBTY&qd2^rjS?SVmQ)XfU}(7?#+CMFr~_~jwk`)3Lymt*_Lc6J38a{KWu&@g<@ z>G#vCdW2G7TAB|i7)&)|o6LueIS>trz6tTYg$GrGYmYsVrUU!0uiR|67hc!hoHg!{ z;228%c$~B^PzkrJ%B%qky(&Qz3?1As0VMAzj1idF4D{ar*<9<#OE}X276l;t6rf-; z2BdTuOqI&_P7F@$roZ~#73bONEQNh={Uc`wGRmP<5Jl~SymZC#^l{P!1(yjyFv54g zFbNd&`ORhg0RLx$SbPH2@<`Vc(oQPZbQ*vYSfL~^zCCS^jWZinaDZVi+a(SYLAVg8 zeEjCQE}ju3GZYhU9j^|(o28Cv*X0O_(;msXJMa&R@k4mH+QqTS59IfS%Z_|?JRoVN zM==(as9}yi9qnF%Upp4rkMy}4poD>(MKT#aoCn6i9`JwmM;^c{Zds(A*Cue>0!lZr z=%yvRlQh)2M?l8cz4}wFrKpE?IQ{JnFwa1tlD$@S6!3(a7m@C>*T2vE0&&mioWG!7 z$K|QI0|)A7+hD2RDl8WY3({*#lSVA2ZuFNr)aS}mtk^9U$aW};X;c*_pIFouQ2NG; zBkzGg!3g`W!|r)X{lHLJvf+JLW4FPv$yXh|?sxX+jRD^q-{A8#s`Gl^ENsdaJe}S7 zp0aX#BrYKcXL7#J<}LbDqV;$6)*s#jtonh>j`;=(yGy-?JoWj1E4&)(ujR>KpLY+A zt-b%PYk`}h2}=+%ia>rnM&EZRvu1Xf;|zaGP@rmj)vEdNNGE?f<)X5Qxo+&Y<<8>* zM}$1Ufo$Ym(!?3fU81t8xN7`i`H=Tz>v0z7lO4C-d)7@6)6ADK`uEl0|?gkR`17lpHdI8IIYLo($u7C?`^Bd|b7$ zztr*S8~HrHJQ&16c;+}UOT&qXrRY_}nfqeZeJr<{Z$jsWm#c$wiifuHC$?pTn&979 zqgGAsrSMO4oVbDW0yzk}_yE+B4)P;`!uw$R>?);ub2acS5C^f-(2I1tY{5tB$)#DF z&QcV>!MCk&BGm}E-wSHB^mFyGCxGmOZXTf77QDj-eoos(TcE0fnbS_u&8P4HA&W>-dW~$gk}t-*NhRVpM^F zo}M@5op+ZXaSKXhm(}TCk>$(?Hne{l(@52mqcra7CgN&X4rsrFSd`?4T9TcX}#0hrXLz5%HgE6m%I!4?tl+k~TVf!gJIlv(+sXOn5w`nZ9%GO+< zR^Y|6E*A;|Tbr7%LcMAI;GGZGabZcNN9cEI2p-|qSHc5=RxG0#@L*WwuE%!GKK8MJC{c;vGQsQ19&nv$q7Ocz72X-K!rMMJ@mMlLfITydv zcp#jx$673k)_h}^mbYT=e$6YXKST45OWyn&5*F~}h6$ z-7IJ)N3)otc@#Peq(<>Dl7X$GHl0+&VQn?SRTLrrzauJU&eVc;!Qs{?UX9(G(=^tAiH z<(H+~_Sx(zF#t_NLZ`{Xhmu;#?ES44WItOuu@-$P^i(t+Ois&9S}YFIx#Mti1W!A9 zJ$vkydjWt61y#CMqeAm#7w$CS?LqT~$>m41#Su+O9T8%eCI>zamD4NIYXn_-POxhPW&mWTG7r8#Js{~T2wF9aZLFDQ-_5O#bi8Vl@v-UTDqxqP zmE3%D?ZQi127LJqtyT(-$|>D_fwC(q{&GW|rQ9dO>R)o*$7r(iSk7;4DcebuRfoCL za(*X;45Tn?#$mkUQS~0;ZXnb!*Gk zx;k9n4I|vKXmr0Le6Cc4*Jog3 z0}A$&xlC<0iKFF&C7lE-zU3SdMh`X%^PjMiMi7(IisCR@9krE8agreXh(lR+bM|D* zPTo1W)^6Zg4(5{g>l)Ei5f$PD9)8WoBa4HraqCMmh?-Q`szE>QwTgS^qZNNziv4bv z;SUqF%4zCsmV%Dgbz45R80&1DoXWnn|{8d2$Yx4y#0#n6uZW zZpTBRATM}?9seug5n3U^;~OIF8bNh)j&xz;ZzjDG21HIeY6~VJGO&dn$Lf_stW!dM z9|+l!WYt>UMhlPEOdh&DXd00_#U&u!(oYoWob2GJPEZqI>?H9uUrM1=ceh$O9F`J7``-^25+l+dRD}@U1~8p@L&2 zf=FfTNI8BBtfkTBzmuN!Wa*G=I5aeSotiG}g;UN|i3|1hOC@=QSJianuO|vss%zc) z9Vh(PYq1Li|GDO!mREi+LF3dCO76t%JVe%BYn>lvYvA6!VoS4_riIvbV;xD)q$sm} zW6B0!sXKXfKy%}{pGnTo!RiR2J0S#?ap;7@JTQjyLlMi{*lbm#>-O{UtaIeH@24Wf z^QbqR0@@wL9Ak!w2=7UCgKNHjdBSfpe{c0aYuJ50rQKgF^Z%a&!9fP6JnO+rP zL{{6>+cWmI`mL%GO!{KIK%vD3^0?LKwa+&un{%4kYn0u+SugeS1X4@Y9UsE51mrsHz=CWEWW!B8a-m-B9)T!;Ww^1 z9~DzAs5NR8>}5SsN7F z5h&F1?qVxC%0_9=s*mYv=`s9kb^%FyQqL^)n%*uR{Q}*#^!l&@OX%(M;`2^!G$sRfb0uUO6p+VFd31A9Tx z8whBe>-T#7YCHY9RXO%ZH$X;p-tg_{bo?<$siDmKE&&0sk!>@5f#vupgGlk&P_qas7MWme8WLZ! zB@xwE#tZ`scSU9^;~1#Qjc^2?fUX&R*kl=ZIRH$Odv-}IB(E=xm&TWt#~MfHak zA{2ISMi=tAt*?CgNzkkZ4bqkxDJ#;p;j_xcFjLKnnOk`6$$TEJ74vny!*h`Lh|c=c znI(D|R;x;JDcEy#W8bTtcyoeXE+F6hC}T=7NF_6Eb{~c+-nFL%kP^CZA1*+LfK%01h-}VO}E&9_`X|XkzkzdA2|3jPf zKT@*)JC@*|$4X}tfR2Y~!98LFKoJ9TsTBUjTq>O*_e=IXs;T%b8OGWRMiUc#zNybN z0RI6aNtYav3tLl(T}LHN)hE57?(JCms5r6C8{TaZq;i0nR(pQf4hM&X1UPG>QvX_G ze=yDFjp!BlrP|vk1v&7i#;wUb(363pIX&pUG0M;vT?xwz3gNwX2$7ugGpT5mw6o^% zJA^M{$awOPzWjMZocjDIT7KZs+N+E^T}~ue_S(*7_)Ysw&oP`IZ_{Lu$NZrkafQgT zJ-BX&3tNlx>$PI*uy_kA8Mx$$=NI*6#GXuNdK|(kt{bW>-@%Bg{!F6ltL?LEU_XV( z)qG*oEKAn@RLP#P34OQKHb(mElg0e|3A_^|u1R>;MvSe-il}40>}?cgSMVii^h~6n zJo!D#y33>@GiLLZjbpx&o&hJ*sYY;mIxW~oKbn6N`GDflEQlVy;7|<6oB`y}A7#j% zLM$MbZU0nH^PcL^Vw|T>;t!6PLII~aJ5*hrY)}>DGEtG}K%tCVM3F$3H;oJ2-LJ%d ztbmbUr+HITyg{meL~u({+~WBZk|yIaSoMvGXV+*s6nj2K-g={txHQRh!v~>rSQt>( zAso-i$ro~YVUc}Qdx~GDz8`sWUA4-KjZqOOHeBnAD$q**^xmQ<)@F*H$hkY*8z!7* zz_l^|teN8-OGXT1(fu#2jH<4NDOR#!8RQQ;a=_k(oCU9bIo`S2Na924L{Z``d8W4f z+mNo48SX^U(=Sj}p!S=*y=?(y$-l+7O@T<9r12|4h-4I>l@Z*VD2aIFC-^f-44@jR z;UI?F?%10S9zzUJB`s&EugimQxumC+tot>=5ANncP$8W+kx9Q}ogGG{^%EyBGYl5`Zm3RZJ?3E|_?vA>eYiy~TU3GO6Juldwa^aFSN`vRyD$ z72vG^Y;EJ^Tpw38bc2=c=okUT8qnOJQLm}&@!bLB9V>$p?wY!-v>5@O={qJ=e|{<% z%n{D=bhv&O`pNGyP^{auB38)}#y{YiZ5D8!#uxZ*`l`pSbu@tn?Y-Ys*Abzr7kU#J zsiq)%ClvRPx;S3XP!V5dZ)OQCf3Y)!ky<~NGIRfmYmLdgIg5_nOcipz(8VXeoyb(0 zT18P>0}dN($BEa3&GF`DkPv-kBi(9kMu`@?j4I6JPP@JHo$EPz%r22x#iVDo-1mAP z98|U|ut#Oq(&xeLy_8gHEvL9%LAf|Md$t4B!5mwT2PLitO3;%d5N1GqVxuCS}|@-mz%syC7Ex#cr%rLCm?p#>#oK5^_Mih? z{?xO)5^gB6)$*?%6)}bLC!da@*6b2+C3zy{ukAm{H;GIJBS4dnopCV`?xVF!LFYh;?#&X0(IyX!93;Zg>&SBUMYk*ZF>%$v^SI{-kdMxFLG>!f&0*8@o7 zzPD0gy?yJ?Be27Wx6TaSuC|@s0bD|>6faFMDy30}=0E_BFjm-Q9^6Qk8oE!Tr>Ize z97l;?s=eIp0CYig9pWTCnmeTD@fmSQC!DnBvX{o(Xu1BSPha+Rv{|rIhBO?uSp&|G zB|aYZEati93A#)p!AM=5%DL?Kr5cMNvWFY41k@CD2a&6bDhMww&U8-I2(V(vFDo75x9YD? z`zN<_QV<9e?bM4Qz;I%_uZ=a@Q8{>dXL7%D7AI(&t#3Eg79#rhR7d?j2ux5JfR{+w zxiS|r*Q&czVx`20QWpt!k;wD4-hkK|QDmX*Uq}}BeaoFQLkC=5n@AgS^K{U9{Whey zp@P_cgwBH%1jnzk>=iO+ZtT&edcb{5vek`9U;Vy4{@;ibq<43(h~duYf%Y3Av1d%g zZG9T5PlV2BJOkY?i2MdK4U(BJ$j z?jkwHT6ZbX(Nh;PYno$#Ul?+AYRu$bC#V0M73(`K3_S-LRc{vr zqVD+UA4NJ6R-h59Uz&8}fTCnBuo<`CD)353xH({`a&qlFoN`6F+dEX^9?RC6RxqbT z_ZV-l$xc?oYo#lRNxMol&)dq4O;rz3wQ$t}AI02sZszY5k;^1J6OH{^84g*CdOdbh z4Jgq*1Ag@IYdHt+Q~$f&`hVOin>4@=##|^)!En#7IV-F@!~2n(C;5WBi7Rm$z~$1o zVw!C~P`Grd?juS0U`UMgWz{cEZm@dq*&ly_P9><8bjUOv`K)`mxC{*RHdxPwx>^XE z#1iNqmM<@}3|VIXCeFl5<;tCLt=2s!0MdR`_v&LCWEUr3X2jutv5jxJSfJmBd?|>6N znB6S_HHQ+*LUtWyGj@jaNxmt$T|7KxfS;=mNT}>hmcD2MUGN&F+9yr@@jN>Vq<6U- zePMYf^i7JR*{CgI{)y)EMZbEx(wW6;ux;d!ru#2sc8wr4v0L3cRg3|8QW*DrdIVY|aN^tQbsK>~V$Ed^Rs?lc?q4(?0&#rVDL;6Lu%wR)zr9OTd)6l;e_p3}evx<`_isAUQ z41s3_zxG9O$FA#Wnm@BMELdfi062ySq}N2n{!bi-|C%cQ^W69)y8RuKGk{khaITwN zS4$*^C z?T1S)3qI!i1vz{l+Yb2y5g0bW3G4W`gTM2i4}K~o?O}UiBSCvvyei)XdEI%|)Gy=< zNgB4?8w2rN+BtMiQ#Jf=t1a{X7klp=*HqfA4F^$CR2WnQX;A@D5KwweY!pF2KtO5~ zM4Etz^pGfuAT0s{f=Z-`)JX3MQbj~Mp-AsN0Rl-#;Ic5(+}#Mo6)%BA8wH(D}0C3LHEg^VwP;mL7`I@_iOVg z7_%`SQ@DDYy^F_RN@DTqZ?jc?izS#E0L=r5n9-K?wn)F%Y${I&CeJYjJgIDm7@O)u zNr4j`mQx&!NM`3mnUSmX9#Hh#SYZ6af}Hvab(k*_NKcD8onwWe{^b>DV^tmZ(UY{$MDs-aUOVU& zbhkau&1Dm}0t=<^+%a)jx%cx9{PU{+;T-+~?LMnqd27$YLF`Of82Z(y7Hk*KC#rR& z@>o<1@mVzOlDftmG|k)8m59~w6d6${7aLOe-KG~XaXWqfl1a^UNN*n;C%7)a5wnuu zR1i1SC&aQB2vmTM&0p5+9c;NtEY67TUJZ~V<{XPjt zpZP>wE4BX&Vga1SLO|t$Z>1gGLnJ7@>8U=lCnKdntcYyn?Of^MDsW4}ItmCi;Y$oh zv4)q6#*p+`#X>~_XWRyCB-f7wgprSbgpmU7#GnhCCP6txLKaoeD=esjBgmOENF|~u zk(gIxz5&%4^{u4bv7zN)@FXQLv*U2@(5cQ`)4Y966V1^Lc*<==JPQGnnw7;Q_7)>O zO~%Gah;k}734Ij3J7n zEKALg<Ve>DC*$&g;kCPyiV+e}G$#p%=Y2IpdEsv1#8~)x&g@Y0ZS>=k4CW{J}T8`{EmHf0q<+ zj(i5~rNQV`SxCFSrWZ;r!CM7{qmx`;&OrAKm7OY>Om~^?AQbN#u<_68XZ;M4Po~4( zO|C(IakS#ca+x{5eH0;|G$|wyrVPY0S{r)Xy{GW?c`8=g1H(1X(n7ap9BeSEQbj?n zidq6Nb_;!rZt6xnPZ(u-V-XA|TqdoGEkA_B{yR(A@(=?A_neUxUAa*^%J($JK|++G z*DyRpSUtan>9A88%bR?a11uZ)?6)B^>);n|)<6EMLy2p_AqCmk?5wW7z(P-xXBEvZ&xr1nlk#n~+9d3yvWDM>CKMWrsfBvlnY zoa_H8o#WOPASLR!(OF&&<#}QuG%ntzfAR63vS<~-aaUS+50o^5qn?_7(248D^;9iz z)$R+xE>x)bvM7ApIv@vkOKTjP3*$y?f5_6=b}{Y%vGw44&7>}BfRtDhRCe_M=xT_?S*!G<;GP$)^2yk{t2I9-RS(CPBzv;(b<=abxG1RUNEz%z zi37Af0=30Rq$lIkuZ>%4w>22=@LxcNX#iw+@D*hEy?=-vb58H4u^>)2pEWR|aWhl* zS(FwbJm!4jFH%n3v8B&2>?w0aG;yzWFz2j^aB-%kMkxT1Tmk;jYAb(gJatNxZ%ssC zmZ8!4#H0-z8u+~%YQJoYtVcN8hAteLdiLGUh29Yc%XX|~B+qdkd4t^4Eaol_s~Zrd zdbH2mZdctLi54i1!R_p?IO=S&=~~N!cxmq5ykjqTz486fg9_HZ{v(LT@o-YG%R0lP+3iTrGZLM>-J8v7(Hjte`V4Ym zwIzPI1vdH&Vr@Kxthdr$l^K6Jv|o5yvI!YEJIo9n-!ug(v-(ImjNPGM&KzWUo%`H` zXiAhp?1$&P?tjLwyVQbR+oz%dBx#HoGptdTGPu+*PGx^Z$}E(5$iL#P()mF*mm^RD zJCFg|<_1(zT?l&bXkPqm7mYO6qiMh>q$l6*4%UMllnU^o$vqTKH_lrBSI}EDG|lf8 zO)Qk3w^Q!}70Z#;9QItfam9(Tv)Lq{#@k)FD7vZTNy(Ymrx8sPf6F|hjvO&+ydRT^ zk)HKV04B@;pBIx(9w4bI0SfZXzq8zLU;DE)|Ifbnhq<=XHJVZ6Mzshv6LoB$>6Poh zSX}3@ir7asP|T#+FIM^y%BQtsE%nX@PQOvKE3vWyzhns0HRi4S(&ysJFEgB9)Yc8x zu^h&xB;|(4a$a$?Q&@R7pUn__pLt;YEf8=aLH3b025!$dUBma z)--e?r$5dEYT znx;oYuFJ*KqwS7LF10P&s?>9xMWjE5?whqbXr(R(?-uW+O9m1W8@DYAta?#y;##MG zL9>b19}3w;sj~*B2tOKOf~k=OYx2nEXRmM{uX@JrerRd%3HVIss_NXEla-$;_&%A3 zu6x^$_N;gzLK%Co<7r>ox!S&`3`{#gdv!r`IzH1O4ACpIev2}f;_x>2<&Yi+si;cC zbHDX$v7XB*i1e%{w(cLqR>Y5#AYfCi{N1unbVpJyi8n*ysOy+bwZPpw%)LgUL|YLN zPehK^lVfiUUuDPEM)GJ}Ry(n9D>Fq1ea0$gRPVutNrePyWxbfjaWj1b_1oYa^J_Co z`70`w)3On;v-74^aq}}MSYBRn=gs7Tg1~Df!Ooiamz>sBslc>|NyF!v7e4AP&eeom z{}IIhfO`Jn=&upI;AFq7+^kXFOM1)BEPWH;B@|Fv99Ez^y7JEK83XTWaow?fPH_6n zQmqQ&P$b3Vqx^!K{6edmgfC2RddgTGKrNU9cD&slg$-K`2mu*{{i0}C_?$UnkMK7Y zfv?cK!aBpl7^@r{w|Nn^fiy;>Rd-;wq#}X2KtiJQNyr19jNf3hlq1Np1b}EG7Cz4C z)PfBn}B`G3i8k_mZnTDJ}-_AvbK$kN>MeaWj%P>UsB$rpFR_Q&jX^pxScLNo7 z{2K?EQm|e-m$fSm+nM8n=l!>XdjN9QDz467Y*VD6B*}!sBJwtxAb}$j9LE~f*E&}r zSq~gn#)a94v;+YDx*fBC++O4=uZ!!j#M)oq*RXTi=@t@n8c>hQLa~S$X>_{DN;<^p z01&<&v1HCSkaGbY_otp50Ac}TrnfX0K$v8OQOThc{M|leV+R8IzUn4RO_i>S`cw|* z0^hyw;2TQ@{784#u0j5f+~`8J<@PJc8EB5LE$O*gU|{|8@l$%d>ceMG!BoR_xgX*) zX4>Fg3-HnGS$UqLId18L>_g{!_eAjfom@Zi+61@rc>M(rmGx{ucRq=g!;kpLdyeGWb-@XES1{5A%FMXUzf-bX;zJo0vt;AjS zg}d^OI%sbWrvNpOPR4d*<9Y!gew55`wraO5#f%kx`pjaY%(NYC9w=UhwB+Scuin@&|2JGNylNYEtZ zgQ7HR^JXit>sSuzT9e!ha=msh57EC9?ln*_jYG%!#h)YwTo&PPJX`0HDsgAsgWuR7gT9Y^QfXVI#8 zJL;&BZFJ4nDmTLnQ5T}zlglyj)x&VMDiaaC9`OmQnlZVvP>%8fy|=J^%=bKAf!(A5 zkp<3SzMJBiii0d|&{1vgXAH!h)=k=H5KRqCNUH=a9;#$VXCN6z(0B(*P~Kx?O)OEK zMw>-%P(FjmA%FZI%k(LM27pt=YF;P&%Q@tH4+8_xD6U z^*hKyJMOv<+4?aaP!UCc1_h@u_Ln@uO;2C^48pa32G!}4`VrR_uK(dWP-KIlqd;rO z3u!<`3;G$vq4D>H{e8#&wz0og<=@-I-y7`TF6n2V{r`@yv?wGW&Z%hvuiq-rK^FLN3l zJm9Z2Gik$pzEw(bCS{(M?|1pV)~Vb6d)}-GTNOHJ_{d;ar>YDM%6nv$!_N{oT9sXF zdVQG|wu7ifS_Gj_&u-EaViY60|IP-+9jvmY`?aV38`mK$CBExvo5dqZx$;9}kdY4yN5w|iv->3fM&4=vYA5$~4#1*pn7~03oNdjJ?ZlVpCZr2oM(Cg#x*PBwnM(j=y!TiR+sW_g^}nw+|24fE ze!Y9}OK|z@F;D2VvKn1z1u!n>A@YdRP)g*{N4Af;$8Q}p)jh*fDmyxLxyd=}D*qV%Se`<2Ks3@z5sfedW~Z=<=iN2{HQf&SNu#(yK@dMhtcz zKbsnBM9aaRm&+}Cm0dyP#MzqM7$KVqt@n2kH!57&X(9oGJ^n7EF5K?x-WPU~OAObz zb51Ef5ac!VvQ7_*_KK}<4Y_1K;4RV5og5*goyw66+Cs}|GYRXSY$=!b?^8g99m^g6 zdS{q`KIlw@^jld2tadPd`6?}*3frPhPb`GvCl1fJ8RhzvMbB#=Ml7n$QlAn(_|NSH zBmx=z{)Y+8j0-)Hj0H6HR8~%oC{Qf6$|jTH$0&BDg^bpo<%R0%fHBR+wo{y1SVygv zl=Xh;>GXqMnpEX#u7?}dn(btzVC>#5Yu3jf)ZhWSjgN9Nb^ zRDFCNXOmoqU(hT-es_ij`pDQe8%Tbji%F#|-txFMB)I~4lw37V{DH&#dqV-v{xz<6 zRjOkgk3^R1YqRItyX;s}*dBnbrNn0ZxvmuA@07YOe3DY2#Hpls87_&vYdP+$@5>J` zeD(%Cq6w#yle-P3Pg!U%GDlfHU>m#qkfJL&+N0>;?CX&897FYq0$iZ+Pjc*&3C|D6 zokSI6l$_0KzYTAfP9Z=arTK@Zd}4kM@71S7hs>_Q9^ean4(|HT#Tl zVSy^MH>h126xyW>d$p&3W>l1&|thgqD>{{{LW@*Ry$W7H&T#(F6l%9*3n zks<1u;-hK3G10|ziU z+Uk83^hPzOvxq5kAz~ku{z5tY8-np4uEApA|si1M{jcaa_qX3AgSJZ?T#V?{rJ;+Xrus*2PJ&HPhRdeA(;PF>+57 zr;`1LpnKnxI^dDE2vz95nRnMh`ge>xl#Mvnb>v9cHqccjJq(=YRwCk6`fZeQN}{*( zRi7nc&7?DB6OjR#C<8q$IX>%&F6-Rh0qqLEP0ie#8>TN~yabcp9eI_S;5g$B%w9Su zCgbf@4`oGOpBwI9j~x3*LOlhIDf*({mO(8xO;{Wp+>jppMX7uBRDyQ#IYl8^iDI2@ znPaH#m9U#mwuBt{`~r#t!1E`eSmDB&#a7yg;Q*l! zAzposvze&VELxm=2nC=53s=%ieNTJ#FGfkgVFJl3CZ|^Zcz#?`V1~1X&#C zV(R$G!E=W`gRD;@W8jMxs#>}o8Yw)HQSFagvmIhz#*=t8^YHNZfMh4kn2dfoJJW2x z0{ftJ;&kTpAZo3}l26zSqh|Xlz9)=h5+Ou1P8st{iz3DxMnNuf5Hc7dpL+QH_lUZs zZQHS?Lb=d17|5A)_zR%9h2ybCjseRd9*T<)tQLVwqJo*4$wXj=1>+N^t_3AX)jRj* zqs>mMAU!^fjmx$`cc(BzqXYIctFpX*CX05#w_xlrA!Qo*NDh6SIF$^ld2e8}@hJtG z>+}TkB0J{``8`@34Pkxq?*>_geHmmG|Br*LfZN+dgv8KGMqixMW0pK2(hOdVFd=Pu z?Ap5yixC6S%T$hw4}hs!$k zVfUTfg!JBPsy32dXXwfv8Wn#!F2Z%k_hUFw`CON^QI+SLQWeg}2QF@&tx=J zGmmGX6%-5HKys`wie%o9L@U%dMI$2?R-v5mvpC{zJv&!$-wEF3LyA7oAxL5^DOV1A zpZ$z}kf_RBw0lgizWL*?zJ}A_+9vSSRkLcxw!x2(V9^j3C1S2_O~RLKOU zGGX{Ji49`Lc5{`|kekK3iavwnKF*JdPxn>ceDfL9+EN*bxPqj|R!vph(p|&ay?3)v zblX_wq+);xS+!GVzwg@Y&s1Cirj3nvt$vZwz`hGp^^Lr+DUiQ3s-}!e5Y>ov2Ir4B zZr+qtdNGC`@$-61H(9jeEA6z*UpKVXNQP(vAoP8N$4t?}Xj+Tb2^vl%ZJ~fCZxuI; z`Jz4k{(1W{pSuOF2$#>bAXT0~-zx%v&9{g2*{{m)NWgan&}&GU>P;9iZiq z_nGy#`gw8Y6gr<+DLte-~XkQ$v)xIZWT}X_N;CX9Vbpjk3O(ZMHUW^PZm8Y122O|!I&a%^dpsPS>B28 zq1NJ0d})PnbsR9-$El^kT5_|L)><+8{8`KiTMtYh3`6m!M>LMghcKG&z@a`OOLoFJ zrl>*0{F&FuZ4wx|VP3(g;FU2B;{Geq z*I%k;{$rpe8p$oh*2uXE*Ti}0Pzsh6_QG&EU}4Im%ag#<9yVLOEx$P{DcLQ6F)2!!j=wdQ!8jgpCgkXe;qzApo2U|-{(h4wO} zx}3Y+_DA`&yWJ~d++@Fc0UYQaGh&1~(Z344UTw5qYUZI_kI*QF3v^>UM>lg$4M^Ha zOt5UCKC;ku$WL3LtQvSs(heYO5>?=m+?U3mV48mT_}Go@A}7ZHOm5-vtI8_ znZxd(rpzfoj9aWL(kUE9ev$7JDDaE{E_U=pD|Re+STqX|5$cGXL;lREw8uDc(u@(N z+9L|BA)d(Jy0D_Ybzx0Y32x0BXu&n?UbNh{+zw=vyb7=9O}K5U-gEZCUq4Um%X?@6b-xWuqn_H1FBE2@o z|COcQ`}rl;HDy>}c?r-!H+pY?!z$&pd#9HX?e-}$9%tgY-6Y-R0|jy-ttrBgVUOwF z#@P$6{wr4fA5Kr0TFAiM+4JIdj4EK}?dmYF5l`G{1E%XS*y+1XQXVk&NBH7+Ag_}v z$6x-qEB}uiiA}eL!B$jD=zbb>CkzWsFEYmU!4iWN`7RD%7Q&Xlst9Rj=K<5!E;IJ; zHx~Yy6>=`$boSuAAntl7!dr;je&XQvNrFhTX$as^z9n6m$bw358+I zCh9M(N0twQHER2CU0Hsjn2p;sFcPRY-gSnH5_}5oGxqKx2bVtKEYjdhz9UIeWhv>Y zf?)QrX{MW5$Yn$*$A-&4PBfaUQ+3c zf6-iV;D(~m^C$O49>3Tt;n-|N`G5B$Yh8+QC#P~o=t90oNA?j4vb*zd74$%i1CqLlVE!>V}!ba;{q1U%&-aesn zz>EfT6~ACa!#Z3M_8C-Io3Rdl#2Mq5 z`NSuF*4D@QVoiA(KbJ`#5^d8Un}akUZW}G{$~Ch)*jvTPk{l6G;8gqM`LHz9Bw!XwEE*z0Lc2dtU=o9+ZW^SCO`*k#8bx?LUdM{cZ>Oy3>fJ*6z(CO;ze1 zGO2;%=ls34Y4t^00^}u`1|xG6={}&#{zTb2E(OvWY6H)8EdU0tqiRo@j1E0!-vAmR zCe(BDtCVncaamC5pqdDc-?t#y0sZa17%o1XH#(B4vEw88xJDs*A{@EPk{(p=Yt-bN z8K=JlU5Et%djFjfLs6#)`0oDW6o_4r~IFDP3z7 z=49NLP2gT){K0zTEwH_cwxrU?;`xunPu;a$iBsT0vAD z4*0ij|Ja!W*2B}@cdw!EEkg!JUL0{LE#Ux@S%9wM{GP9R`krnNBm>hWzK(kme3@Kz zZgu3xUBJYv7^NYty;ClA)+|OSJ_)vP-Gx2di=)W%e$j=LHn7SFA&Av>T9-_JX&jcf6GllaFx#xIcn!E$av^4 z4}j&Ypxbb5g7$Ov{SG$t`Mb~;&JO+SsO-HmEoKdC5t_xN7fu0<+tH_afJLw4rJ3R& zWPARSX?z5TIpgSE1t5>`-m4g9pPC*)*zp__14a`-NxrO*1SR>-(AR*$|;`&0-cjW3fjH944*? z=ak9sYui%II&Tlk#8U$k0NTg?O~M#3Tm(n8K#e@N7x-AU_$h4*~U|o3wC}^ zulv)wV?M~st?W*HQ|jTG#K!|l3;~I8SrEN~XmZ5H?78(=1I>yk#p{%(zKioHUyx_e zSQT>}_G){PlX*|DZHGwnF_K`e>b&*$cG9n39%Ca;qJJ>%c@x$olg)YAjxT!Y^h-8( z#sRMY=!{(_a+#3w)A38@pI-dA6MIy7>l4Am{T=60=GMLP>ndIxetbZ`Q(-M90VEdJ zjTQ8Up2J2p>*E&>mxYaK-jldQ<$pP{d1-5cB#L%?Osw5D%_+8o>*CHUXGB{fbeWmZ zHRW0$V6A7)@x(+#U*AXw|8yX>X(KK1bO?0cX})FJiRG=xw$@hwUwTyI=NG5<))IN& zy1%(hRFB%ppm#{F9w}KU+9j^eq#FK->k^vcu=O5(b^F~DUoFpI@BY1-pxYM~PxIww z5vAYyo)|J(4$gjXKeML68dAa3IDW;I*jc^n*qN-0^MD#j9P_FL;|WumEz!N&Yvsf( za#Wvbxz7v%RabsjEVr<-2v=ers{GXDkZXmI1ixNe#IY^D$3VGALt<-Sp;J#mmj@j( zGzp>Uo&1E;A0WPDMG^`sIRK~*0x)@zf#Bc00{#Bw?_YuLtZ)1>IH2b4EUL5hwSc1F z)%SDiXSRB9hGvq7YkBxrugv)_hUawLH9IKG^n@caav;KfOWei4&e<$DTTsJny(34bXKq@zw);$X>b_>2>w3txb7={ zQUOdHJQdd8O8~gEB-q|h6eW+c>xMz`j4R_*^o*2$R_g=4iDXnky|u%rgI1Brb(9kU zQ(Glgdrd-U%jzYd4lKC?*=sdyg?nGYx;|@gIpsa7serHM6{I4^aH8T+a?$TKG5*mZ z{*yF^ou52@b)Ya@O`|#JUTe~5+-J}YTGsoujk>VJlp|G@yQ|(km+i@J2O5aKO{n?D z2nYD4EDQFb`-@iU-k5+mKrrXk=uUIlfTc8woSM;+FJ>Gj7E@t8x(ZM$xb`(Z`we9x zfdfzQY7-J;u8%v_-@MnD!VycqkP||>v3#_(**ZIn{-vt`{Bu_U)&e6YP!tN;yr1rC zWYdRf0Ky~DsBCV&UvBU5H@bGGq~9Z_fBYscc7|s zR3K-4m{m2j_scOy>K7@@qISM2iPzr3-( zqpC}PxUFuUXY;{9h6_@Zq(r@_U1f|or8ja0rU*Y%u*vnc8(`vFH$V&uRspm*Gk$rI z=Z^AbyqUJ*x};PBXMciW9cVJfC;*&bs-Yy0sV z#2OJ&vk>E?YgtEV1&0I9vhc>mw3clJwr$y#u<%*w;n7Th)Di&b>5d}XR_2zmdmV?b z5p7JBt(9j&Y*buf@p_Y`2-(TeNyj|9q3O$2K;aW&vROoD_eielT=}!?r$0Q8|H#Y# zZrEdTn5gCB%;5HZsA(C=cxQ6^Ip1C;aInxNmU>c>KwwtOUhM-haAN81?Bbg>V)GGzY6i)e3s_eBiYRP)^-s`XvrY&1aGdzX@f#6JKS z3F5DrM;$kLN0w<({>+TB3xJA_)m`O;V<3zWJsCIgd;r<2B}wNJ2Ep5bu9J5zwG6Bc zz{LpkpFF+IJ8n_LP0HIzt;CdDO?aT-rOGLzap=Va5T&?Ed87n&oq!3k>2wtl)a3MVX=2b=zy=B@aY0Xdw7Paa!OQ} z@7@NZL>{*F@h4yz%EwY;qOPPy3L0EEJ+8u5I>Ok`w1Qj*Y6~#HG;>(XE0P1QWAA+}4}2-{KE8M631OEYdc7v?y{R`cuY7NX&-%v)5;`96S@k zvs_Bb1CIh3cz!hpZDvdNevnBpqr?3@maqMg$+dlh6;jM^4=aewMpN2YK5{gyYDJz8 zTTx`q1bX;pKub>N7#xdEjJwnz1~Zg=X`A`8UCk=~nH}EJoh@OQ)%4l^G)AOniLdiw zeOk?0>`qqssbkDDdVx@$S3S!w0k8I`57KwM&)FBGFXpMi&n!^qF&jqOUHF5AA1yzsZP`NO!_^4a5*WB*7!*&Az%DTG&S&Tl- zH<s74L zF}58=xu@G+L^rR+2P|uLxty5dH{&`aU%(co3M>u(a|Gm=Wn;vGM2@UNijHpGaUVf$ z)5jAojW&_3F6yc*uiBVkJIDb(E^v*ER_Vg1CNDANye6Ttsk?`YJ0+I|rObLiwQ?(K ztL;14`s#EB?oAPjx($XL0aRWBLEv7qM*0>EjsR#B)Swo`Z5a1VhRJMzUeIaqHJVg@ zMJJZm-ngV)#pL+ST^fgKj|n~Av2aU+bJecCMes5#gy&O~>8J8$(I(#Drrm*D+^Tda zD`*V)lR@g!30`hZdNkAG`CD?bmM;@Izy9skrJTH(aG7?_D?|&pyA6JC?qf9=KG=Zmfp}Y zcvu!(#EW3aIA4JQs>w(?7r(EXEilqNB%SawIq!s6A`$&)j8GgXjDL^6eM8y4l?csP z=hvGYN=~I5n(Upc9!(V6$11i6t;LMM$K6y)O!ivn30{!Nwj4yA0H*5b!g$_pDCk4Pi@f_0>(Ejxf#H^XOCvw!lq@*8R=?iFfx-jofm1dPC+j=nj%&W0sVQ zsz!(k9BVVa5RVvk4PLtJ7TrgjaiiR~ctRBQ@Hx=fJ}!0e+U@RObfbqyA0)A)=;NzW z*rUX~&PYx3`D3ATa#tT`N_8|2`oEilatsw?*nC|?o;|Tgc!{qsj`UX23a&!7i!HC~=Om`a&jN&vN#(05>zAQAKQ zA^GS8=N*MZVZZqA@%28qnd7PGl;h%5f25h)V+N_^?{{I)VvP0p-G7#le|^u$@A;&v zB1T%l2Xzw1|<^ z2tPJ>dHxFI2@v0`T*btCJkwjCyD&cN-XS3r=FiMwh4_N6pjmXgMH|aufxl|}!=aV( z*liuxMTC!>@|QcMPh~Q_3dZ6iXWZbD4<1x#uj~-mB?|q==@c^?so6U zJ(WS9ZsVScQ%vL}sCnB-IA3cQUU($|37 zB*`vemS;CSEmM`dB^y~jJcya`tN*=9@V}=q?zv;i5!og6=1>428fFgU?URJoM=opA zdnUzP@1ckdh*?I^C!VFZS{-gDa|UW~d^6GOvzi$!Us!QwH7eTL30t&{wkn3k7|Lajrhjfu}w2BiT$@a~z6(PcJM z2m3Q8HCEp+L{#!H(aMS_fLEVjaLMXn|7mQfuZQ1iZS^O20+#61EoL50pH<}@FHgoIxjwHYVzs+ zbCZAZuPcY2gWwesBQgPf&BLm z<$vbvf4A@}7EaCss1F5oVA3K`24e-0-($xJdNg2K5uj>pS;7c00}W#2$SA@yb)r?Y zhevu}y+clN{E>wHyQbe9>>arUl*#oQU3RcET}l_WgPPO|fuDaJNtH4Kq1RmjFEwolyy1kL>7Ab~|AG;H z&v)6k(O*HwTWgV|j&X{Hl?DON(1Ha)=NXdIr@>BNRu$72t`HW?s2|M&v)7Pg88Er4 z?6iE{yYwpnOb(JAgR#Sb8u8A!@Dq2uP82VhT^RJuc9cRwSi0J_v!$oErp}f4dUPz7 z7R8S~Mi!>tr)ABy_5mHR$o`>i(ONO9keE|pYz)K6IMNX0?j@FN;P!dDE&?BUNR@?w z1)|S3HIR4uUFbwI*@;mTJ4`+0wAc^2-Uh(ywJHuLbmj7u9vTZg5GY#RXut*fy2PcH zgs4Do7H90s95SmrCD5a=_ZS063z#F^8`w^(9OO>{)`aNX8Do~M97EV)f^qSXAi)@w zrRgr-k}s3aO&{S#(!6;NC5tAZ@K5LoWu{(0{P+Y}Rx)Hv$H_`+z<&Cv^|@kgG#m(?{Ya{LJ$E6I z?Q8sEwd+m}sk7_rw?Nx_FKy{v(ldrkA1$gubQ-2Li;6unT>5WX+S~^wt#}>F{%VLjSe#m}A=WVr6?953e z8x<19;^h|Xo*v|c;%g-S1DeY5l06M+2c?LZpx5_D1ARsHRu$hG=9viMcp3s|inipX z;JeTT!?b91fFnmiMqOgb`j^|QNh_KgH{s_>T}M&zR_tW7py$Ji?lTl8|9)+xMteXE-oY3JIKf9bM?%;8r3^HkaZ7wICu zR+gW`+?ocq-D;MyL;p0eD?9?&H{MqJ)|)Dulci$wezB}-eF;pLaH^}+AAez9&(_`| z(zj3$Qxr_Y4xor&jKEzJbXcAFh8qR#T&pPC-++gW{>nwWQBrw$Oc8zxQwy(1*iIj; zvmuYGB00MJ#ah!L7cx8{wFiT)JXe{)6(#_%!z`~~Ffa|eG(aut;3XxcHCw?$T`_Ar zKhYfZ-CQK^{1Dvyv&^S&e}~~AXN>GT-~(4ntuX~pRR*$%`n_XG$4t*hgo*2FC6J`E zc$QW-uAA>}pH1OW1ix{oVcVms9*JgY>N;M}Byh9UosyeE@C9>YArU z_Q*`5tE>?A_-;{2!M@Rr=J zW7uHaL~GIv#+&9X_Rl!fp?o+`bUevIP}#;-0HEF~YHhIJ$0NJ~C7eTTUzXowSF<&M zT6Q-DcxL)@?XP_%3Dg+@!a)!q^g4CzdjXKiqOp!&1Q^NfMa-PK!nMdjH;hJQE`h`C zj8)p4-Yqm|Zl16deUXZjo>t1c<|Faq^?+3^qj<w=y_T^}K|wV!sH-^c zlvUqbkDY`2iP^#aMh`VsGqPq3%ge~A$pD+Vob`Cr4cuuEFK+w^B7mZ*86kNx}|-|`#IM%rn@WrRcr(|t!rwhqr0Mta;#u1d z8thn4%Plx0#P;g0dq+(70>s2=jnzpr7>j3G%eRA!jIVGB|@3}cZ;V&f1 z%OuW;bptAa)t@A7W%638iWeIX&Z~o!Q-JQrvyxWp2jm~*T~Rqfl(pm4NXE@YigPZ4 zMSuMItt0M6E)rvxZCTs|xjA1LKjFFY#5`P0;zL9T?HwZklF{h`!Kayinugrjc@&Yk z#|)FKJ44e=^|>+L7wF^F@a!zrCB~P9;{$OYgRm7jv;9rISbOCBEgD5yR1Z(o5m2_o z*O<2!c=ufc=FfkhtnvQW$r?xh{uR0!6IMqs3 z`4FP#(fS_Aao@CiwZkIco}L+~=cFaebs0T`LXs`BkPhAwJ6;rsM?dpynr4onodlrU zLd@+4Wf}DpaMV;+Rjg7rv=X3jo(<{xy&UMzvB8fh;eY7p4|YPX9MjDz{fHjW2deI<4XcTa9fz0w+Q3BBpb3L zLX##&lSLXlOO{&J58~XsQz|d|(l3wVc6(t8Y$AojuZMTHSKHbuZUy27kjH-_79_UT z4+FKgnhQj*L4y3Cv;DBm{paBsk2TlDOBu4WxB_HQWreDF-R<-iv0V!6n}WLmW{fa& z833$Mjh{gP+H;*u^w5kxK+QgK@!q|uSDcNLK&)DwiK_ncx!2(8#l2QGY_A&%S)B_=#)ChQbpg3;L8b447G7%(dY1)vl zjU9b{_hHKuyUn`0I5KfR6VbyTML>uIjjG>`+QMD8Bt6ri2u|A1^vR?dR><<`dN=%5 zxfGNt5yNf4{5Gcg1~j&v3^oHzV0pc@8T+8TeGa$9cx8|JqzOjD@E(M=Khg;4uu-AbAHXH@nxbw|OfnoOYR%RU`r^ifwA-n{7S-e_#B9#3qz2BV38MiE9eFbbOYivdKDGhhNvv zA53JNi>~yy@(tZ^8*Tv>F;vp9`Bj4XqeO=ZA6xgb7rh0iNJ6AnCJ`j4-UT(&o2G9% zmu0o<3iC>$#=JH&xSOb(?Cw?-fRfpI55jT^Ydq!luE_8yo<2^~7)b9ae^ZLIr=;aW z{DP$e1JV{UphMaOO8|afD?A?|8zFmHjoV27F3ZdGFY~DeTo#VKJo6(2_)ABcb=!o4 znagCDCJ=H2mWNA|QL9=#cLwDaBdms;0*YQx1Yr1K_6UN>A5 z)y$nU4dmp#9FrjTP&*=fS?fsx!Wib)b~VCwW(SoA87EI$1KMrEZ4H%^zz-Klw`jai zFZZOMP_-N$^&sE7z`5(7?&*(Gj^I-Ols5e0&Q*7o&?(QN%8w^j1sU(}bfV>Dspd{T z*wHEI#=vTpJrh&@r!e}sMf}I3MZ)ZMM={7Yk~fq&uwEBa5)h3N$6)+sH&`zVyyP*X z#D6sOiY}w7iOS4s#6G`l67@#^l0B!fiJKVc14|ypMkppvvYips1i(gZb zgjh6)l_O&S8UmoekKJXp4cC41(UfMC{$~)A5Ex*szXr>O$e0u( z41Tz({b5N@vOs6J4{f|;f2wjW?FCnzJk@RMj-$30NyoeO#&*cY!&GIPkD~f-k^FPG zFE2%JwlCvYNw7um_Ij7a_?xNrYW24{!u|ZKvUqn1HpcCPFG2fV zaCfVz`0bMWnwK=(7Zkh%*ITTKy9Q?}C^*U1PK0PVplrZiGPeCWv{D#M*@IfkWrfzE zG9isJCe;A4xf^%Xf2W#X-0yUPbXSyeC8gJRay9AtGMlBBrx4941fXpS**uf0B< z?xX|T$bF173o_W{d8c;UqYQ#!^xDM$J#Mm#WL56$G`+7I7nzA6GS}qM7af@ya|Ou5 zmx%l0`jtkbruG4mVHU!+3S3_ z+&DvgV)(;+`u*BY^6`3Kp}@@iik(-sAM)cNNvZb;Q=u*3Qw>>+eWMIniso-CKKr!x zVWBAZp(=~Xl0Ztzlmhf(Gh+~$B+j`aG6$F?=bpEsoc9DvI0xgDt&`@wKb^(I8n4kc zf87*(Q1o@${Gt!qofvv(6}-7fY5%%grNnRS`m3)G?~?WqqU*TWWB1@m8?ZMH1u_~8 zb4@vQ=!nLB@?~8|(G^>D@rolwE!na1hGrMf#Il@%DB0?=ue{D0v8{mmrAgR}O!$kB zRGEP@&W{O;4=O`%vzzE5*Y*y__&NJtQM8I$fe3~izkNe0ZdK?rNDt@* zO_@IXFGG$0=@b8nB*2j@eWg>7AQ?0stzW2NDc37iIJe`7@JLwO_MFy7YrAA5-E$q@ zygqnTyAU?z^o}76zuhU=k$VTl`q3qK`W#v|2ndJ)1R_!*0wNtmq$emM(u{NkB}hP|MtUdGrT5-@hlCnJ63^q>d+oK>Th8^K z{qFOf?~mkygv7}+=X2jN3A6&;##U<|mn}J_9|m zT;6tn)bq%X@6U{vT8UTq@j3Q?hs)PjGF((A(APx)k?Q5KWsj`_K#|@;)m_xl9^}#` z0GxioK$W3U(XXWw0^5%pMw4mkSW@NzAOid%% zrAd4Rl@DlPynu#)_m^`fps@U#?>Kt7%t!_)nXAs(*qJ@F`ZBa(}zqd9BaX^wa(O_da=PWEGmi5U{?31mnns=P`LPc@kz@P`|@o zV3cpTKdNgV^*V`#TGN9ol;m&3cqPB9dyiS+TJb@Df1bNh)xt9OB?Y0GI72Oo$S`v9 zl~f0|#Fb2+oc8v(SPyqQhrO&f7>Rcqjay2_`A%Y(*D+R#k>Q`B9W0tURL>JMa%N1? za{8s0L!Z7&Z{!Th zgeTpgw)_O$Yx-ip)Z<}e?f&>fgfbnyu}^ha1+_#4qlVx6Fg*$>uq$q5fgsdcfB2hJ z0PCyWhjqTHXZDqhW_^?KCQG!)e6BVW^T#CbzozTrPnbF3F|OQQU!7Z{xoQ$k%&OZv z*!HMWxxJ#=^NMUoZ;g50BP7f zb;~sbDOc(G;wR|ym2AQAG`+^XLbarJ!AkI+J3$q_EJero-GIy3NZ@CG|xUE2INtS`o{ zj^(W zGTVgb<1$!&p9(hQgXt!@b+#?lf>&1bfic;DEyHR)e@ZDd3qakmX->+r(-m1F67<14 z**e^%Kofu}?M(CWem2i%!{vl}Gb=C5E#+{4yXiu_MF#r*n^d4t2yg>bFn;SZJcjuM zi3|tsqi@B^^x02>KEJ)9`aY}8=8}eT$0Fp|uyJO*&B2F4Sd{;PN>#*ocSBY7wk_4W z(e%JLm5-SqSX1wFj=1l2mVSlKj{9ERk2&ny+Aga2t*QsOF}pf^YeT`qPggXUy@kUO zi3Jv@DHNz7ZCSc*=9J`}@>1_GOr?$(-N-R1Sl_e#T(Tf{VWxW(bJWbqMY^!sa`v>g zjdCTsm1mRq5TZLZhyu`XAOJdGgiN2tFH%mBU{snWD#wcN19Tg8d%BE&=r2EDN7f2Y zcapevuw+^kS!AyW@_{nl%m=`D$I-!%C3p`G&jbN<^Q;giWEU z-edmTwF3XmXHdz3E+D<0YJU66yIj%?QkG}Zc#%d6+*E%pG2cM?Hl$L4f2hhK{r*t6 z$?)hXie$x>zQyrrW{Ivdu;|T?P7-f8eJPNWCdM5fW8RU?Ggp7l0R#WAEvxIGP+a-a zZQv3|)08`0wFF`l1Gqx%x&YzKsQQApkQ|*fwY%+ ztP56+POPWIYR2nJywJmWax;iCq;gSLf@e-rR+M=kddR`s-;GW8jNndQl zU=06}AblzYdJN~24_N=Kz)~uEH(ml0C?l$8o+MmLo2HT7h_-Z>8dE$gRIK?(o|as0z3oY!~eW<#G0uhUj9y%nor6#V)AYd1U`LWx^6H!DiCze z4b@XYDEPQkIBMI1ug~ahV^6ce$P>wOxvf;JdLNuJ3WM~dL+Oc8(2CFYw3OB7@CC6S zhW08con7f4ralvlQPiVhSBdlu2L9umQ^=|!MqL)J#c|*!3W6XLrSK(P57m=IJKrx3x^B56c7xYmbHXnXB+f(zzPviL zy=l&VrQcE?>cFRAS1->^d=O(-JQiF06C@2NwO&E%&^waLa3VdJsQ=e90Sh1LquTDH z(SN(WMgHbx>-I?L!@4Myz`q_MJuOR znOmgqZ_Q>&Zt83^wh&a(M=QlMN3FyKvtMW)nreY_MHjG~Z~bHr#A?j}nfiM>!tJh> zsLyqnWA~QS{YVg7`;|6Q?#Q$9qSrH!GEsW?=d&E*{%oEQwiq*0X!7qKWWO3YCb=0z zG$nniB81glJn=+OQ}Q&9&;y-@LtxQwBQoLT5Y1`P${}Iq`Dn5uAS%P9*h4X^aSuXm zDSS3h={-9631`6({}OOL8}h4HEG{lxBFb&kbzzcnp? z`;VDR1L{C92>^w#E|m9r^o@pkH1{5;pB#MG;0}11p8M`y%r&I+k?F3A2<@umYY3B{ z*mUc0*(#wW`$0L@d0Bc|5Fc|Ku4c(Sy6^Nz72hvIE+{!vaggE#1O@0t(FTr+W15+z|5XHOT4$gmN4dG&z%JJH|8yNJhv>3kI6Ric9GacdP_@iz9>jb3yXz$W zJVbUMD}hIb=AvgZPx_7o)zIY*xi#1XXN zNXL_zKLYJ(2^ox8=H7hs@Y{e#T8~qz{OLm9z-wM+J7zyYUU(7OH86vhoI2h(cJCD5 zSh7{QNvcxnds2bt9xu(Z=?*C3UFz3Xs{nt8DItL}@%@m6097>QV<@t=s+x8aX|Gj5 zrKnrruAi}xjhg`IILhsK$7SO2wCco2pmc+Qf0uq}Vx238j1XKK)fn$*y)YV7Yeo;>ZF`4!lb52~rBOqc6MU*!!i@n@rtKQzjfsNYy=O2%^LaAwKhqQE5D z>{`X&3T5T%z>U&pNlBeRpZMT$Q2wgkFcNX)h7D!RmJ+K1EhB?+SE}q~Vnv3*pB{gR zy;EC}CC;S|I1RX_6e<5+5dJPA`e#E~v~2s0eCK-Sqr^Tv>K2R$EvFxA(LBl`9k^~H zP1eVM|?Y!Q70@B>K-k1SxLh4pecoJ^hl}`KJA=8goR3c0xW>t*KgObiGd|5q+@C4iwOn z0CAI0SzP(ipvVrK0!+o{k3a{vE!EwVe&}C62d}fM=Tq%vkcUZK*l%;BUkxQ)ta3a- z8Qp~_&Mb}c&{O&k3Qjb}0qPvQao*W=dtfj5MNIZo+ht!}oxHP4RE4kg^HVmvKmt=I zqhaeiDi1cPDuorpxYbV;C0iT~XIf18SL_GV)ZGoELbspGtdkjL60^O1#CsO0J~V)E zRNZcrEJ`qJ+K>ANU~=XX>;QzQkTd%#OCmTL{w9A#(N_m2FgaT?V^@QBcwlu%(Wt#j zt?`6phVGq`XfLE(Y-yfjQ>kP)Eq`Xr=z50=!JO!*oN;Y*?BL{~BIbP~OB!bx+!PTn zK6up=e^>`2h41e_KP9tWy)R@-3%RcDVi035cAN0ci>$$T*HG|re=&6X@+eWb?%L$a zjuKGznDetCmrQb${Kf7THVtQsTQ)%@IN)<45h9w_iU)5ca0Gj~?cR-{67?r;e@MXo zzA3bqOyf-iN$6%ix8Ik*PssZqPQEb)f=f;W1%^J=}E zF#N)_IfkXgb_`G?YW8C1AnR*4fH>KL#$Fv=XvgQ;_bt)Ry6W(%NkG4zJk%-JA5>NU z?TkR2?DIDtV+3sNR8z{(A#rF2{J8^KaRx0I-V)uFu#}Y}yyP|PYgoW&?5XXrt?HBW z4=p1?=LWp7fbd$Fwc~A(DkYk{FI^tWF6vOc02> zc8ce#jb&G0*T4{ioq%_xm3D6$tlVLvk0Xuxf>pc{M(eNI>q@@ClppHg`J4?8FM%agf;mDgPN@qD zca|M8u^9^9lw@|+v3r=340s^Yjw4ur`u!J#_jCi$7dIIK+jcW+ybic?s0Pn@w-j5N zlJ5|p;ms(v`&yJ$U0ietaWHW(I)r)|)txWwS010fQX6ZSSNjs0%n`E6c^X<3Pci=l zyuN}J(v#gZJ?;$O^|=PzdN)SOsIl4~Cw?p@Ni#!dGdNbd$Na8#?S4{fXm#^Wn;5lDwi%v7-f2HxKAkbW~5RVXi(D zsr^AWa$Ey4Z5%z7`U}mJI2=HvA9Lt4y>L1qiaAc>E}HH?QwG~k^F`@Gfc3Q3$cWTVM-A$yzHg+NHl( zOI`oXKNbvwRsEpBEri`ew-8P_@O~|7;gs9%)BshW3OXcJ;&+ORGDN+-3l2uebyq4) ze^{4Q`oQK=B=aWZ^fklGecE$3Hchw5kMLw~)(5~HrKwe&e%p~6I}YW#%iiOQ7JNHh z`B?0%LAGPc_%3qZ9fOY#X$oBvs(RbJo~-4UDlrv*{s{E0V(8?5Mj?;5FNVgu&*zo8 zWX=d`?9cZswv0q%eCyj-8_oVL6S*F#ont|3{2cNqUBc^bp2m{-v4;JWwf{XGAG%E#_L(( z)u?B!j`Nz3@&}-t8Dy|37r{Kb#y_owy=lYTv@x$OxbF%tg-Nr>4?T;bw;+P+3zp@q zo6j7qFOI&DvBmiE)jvPqMel+aYkK-bRS?QNai>YQZ%n`v#d;U94Bqtb-7M*;PE9_N z@24j{EpE+(vqS@;!6J~KIQ$Wr=iwwnAsb}zBW5dhiMIS#hXxrDd4XT(<0E~%j-328 z=8P-~*e9cLxpXZ%CqtZPqbfy==b&Fwuf$j4e4 zzZfjXsf02TUc9j*>`E$0dx|TxCC8XT4(XbJv17qx2B2R6Y;O5HAcx0Fs1a|g6aQM1 zwFVT>qub=Y-a9%zyhwoPR%KN9QqV`s;-4eZGUusA}1%nMVDO+NFxakzX zv%rMr2#!h%T)A98ry&Ckge;E2fEMf-5T>?TkGMpPS0i|abrhv&dT@6h5w9K7VE@1s z9)7y93xiKSMiwD}$@~!3XRYbcHbr%mxLILZD?;=dj{|~Z&*<-2(U~L~n(7NgN0#G? zpGtv`JE)EYn9l)q(Y>zxq|X?jYyL#so*H;DlX%ItSNwCYtj$fUsPQA3V$az`X3?8W zh|&hXG+!I{bU4(;`-GbN(bo!AZZET$j?2*Oq<@E=YtDVrLv+{skXqIIPMK*@aH`|# zF9i_uXTI3A7!a(6G#``T@nAv!|I`nX2GGP7`oE3O;zPU~s6p$(z>Wtxwi$=H&9LIQ0SJ3h zg@cY)&Xh)&qyBkyv-;owdENxjChjr%;G|a|wQ3q5?-HuR{-4Z&7*EV;;@_DDzK(xj zh0^<$i!>1XfIT6DTsMF~r{za4hiyzAoNIceDqzpYx3waMHNy56ps`bUD?V@gT?ES6iaB5Z9bk zaYO#Bida8HS$V$!$-YAo_W$yZjf8i#?%cpY^!HKUW+#ih7P7MKxyM({SL6(!SC{VN zFPG+w7NU`3N0%*=a|DX}w6lI&~e znU5U2nL!T8Rj|s)DN;=eX0Wo&(GsCb;(H0w!o+?h<{f_~8P$98|$O$ zPU-bZtURYl#Srb<`v;I9zA7e`x+Y=~Z`Ea7TPu3TGwgAP?A0?Wn3q>cvF(qcvtTwe z-s&=hO4Rckq(|T4gtE(%8cv2uV(>lCL3kU9r*2T(d%8+ueyE_;?sT58KvV#FpfGDV ztnwb@a=m6;c1pS20s5NP!sJ@D+yH;0v}+G?5-zu!i>MU+1*v{}Xwwcjh7sUE@`&_T z0P{B^UQ&HD@KAW8P=bEY3-SY&HCXbB$_Npgksp=*&A3;80m3c5Z^v!k0qO7PUZl)- zQd=!l*x5QpEkov9ZW%zAEfC@m$Iim(4D8wu^R>x^b`fPs?ATOY%F0P*jYH|#?MAV? z%)zRcOV65L=tK5u=|gS~XU_&_iKjdt?D)p6s+cv8op--GIn5RF1zdUU3UW{@1lW5w za+HuS_t#N{D|_9fgI}qX%EpB6?Hg-eyTl5HQdGnS z!BfxZ3PzECHa&aP`cZ%~ePg_ZNSExvn(e@@(2Hs>UNfl>eiiwnC*KB-!N4m04b5%a zt(SHqTLB}wENy#dDPM5ze9X7}y4oT}d*x;owu>owD(7>9Yq;h1Hp3j9&dOcKF0?oC za78f>z90_7%(lzCHS=(>e4PQycfqShWmBI2#oWe#e$`?pwpVj@N4VKoYOQ-~UPSQz z75VdXtZ-#S-=Dl~@TtFf+Y~*j4pPcOhxDNYKKjzJ|M&yqI4g5??1q5U*C%rZ;pwIP zM`5i##YrS%tao~I)WEik_bv#JWNr5*5|=ssvGhiTD?Nbf5=*sFBymmWW>K=H60fv> zaFPaaaXc8H*5AfvyGj*lRH8J)?A#w>RDUzD3-IWJWh0*cC$o1HcCE|edY4%ZZ&zuZ z=Ec|dc8`^w@}1_3_AfUVf_;0xJ^!)t7KND~FAwB6cSRWGE@ESCIr2$K8{d4f{m*UR zJ5)0c`YD@WFMr4xq*`1!m?cGz5{{IS2rKtu6`uZ}DA9*w!c#N5J>l`uj9pzhQVKGOtitUrYATOG-!dGW$9%Jj_Lr-_xH z{?$zA-~FcfYzTK8NKb-|dOdW898FokHJ4^ei&&D!?Qr#6|pwrV51~Ki>>`cCvaZ4uJ zH{Jct%&ykf`7n~?-2dy(4=%Gr_%tm_V^D(}gdQH1R zWeNDqEW@a6h*|4m=c_ac0%{KBK~#V4tjyhYy1l41nJ*w0L$FqDk+(D*ctID) z7WocKCv*2#elj@JdVXLVu6st982^&K4@`buNxqz81hz%@?0xVs8isG!B{i%2+Rpzi ziScJ-;?K16|NZCv`)0DUh|9?4%LICgFLJFmKvfD`S_zaz7rBBVYg~XtE+sBN&Y`th zk*McDrSsP~zHebCFeX7S#9tq{MT47?q1pQQRN3HiWFG7$kid4F|1-zlU;tUWS{!fj zA=~+w-J=t(^med$gwj(6zIr`+O+C12Si9=N(Cq13-P;?-sf9Fn!#w5F%2F97{ExEJ z^LSa|2Gq{-7C2d1(e2&Y!qNDfpK28*(g}i1)7Sa1fVOoST=?Z%So)KMi{&5qU=@){ zU-k2#vt>U)q96hmw7i+=cfCuT)zi5;Ho)&w{E=&4 zsyhH(pzvN09y)S)ir^6guPQlmq6?FGdJ8gjw|7l54dit#D0`gfYo6b~26t*mO}Vur zzmh%_9=PUEO`OhtKn$)g=gYGm&B4mtPlFp#){-;!kFxkTfE*C*06(U@g4{dhLg6{* zFZcUI@Nc`2W(rr>MD`}SP|MAbBC9{BfXujA2M~iG8vr6=-Cl$?m{>LgA!=0f+Ph=c z%gW(-HLGP?41Cj`;M!^hqsujhZ1qz#69Fo`ehh?VJ!FAXqo$fCnAii*v~LKT6k6a< zh?js601HKSO%!s8#|7w|+j-QUOi$z86EJ{RfP5h zJw1PpKNi1TkHp7%o!0I@yMMRq&4$8aJ2WTgBw>Z6EsAaB$QvX=0xN}DgMjL`U#$#*ft z6lY$0;tPonORDLa3TjhSQdVZF|TjixGjJ=Nu!;T>G%6PLYpy79YPB`8<5 zj0ZP$Ge7|stSatu%xj?4=&?)w>ACUD?Q)^jCO_BjK3}l~>$#SdMWG8LhH(to*QLwP^6utB8{jN}@gG-7c%q6jVNPQV&{R29P!y4h8yR6$f@e1S4(@=N zGQD3BIAEopW~w~M*LKNXB(Ybdf)qS9sHh4-Qh4@bggvN^r=%s-k;zM?^DdqLImRE~ zGz#RS^pce_*H5}N)0Xs9nVl3oQ>OCaV4rj5Ykv---hh79B=*J*%x&w!KR6f*AiR&6XT*aC${SFa_cs9DQi^_R>b7`?vwR&H_c zrnoV4sIrO8w9G4F9zH?A~QS0nhyE)!zE@U=>&rZP8>RCvf0Q&tpwdkY0_Px8%jBz;q%{b0f zyBit=Ux9M4mhp2OO*wuc-C42KPL#RfIQFTj^wtBm`7ACVyy)P0=t1vZ@-H@tc4;!W zAQ45gEa9sGaFBi#k~_HxRU~*FDh0yZ#@`yA#n%(R(0QB~n+k`{j+PV^l%hM{3iBD> znf%W64ZthDoyXgTI5VAAs|N4(u%StuV$E6FPctNp`Y8M~@28(kJvn?3qH2`3J6gQ( z5qwhF+j;d9!6ZJow(*?Ftab{U?KTho_eg|#h#6U=xd-dT{N*8e^%kIdU$`AK!( zBvRFT^v9b>@`+Z~wY&7>W98r6s*L8mcy&yN=X>F8J!F>y{yq_`HM#P@;a5`UpyVG( zof_3Xzmhu3e^2V1rc77iC894VTw)Dd&I?6#F)lkyq;4L^@e|0kyzk5N)-NF(MY0tB zghN&;&FsbQK~C3wy*Q(^bVInmml3HO+Z++G4A0x~6Ng1#(Fa@&S4n)nKy;9c@s_Ob z_@&Vk?ksW2=$X68{x^iFv=#_X<4jax=d!O^dFC2+Un!~cujLL%L*vv_?)^F!=2hQR z9ptyMB)`#z?58)Xn3w!l6$>%O*CHq57i94q{%OK1<(` zZ#ukHw$1M30BJYuifH#(<85UYHAcdUe(a^x&K|sX?8&-QmwJ3gjrx)1XLrRpHo#Fq z|Bb*#8VhRUq`|kOmX)glr$1}n8w0Oy!ezbUZjdK|{I! zVr2(!M@e2yf5wR9=92%ZUqe|0CrN8n_LA{u{{dB=ddHvvgN;&l8A9%9ZD`H+M1y_4)ol9z{ zMWE9^c;1o}NHmt6ZP&iNlkZdA3f6{C=xp}xD)SXpUd;p|`@9mNeGIkgSGJiC>0bl* zwicF?Z9d9&Whm2PTP@WVf zw7Fx_(%9aQQN|!dZ(vO+A1n3e?72~|g`*i4t8MJm@N8FT3(C(;Za49(6)0uhlZauP)nV)cO ziFOsmL`+vFn7^EOGDt9M3NUA%o)s>TG=e-B0{pNWs^z=<&OY4dE?T)<;m(oC@;C-C zS;X}bHsR-qO%1SWDT3@kf$vsfx}!dAB)iqdR}vyM8mI>E?Rnx~#d8;RhUQvX(q+CE zXE-y-e^QA#4(7h zVt3wP@3Y@K(BHZ>z7vOckWFad3SCWW+Im}wn#Pi2a7=)}1>O;$Ish!M0|le3x!1`$ zRnDT zb9$Y=*V8X&_MSZItgwA=K~J@GbjRvCnEl<2nll%xi;3VF6!A6!e8WK|F?Xk6&qT^w zk(<^aN>`?1PC>Z#kaoep~zYacUJ~DQHyxq>@ zM4j7-_j3`AXYcc}sQV!6n8Q6SPTlJ9cow&<-n_NZh z6kItDu;G|hd6*I)SX`8}x1i*3-#WpYiA}z*;OgFyDtIlL!RWb#S4fGRQ9(p0c=Oxp z4j65}Qm40e32Am&8rW8V8{0 z0(v##v#0}y{VHv9hhFN5F{geHQvlb?ej(6wn5{`|hXo<4Lz?SZ7h3JHf(Mvku};L5 znguV72|a~S0)uM9eWWrh>kYg-@?b+t>@hp~!*n0)6`~lZ=8Si;Q2F+IFomXQ<)^kw z-}}{vQ$(8**ig^>^>4SF)&BMa03N&0A!vmr{9%Li?PfldDhz3s0q}866Jq|ll(nLUX`)- zxb*7Vx*ny6xC|?xYNh^Gwd&ewL?d!1U*Z-3O^|0DVXD>htF|xdDm%E@oivaNl+D7& zc?uU|-flsKcg`CVY79(Q0AM=(N(NpQtWNb*G>6%)4 z50UDaZhdcLflT_W?&y8vkG9ba(%>X~s8-YYGz^%}H+fC31Z}C?rKi%Gz)-T6_`6#~ z4=?*)LV8Q$pnZ7lKK#VjXK>bK(%akjAJY*ib#=^#a|!rq2@@eiUP#*dlMeK@Q1y<# z@^OF@r2oubv?DxI^T(S3FjGVp5vD#UVB+FvLqoT(MOr7*X>%$88w&O-M+k}5&<1#au{JV{k z*n~sL(M_ENzjThecrbzh&jeVjHC5|Bic--k%Et$<(e5@^DE;-irzvNwNImu|4L632C!`E3Jw4~JJVGpWV4J$y&|amC6!>1NW8@6T@8 zEPiUM$wMz|4c{uuT9zgxBf`~;4S}WN>rD> zFHx2LC{fixe*1@-UbZ%kA7-BLA6SE}%FZKMS}#EKOA$vZZR-^0s62#gpRz|6{Zm&j zfM%cm1hG-YB8gq}{p&<(}1--K<*RL_~(hUNaofwdr(FPQCL4d=S2HzC3sdSi0 z4{mlj?H~@`KebazF3~&2-z?C>6*)ZqR_AK7P?hv|$T8VY4zmW2OtowAsjlspvx-eL zQ!edN31>(<$;=srRT7=*J?n+zs&p@VhL5^6Rs~RP%T(G;0qj+74;bQm#~BFdHl&bC z7Xy@0(@=7(Br|ewklNy~wgOC7FkdE)PBAE(OwaYp44q6U{>Wgczpcwem1qB#=8FwH zw-=8m7l5w=4T1}s!#%IC`f|WD-E+L7CjdHbfG{E-qo20eKa?l>u8jz#&oJC$_7o|3 z71>cg|7PzpfU|;tvEknUn}x{{xjLLR;n=5{&#K8cs#CYu>UZ)~?%gTXh*E!04l3XQxg1_E)B9AnI^)Ex)gi@~E0Y*z zB4AmW1+1(RF%qA6htQ|7HJ0|aFe|9+#8wNv`a33^1!l|*E<;TJZXtm8uhBKV8)@0u z>drEGf^y~=i5F}STIe2;V+uEV@#FkdXfLXMWasLE+p1601u93yJLXmy8nn!|*$?X^ z6Tx@8wvXzmLWR%ZCsz^tAJs6+p0J3Ir`fH6PPKBJivTD-CTno7_b2GSRhRdtzL}g{ zJ9egHnlX3d#4~}oMP640+2tJ&tk&YfOH(+T{kywCf^RpBY%E_McfZbV;GJTg*Lsnu z)cE43y$bXJF`jxT1M<&Zq#^`?JAb=KRk^|OviGb%E~;Gz!~J;6$|{KWQgl28qFRfM zm@hPo=9gMj2Y^(9JPm;h1Wap=Yy$j&ce`<){51~&Em5 z)RpzXCKGO7{QRlTyufyHw)U?71o_ml zL4~CteI(zLjH6ftiyrDSw2|PI`98BJ$7me4QgbKj{zZPDEp3Z(nMpVf2nxb>4t>#0j(;#rM%<$1G^ zYem&yVxDr_GF&o;%ITZqo}}2F>#@mTet)=8p!{(J#-K(+Equ$rz@q(r*^LHp{6jH~ zx1yg+98(i_s?+0Y@WJ&_;mv}t_&TLe1EhAwFTKKG#7_`dv5obYenba=VZ_?s9&wp@ zt~!I`vb0JQQSfg@VDU2R)Dz3AfUsfTz~{g%6RAA#5qz91&2Z4Z23(mv4Q=?Y+f?VU#H$GQ@&f%$;Xf?vkEjnxo(f%6Cy<_!2NlSSD z6SkJZa|ml>g1KPS{@d+t`Tcu=;6Bk0TI zId=IzS#f^&GJ5~}RgOv>?!$nq39H{VXCk*hTA6Aa#wU`aC_2BAgcTb7?iF%b94(JN zdu>Y-Sk&rbba#XwAg;TsfY_cxpla_ed@mY-ZfPtAI=7?33q!VF?8V&lr#+Vr#7M^H z;F-r9+6cs2&iZ@tUQ{UcxW4(~k3TW_>@-tI4jJ^?=2VE&u5v{}2A)-?eH7Ag}t@Vln`buIo8V{^!*XEaq9B2Vqe39;pbQ{ilve#Ez& zIuFp%#iqNtFMmbmWGd!Hd~t|F+w?y>bQq!;<1c+9Pv2+zc|Z9iMXbi+Ot-|q=AEu* zho-R|!wF0;}0poeSGXB!) z#n(nG&<-{T8;!!qT^y3;P+U7{+?(TKd9Tc1=KM7DYp2?V z7~~FK6Nt+zs^~2|^(!uKuKCC&{PPMBkusSQpx~{_LzFNH&zX*p^j45B(SuxQcxD{; ze1J1=WO%f}#f7C-o$;tTfE3Yzbbqy&bShA--l8mN+syl;6Q*oDElF2aBfF;d_p^0e zf-O!Jmg>Awmi?0bwI;SQz*Y06^!0Np`u#Fa(xyd+5?Se^7T=ks&(+`fu8L7%t^z$? zu0Dp(jeH*eoDbZaT&5Ni`_TM{{|yxgO0Xp2a6*!aMxh!p)O8i1n z4_|Jiw>3q3RyGQ8!cWC^%ul&&ulyr(t3T)oKq#E_JN@O_s>><6`6^pfM-yc~Va4*b zm{**L)$Q3ELAUgdpdO0Q?C8;$a8Wt%8yU}>Jxvabchd zSTgJabPMLpz?6?B`Fs-a_47TopP-s&5V9`dk@M0ELJiUdzDox@jUa=pWdN!z5{C?g z%mqBFzU$a{RUzl|?om@UUKWkd1|l({$>#!iX$*d4&A7vm%zj}1XZvz|+`W9ZN*|~l z7`KhPiV0)idb10&WD0xN&leU$E7)0I=@Xemjx~S{?e2qM7C)FfwZP;f za!?i^rGZ;~u2*QLnE6joJ6h#+v($m{*Kxs(!ni%+qdnY*50E!S6`wH6Gl<3) z2e-OuTzvKIZbHIBdC$sEklXkm!|dddabhvGWoBSug65=S)LHlF4vj0SMN61g-%~yDWO0fpGIVU#9JGIby?_))jRpAS91eg@{*1f;^vx;El%s=}U0DzB zkQm99Mn6Hve&ds4BXp2K797D8o78Qf+|qdsCNXfNyG%h(j9RsJi{FJTCIju}t-)Ka zg_y1_F#?m7Db&tpE{g0)))kS_73y1<)X5J9Z+DDy9WYiOJ4UZPuC8hAE$d*Ed622q zd{7a@-uChz&)@!mlKktBm?UQ)uK@Rsi`}Zd4tyRwS1gp7tN~DHMTJ^4#W6Q)*?KRr zjHJoYBHd&U50VbKg1{u8w0r^cws_5_T$q9i?QrmQ z^fx&e-S}l!V%4S$_^hD+>azk1hed=NXLj}yANdVC!XEzwDN)lM@VWR=uayzyDYFIv zP3ploxetbeInz;B7yNLXYVo{iFCgC~rGokWpG!yD8ibe~WSDxzA=OOXpSwkV*7e{% zA^;$2vR-3Pu<|{~*IX@Afja~MNMPE&9f>asZ)-g2_H~(QOfl?zaOr{Rb_>;Lwf{fv z)<6$+$yd1%@f;a^5CkM){s|BKGg$XWrS>m9q7#Sf6a$jz2*q_zHAN@YOkdUwCgy3l z%&L!iM^M(7U5P`8rK9t?Utya33EO%25!+EbLhQkNTdLpzq-zbqx-{uRkVyy+d!Nn! z1G3Yd&$Tm#q_LPceTcD#ZFu|LGaY%%1Zjz)AoWtpJ3mTQLhbf8PuLfaddkG*(}CBW z1Q4az_YQ4MSa0dgQbkXUu}T6NMyC0*^@KmnNzwd4of~*8mKNI;9-2){j6|JP)0X;0 zRO+bv2-p3Y7^hi81I9o_#K))9xg>4m+WcAZ%v6=)O4Uj~M<-)SXRrJNtf~cuoP!)~2;`d+r@0u6>3m1oXJ3y2(AGIR|HGGUOkrZG%GoDiN*x>ur)c#@>Fh~=0 zFajfzNffwNS-YE+fOh zy;kMLzL{{N!Y-WrBRB6biO|BNYgd<(FXlhO&z3k)c`Nqt(3TG0fFuY^9aF)(wKeJnCV7%*=Q_zOiyHq2FE3=BU9V_$5 z;*2*p?ho-aD+?^o2ty^z-VPLX+strba$xCcFq{kEbZs6C4r4PYEInT}7r$4O0-!wQ z4uCgwXcRRLw6X!f`>A~z#%@0=Nhb&3KiMaAUNdtW?;#2fQHdfH1{cZuVGevjkw&fAu7hh}6)(6aD z=#GFE<^>Qx{YFlg>GceDcb~X^n(oW|!w-+DCUgUiHN7K1o(L!L?**0x3}@Fv0+9R< zU6SR(@7))6dFrg7bXM+Gh$l3fWv+K(_PwD%xn4|Ac{dsM{RDq9+)jICi8mE+Az*7XR(SUb8;Y$+Xgi&4=A$GP<)xkeK zwi{L>%6>}rKN4L}1;d)*?5aP=Dup!&7$cR_$L#N6af&@ABmcweGzdO+pV&$XNy*%u6>;E&s)q!CRRTTwu<8Y#R7|aNMuX+Z0#Fr8;f4% zSqtQ_);!9+4vTkF{QV}Cu745E&NYZ7D)k;UANM1zWZ0%Pg;6= zsCqEHQL)n4Vq?NaT||4Y5=QmmrIchKGgi9jR4DZ(A@#ZNW$VO}*PAYJSN805EJHuX zN9Q`zUHXr+7tae+)5YdFe10y`GfWNSvRp10mpU%_%9jB0(hbCLbnCa8 zzw1&RRR#DF;GwaPNBQfDM)rf~Fs)J@>jA(h|99oB1DiDh0 z-7}rpw?=9^S2vhfcx+MB?KmTYqvx19`rpMqj^|@TxT8I*Tp}d3QwCFaMv-3q8M-9P z!lGO+_AG%({kK!vVK)VE1C08q$O1NPn7O7pRwdRh&dN7cXIR>Ei9!YY+d=IIG`Yn} zYCQ&gugcm=WMv(>UFQf1ZC@}Nu2M;cNo)5%aMF|T7gIYS?9i%A?^A#8(Tc5X&jcj` zV-OY)kpC|Hz99_@_kY%5`lqPI|MD9*un=J8<4q=zAjqLSsdUGqNI@|WG77LXiT<0m z=<3jR_>^KVGd#}W_etshSb_dMa|GeHz*2iSlCxZ_^5?D3UAqya;dpkZXAD)+xj=OBMgi&B zMa;Hp&QiH|as@-a6gLTmHbE=WsC)jaL%aj{G1xj(g6ytHw#+yh9;=qaauH{)=+Jik zy2zO)SBK&eB1YjUnh(Ymkeyz&nL1o7Q`=#W<)1nNq?i@vQ?gDi3Z7ho-yC-Qa98pz zY%>{c?GolH4DmufQCUQ#H@G=B0+sGZV{ZWgupk`m$WU-T)QlX``gbtKb@?8azg<6IcguOwwAhNXjHFYFb~U{rtBf} zQKn7gO|secL+S zny2l0tj+?3+LNR=KkW)8W&1K|Yy|xV9k46iTZy#$105fv2IgN9{N5_1Kt;GAuU&&?PZ@ zzRGt=z4uRx#an82U}*YcorUsivb$^CugojvV4U%MwE+lbpwr?(VXqwS=I+G?%}U?l z_3e!u2iN4bB1QR2gM;xiEVG>dVZO~35`?@Q>uS<1bA7Q5dpT-=fok=H18faxR4}zM zVlZw{zH_ogW}PFi?DR8$$O_|=+{Ec@%Bi~nJHKRn&5;WEIi8Fb0pu>bSK;0tr3&_c zRL=hU#Gc_s%|AO&2{|8ps&5J|7;$;ZH-On(DS`XYqZyP@N#_94+0)7U+~1d*n`tXt zUAc-gobT%8 z$@bosrP8Oevomy;R_cOc%#cYa+hhczHc-#! zu?U0y(-(sCgJZ6zLgCZyQc@~so7e@DLCwuC0Afe6MMB$Og<|}RS(<;@w*W@>z-S_zyhID^d7!#6jdJr3^FE2mTf=<}qs`RU`PjpCgYQ4rwG5&o|aG5&cK=2n~MA zu%0FO9mZ~n7#Lrx%hwD^kIQ5=mRB`TA~LE}HuiU<*hK=l&b_25@oHXhkGvI#I$^g5 zzimQDYQl@;2fVGgcQO;5IBfWy&}uH`QF-n4rIxYkwlOvBoweQg<59J1bYq~iY@Xl}Rio$o zV~682bbKAqBjdYo^j^=NN2k27UCw&@-UIu<&BLC4BE3BydoVy9=D$z9jz4I8{QJR5 z7g%|}zhT6BLTk1<-N|&o_P#aXz){SwRjCwX0ZXTuDBzsHQe1BEs@{9`z+F=jYK{GPIn zJG(y=Lwz#5vhNaEyr$_oP zty}!1m1!lW01UPh1?atP*mrn45(tAryMbs4LxgQ!H3~XK)V#4sGTTe?-w1m7lU)9r zg#Mqq!l0p7QH|T~aVJs-RtYs*HFEz~M0LC!?^GfS{5eApfzG`!qY-TX8Oh zCC?26f=kX*M3PakEZb+cv$LMTNjL-e*LnpJ^9)qfROi# zI$MfqWNVIl!4Tr*aYhEhvgrBMP`o95jC=6q#|dM;p8gD#qv@?m*EW_>Cm+<~Qhno2 zRurh^-CJC0rihIwZ5s;o-agaPBp7FV6eO}?QLaU9xgqH$pc^5$OS!jBCs+&922?~A zj1fo^nYl#W%jXsp`ff44y2?hrrcL9@WBeA)%hrB?T=X$5+f+qgH=9TDZfJbv%cuPv zMaG1;bxmp6so}aL^AC>@W}VoKn^z5xhaq!@EKzms#|=XGSe<-JB86%IE@mD|M3ovR z>!;q}?0VaGX6^&#>B8-b6hvsppi4td)PyHmH;-o%9>NW&`f6#;`BZMc>izoB8%N5{ zYhHr~lx&=d!|<^c%7_WK7yt#q;hcWq5gSJxpR@Qoknf~ z%SJwvYqx;Y+9n204Yu!%ix~U-jYQu5OxdNX$ur}}S%(nG&=xPg z=hb#=w7ZD~Pew?YvKvO%ZwnfqTm`t=O&FhNRd%Uul9kdhMX;X}FloZ9Zvb6F>ibQX ztl(#U(m4QX$PgqWizbD$bNA(o z3S2lL@KMg`9pB}ypmB*&n71*$)sxrg$o1kgH_l~m z%eT1Px$=g>4TMDnYx24eaRA-y=W~ByFVlpncGaxqT}#ScziP9l&IY^tm^Q^~Agw$X zlIT46PJumwH+JC-Cb=_p-AFUC3e*>>Ylw2hmT8W%?6DDDe)<;Iih)CQxEd71Pb%KBN`6|Ec}kRk4b9=3OY0f*olZ zQM1=M%(8p#XA844p9Ge<&gPc;PqJQZKm4lGSNqWm@Ek(N%q_`Mk0mJO49K85_XO;9 zXd{VH-o<5pK6>=#>N`5YHmO_x^|kmvGob%)xBr2{{a-Q?f5j~SgXuabn~Usn4O1$T zDFyxB6u!phqH1Rp4QyHksJvo;>VKzQKPv5^{$h;BwuGJExp+FMeTW*$t>I*NI!~+Z zMg&y1!7+UU;U~r(e0(WKyuNi{af*U81cO z)mYxAwJRx=@C_&JiUmLEC|5~w2^wzewvN1Y#E84rZws;1Rq*!k35A=OTc@;wMWKSH zeA9itENJV5iHdj#ezaiQ*9m^QJZf-@1-q8$ZLqagjbbpgXMpSYQa*fFqxhEQr2C}G zb}l^HSaxE)+aB!{iNzyl?TgSfl^YM2V0RKAnv{sUc7slE}WVXRNt8q)t9bdp%SsYpFExsN0^x#@Bn8hgN10{!zP~c zQCf}a6wM^2k)|(wp#txvn~-L^mHxXM+j1GFi~1u)D!Uflohh55%&-)(A?G`7OzEs_ z8L1=VxkTT|j1z|})*F-a7jsPskJOd`^HXp5mrLfdZQ`u*>hB%;ckM!#{GQpa;hml3^7Xb`xT2oNpuB9jZ0RHr42%K%64ujsU2)t-#;R75v>D^~|3!Z=K8RY@H{ z7255OHgDOuJNA-p8!tHGSA%duBvoAd@Yjxah5_{~^??8SwSjROJ^-O+4|xJDY)Lb! zgk&Wj{i1L0%iV)Q&mpdcw?wgn(bMf6l1Uu9_f6(03l~~hb{eLBK97T}9wsP}EK?n> zuDHZeF)N=h^L=l$xAjo=C93|U_Gd@{R!Y8c*ulbmCl$W%-gMd?( z4=Ur|Q${Q--@dzU!pIiW4GppM8m_R$&0O>KXJWkMd5VdJwxlC>5B%HXloq{{%l)&+ z?qJ{iYHeSaCL}|dJn}vA=pUBU3Uz9%8TYqB+61O96HfU8Eqm8?JhF=lt2ENb1lM~K zMvF~I@@fdXhzE03-AJANd>Hqn6u=>!#kC65!qm3t1UqDIUnDq z%+Fs4t@6C}6lDj%GcXXYivC3>^^rAx4A0V^?9@Nq!vDfI{`X~3MoG*-h$6g1!2<9Y z@(o6zx=&yM7t%@mQzKGqhR(EueBn9FC#xsZ+xv?lHTYfgES?gpLAL>$wGk zOa9x%67p-bL|OQheJ_Q{1>uf;n;kx5P-k{@(e2s~&~h?`#waYtGswU69XY~>_k(Mm z;vrI3u6LkFdecHr%yNyE#-~`lRgXT;ck$0Xl{F%gKY8Rk_jiu$mg)CcZ&_Ka?h!>t zTFwi)R#@6BtTh8ew$=~|zyo)Na<1IB)Ukla6%=)VP{ zCmC{^VBRLTKX#=9nocZWF%<1ipIRx+yuk#hYk0$zl-A<{iZ(F5JttY!w)J840+rYs zQwg+ey4;Vx^=vI)j46eQ#PJ@JY|{Oh6n*~Qdh&+HXuzzoHOW5sUWeGwfahbEqMFpY zv*)|zaRo=@UrfsG*1Oaz?y|6Ox3hB1DS(-_p5GDFBV}*Pl>w%MZyH65SQf2#PTp78lXyHk(m4NZoys`4o8?(cJ=cZq#(ij> z%b`v9`_ka5T*0dC+`!m?oPFwV>RGqMGOCoDggm93fSgqTlD80~wylyg(!vO|^8|&! zl;@vUiq+oLUt#NUcDZ=`m;Z}*)M`-ju$XH%mQ)B_yDYYgFg>uE-+_+`xah5MnS9^= zw4HE@)PJE=P=skdCWz*9mdQ1)w|T;AEjO}R|^(Sm`EgaMV3>ZQ_NRLm~GpR1p6 z_b1=>@72veL_%Dg1S~~CNPO4gs{BjPJJJ87V&{NUr>2-c{UDAxPC$y?7?Id-ia$^AsZ$azes zTFoCkUb+{;gJ;}lV-a!2=KC^taD#a}&%YEEe(MC3G?^4Ky3#{ZOv0AA(RR|xp~bgi|ehEY}h@BidyyZHMy(0lKn zU7V89aZGMi)mV+LJdJEC=Nn8VM)1o7!e`9~N633hN@6V^SJf5mV)V$e08}r4mk?m* zdC33C?=|?Lit6<&A`0D?h06Uo{S`I6 zH@;@eMia`d6vM9s{s6@YuHDA~vHK`grUl?F2@c<6Sw+#Hrj>yd#ep~6ak3mh86vBe zL+6q;jBX74wJ-LUZ0LVxssHVXp^6K+wW!nbT10htD6s`syOSVNfW8-)^*LE&?>7JB zk;T?cZXWk(XO=1e_Ts!r$=WoVSJe}fOC(_td@oXGHzD2FaeA@o~^qkOGs`R89jTwb6uwaDCuN3S$PTV#%A;2fN0-0d4o$sMLQ%0ILDiooeg*WgICivk(W1c_eqQhqU9|Lec%x zYVB+@5c14Cvcht}LxiBH(&waaq3^KYU2lkWm>Vqf1kYNQ9eX?N5jL=^dZ7uL$Lja7 zVyko&+lqwQjcu_p!@nS%eiaI8UjG|YS6j~B_5D)Z2z&E*44_Vu05GLC_2tyGPP*Qq zT=Mtpeof)E&!7*g#Tm}uZ&=?iys!mV*-EU9WJ>E^J_H0bl1veE5XFNrKm17CHwMDIBgF-N4rY zZNc#ty=tFYXCNdLO9=zPK!L~SK`2;3;V`5Yeox>Qie5%Whx*hTCk!p5Wo;nLKQH9Z zBLV!{I{@DHsyTKGKo7cRUN=uOGLWHV^wCCQ!8*KlDenE{J{ zeayNnLy|}In`0;>EJjc>y@q=JCZ;`2B{I_lh%(4UY+!SrbVh4KXcmrK%a8FrC^tFIk(moa?k^gaq;s~Va9y{e}Q%bp)_1~~4gU4r5>Zh7`r z0`hQ}Eb+?H{_&Tt6&?zZ&;$ugUY|a`D5%PwzV^U3`N~wO#GA7f*_Hd^yH|VQy^y$Q zXP_}@9qCokK7k?m!C_mJgAQ|ecrX!?-tRlIX(8Ak4G8w1%gTTAyZ>^MiKWFQd6Mr9 ziEB&;lb&QSbz$h-QvhG@o8lucLluMF$Z zZ>AmFn>UPTVE(URZRzs+Dr0`fVr#Ci#(Np&qQ9q89#COFAv^bu_r!`DUf8bT^Y!a< zgdj=*Zug!|@F}xO{+#`10cka^V8V0Br_jLE2$+W4*&g8bJAvhJ9vUOR3@az2{d>=Xts3Px8y$Dg2c6SJ#B-=Nw4e4>%rp%5X%n(XWr5fkLcIftki-(afyOym70R9( zAtMrCN~ha>pHw?@n?xf69#OGpI?=|X-d=K5lNI1^m14Psao@Hq6C3f;PpyYTTLXPT zTt^qLRKJw6XeAsevcgpy+Id*6%Qe<@jXU$vX`9DrHxOZloZ`?fc?3T*5g_xmSaV>{ zc&|TyAqu}(s-2K*edea~A+hrgeJgtT zie4Lf&xEeiG53=%-wK>?Pw;vv6F@nub`#KuTq!?5o_xfvKf4y>m=T#m}5Wf9_ z{t`iKpNb5|2_Zet%3hzOOpYHiRbpX!7`Q?kcDG$d{fJKZzQTM_Y2fLdA@Sb#qQ$o* zBc2{h5LKY%D#n-}SYAQC+Jp<;q)Q)1mZV~HhxK2Dcwe55qtO@%Gox+}Ug7&VJ$1Y1 z?Mh@Y$^54+11UjPcyZM=t(}hAoXxvdKR|hO^ZAG%C~Y6_!vu;IR6$b1^K=#QE3e|D zJjjjtRE^^yHJi)!x6h4)DO5%Hrg3K}9RR=U7?n-lXRo=Au}*L|Wd3g97?UaU3C{rWC!(Z(gVtzu3KcWlFE_c9E0 zL)N8zMvepflRzZ@DrKh+q%4)#LG?$O|5qLh{YFN`6ad9LK4j^1?7~f3_UZS>8@2sI z>{bPz9keO@g%a$)dD{GEOjg+{oI})rS^q9pkl)1(<-GV{9hV11bAkP`?)bdw z{ztn`;d(g~{);x#gOHTRT3}W8c^t zyJ=q`!1Yyca`1NzVz&H~QW=2CDZ*F#v6rB~!yMS};hx9!YheFi@nh+3sL1&}R81dZ zaEzn-dGm^yRk8vwHOoe}*VA5;*SiD>p5$xS(OMlQsl~~7x^Ay=EOrI?Nrb^BKztio z2BR+8hI4$g$cmS~7f-%-mq*?ecqq(Q7@}k&7Ai^MA4n(F-LiV_s4SoosXRZLOPKS& z4C}R&5SHb;fFLuR1+pYpmO36=XFbn;;3%zohH`ESKv#EZ4jtF3s<-WQch$seFY@9;q_w5KVG;bq z*TaY8_);r`%xvHMH>XcNHy(TUaw$jvK*wa1?abyvwq=?EiGug@2Z;j3O?r4oX;ukK zg@U=|Cb1>v(hdwQa68Oe!cO}$r{*`}o9Yq1xF;xNctbg2e@4$6L$DL73$7JOP?;aWedfv)Wdq*+! zoVn6z)-CUWe)@m93qTWiEeU7}2y^uWX!9=o0W1OICG zCrkXUkxfI6dHPJKFBZt%#3Ev+xLf?vApi?j*^JiQx6UY+hIRP>`*o&y3yb~oLW2Qi znUCCr+{<71eEO%`XkRqUhIjP0+i^;FyxxbkqP{`LUuC?QT-01+C%03M zMm!m<)U5O=kMl_t79H(IVCFsf*fh4Ijic6^VBK36lPX6@AuYhe{BKL1P}e|3NKe9* zW2S11&VEQ)3aNkQw*a@OUtr5%n ziv^!X^wK4D?~bxV? zd<1_@XNzFYyP~ZTru6O5OQZb{1E>n(kYwofwm+>f_}qK#Wk=J)ziaAaj6 zMdk9yzD4c`mtnST?nJJScr)rHgb##ir5u%95_)o6y8vV;m+GAmgkDCgHU!^tFZ~V4 z+YGj~!AJ4pr=AnnY@jZtMjgwJ zmRcW#ak}qKB^%qo#q-Dr57lXCT|}1pBFZ_f;DJ?A}UpkOu&C-bIo-aA;G2Ni-t>nq^+Rk*HWTRP?Su z$zg@_vH9fdwz222M>?7-JYQ75ls-+Qo{G@CbDhES0LO){x{tz4?629*a&%8@?pqY? z(l7na>=yDVM7HrGBa|(rFrLo~?*DK=^lqT``=HmrJX1I92vVSR5{UJWZkO>}>F@~I z*t$sYOLPTvz`vT6Sh@|MN>J6j2>|1Hb}shmhzXI7JdagyRROy&{-G1I8Z^ z@qmL+b7Me8;gBy8Ug05#f4AmEI(0fKt=!6~TW0-e?2Gj0pljnV`Kb$jQ^hrepa7A# z<(wJjC!{{@=(KZ~1RWun*sp{Dtf)3bbqe6Iee^7%(4-POfsKSTU^f{7Ai{6OKS1>_ zuXVSy{KcL)_ z4T(OOWCl#jfv3jzX!TJO2B;}HPSYBMccoy5hx_Nnz5LU7-62*dL8-E<{Bj`h3&Hsq zP;%yCh*=EpcKQpHy*c%F($skcTiJGHB0>X;e$ca8K>vB%&hgrPZnwOXYKvxv3Ou9m zsQ|-JxQA5NYW$ADH?&Fd);NR>I^$FX+%1I}1dpV2K-TXeDA@A=Q`Gv0!y&XUuoQ2zX*IhFj&l(*w*)V(2*WeA z>NP5hWh>^Xvxtra!3TKZ^s~FUYRQdLE7zY+gWPf%TS@!-p07+@k0ct?tYmuWyT9#w z80TQ}I0VHlcdAp43r^6Q+`@X_iGhZloH8(B4`4`1mb#9S=Zgm+`td@0ffD|`S#HhYmxH+~3e;HjUdV6xVPsc}_;V45Y zZD?PS2Ol1Qc!G-iEE=91x)e4TVKOfInckGsZBvY@6IJbdKzfyhJ>a}brZB?*$}3JF zTKk3a3gmel-%=xyz=UV<2~9gg8SqhjukhlFZWFaE{%CgPe=-UH@*XrZ5!uNW+eMLt zcIrT}7s59`by<8*Q&kU(cEF#rZ6-^oDD_9+>%doy_1N^A-&Jc~QQh;M{bM_>&KE8AqwVX8Ug*LktkVw= z?QnO|=#rEF5)?qT|0%&IKp!ip-!tfF#jr#kG-iP5-RDadIQ5>lmGzmLyr>#SFXhD2 zwJ`%jeG8qa{grgN8S@x`0#&Yea$E+?YJwP!t=9=PZVJWUGR~%1gXl-VK zPd&1zyOml@+TQ;GYHNTwr;ZIkTUw+8o^8flyuIh2W$;dHxjpI4-3R{fIlC9V{N)pd z@h@7M@VzsGXDkE4KJI-vSjuj7%N@T9!JYx44s&Ht+RJ5a3Zt5NxaMd2cDfYP_<3kMnGap`?yin6n$^x`Pgi zg`{CgDRj5YSr7vOL~53axx}t1de7ubTP_zHC{l`B8L2@r#E3Qn(_@dnSo(&0uK?{~ zu)6r;=sFC80pZ%{kb5hmB1KZKlwIVs3rd}Od8jq~>U*5W*BwgSF`-&S5FBX_|>hb4}bHkc*N5%IjzFmX}hF=Mx85IWKVsew5YPHP zrBwbG20J(4W$-5wD#C35>^uA7iG+9>=F!xjtnjynm#kng(Ndit)DyXV=-d;-wywes zS~YtO&7@S;8_#_K^aO6WF3~Y-KIVDRM!~1AwN$8!x9+ml+sbWaD<{2i=?fN9j+dZ) z#;jAz^2>;TM*rMkrmI~9YxKS;V>?kpoyp4E z!a!rM!^|v}6WpCQ1RWO20A6y`L7aUX7f#^2C`Qr(3Vsb+7|5`)*mvBlXiTL%KeB= zRLYjJnWLHU!;p!WmYmhoDq7+5ne^%uHy3M z+yl9rFjF1x&8k?*jO@3Smo4|UtP?n*!}Xf$xT~ATv5sYu-Q&sQqT7}#min(tuCq&| zJ4*ZX^9httdx3kLKwB2;kl@OzQMd86XF1=O2^Sbv>u5E9gW|VG?n2~xs5Y2Ss5siO zlr!arE901$pXQxLNc z@`nj`C?^PSe6lzKzkYfptc?mfX*BDDmwsjN8nb<;iwb&g617c4E=r_Z%5gbs%Y_Fj08JO@D2V2LT8`Pb~(vEfv!6$ zkREsg5cZYGwlTha8()lvv`lnl6=|MI_KAHX*DEh{pF1&(C<&SsGq`Z?$Zhcb8X32A*`?-k+06SNT>{j4 zvj+Tx_>^2$sir-THj>P!S8Gii;93!nLpMpm^g6jgPxXCEDH|UcMt*?+{BAkLDLGb(po=|)3H`P- zCDU%XFrLzD4f-}fns7fdf_>wR%8PnSY00*Lazzt3r%`4vfd>^j@8vrj<=V`GA@^oLWFf)K;FPVY9oUIJ_Y`G0K&Ujem`kZVWVeh>N zR`+ZWqpEkgjbrqiz_J)vx;gfC`}!sMj6FH}_Ud8MsMJq%HNO-CZdqczG_xRtmwh=u+ z8o2$i+s=U1r`8BR{wpr7Fh49KpdBoO*`az)tDX{cxQc9vA=!_Z4L6Y<+?Unp48(lD zrDLk0lFbzgj||hi;w{;Ct{UM1r7-ynWtNW%J#aM#xA8X=Aw8qzf_@DvJ{kJgw9PhY zi`cY1_$T?x_IGk?mw2p2vs2Fnt6L7$^lKZ>B6Z_tuC*-byU3#FNgaEF_6`4@A!dp& zRQ^h%c9^Lu({lVM(OsQ(MM^yORBzJlC;*kU6dZsNM5bR zFcS6%7!~^JZ&d>v>?0*h<6d1Ly#`&KO(k3dMdlbqyFrVZHIp+qwTj^Aq9^$wU3lqW zc4!{T)X9V2@wvR&lpqmvu3jN9>{Fyrz1&sP2=E@5x+WE04cNy?x z#oSQ2otW-q-%%VS@&|~VQ0z|-EbEO*-z(H$yhpjeCYLom zlA4%Gmz9Q}Hz9<}f1q+UcC~IOeG{rU6@pU!Qv9I{eL2(z5z^Oyi+9shO0|T^_sCF^%{xjX<)TaCVECB^NWl3*bhy`|4?c$HDy~?S>6CLUawfeZrrxuS|o6&b6?w zr}!-@0*Kdhb@!_SQ#e~M$t#;*>lG&Pel*gnD36hk5o9>x&!T`xq`pw;oFH;>@?lff2zfz&7)a$cHjg5;3q|SH(&l=YN|R#J`VE*HYXRhuT9rn{wPVyrqKimNlO=g zctiND9o(F2J%P33B-6?HLiVdcRz2wNf&G3ib4rDs9&ktVXR$)~Y`?gb#>2s%?Tu6o z^*#aME#tQ_Oh?l`hqm>XkN{;FDgfDA;oHcLYazm}$w<^2dprh|Ix-5#pd)ta*7dr9 z&p0Ds-{TYsXEY3bcyB21J_dUE+OBra)b=2Nexv9kOLbIrK8xMw|F z_&Fyq79n4IV!BljvxZpf;m_Xq`S5+&q4znyROjm23iV(n0ok@w^Da6?xvl0-&ImVD z)?0IY;h-Xxg|O?WW*U$xB2Jt~CMIZX4?3D13{D|BisX@RksQBuSkfBKS4hr3CDCY%2ew{*Ximz}D47uuGp1dsi*zGGN3#wT4}I)=MJN^dh&t zp8q!E6^uGQs>V}7yF+M;Q^DPv_uJ+4Q#5oX=bUcHz%O275@6m_gj{>lD?0rh&qh`(1QtIWHcT+fwV$X9&eZf=ZaUKmNAtXOTwztfoE zxHf&V^5keN85}SLP^dbu0qynTdo%ztHP26Bh{pGlxBK=6#8PC}YuqTTaizu5oxwz) z;uCsOJ9(kM0BOf2*`(wEb4sVtWCTCUG}IYM^gU>%l@irdR!Jw3B_)-rR`W&IfE463 znZ_Mmh1flrjaniDk>+8HAOsK7N(Gn9_rQakX#QD^?|v_LN#@}C$^j5R2n3p-8hUy9 zm;6NKO=5rM^(=y-md1Ir=hb@kVEhW=18Ur4o?3B&>tzhKR=gsb`u1nJe$bW14RAC1 zZPVk`f-Nfm7oW-iTyJ>HvE4`S^9iv&WswWe*c&z^+X|3}C&JX@QZFM0Uvsng*~o&; zw$s-4r>QV6f6%h!A1FB+pl4A{D)>1vs)4lBjWSzf*p(Qv@%tD&6OU|qAxF6ydCRkL z1U3OgCgZp$KLBj|6k$6y?e4yiA*J2Eol6U^w8tSqI#Stj;4<&FZwC_8h8$JE3^y+t z7a;OSUZQ4_8P37v4}y_?Av~-Gf)63xEGmtb&@(q)(KJo8wL7!7I!8HVMs2zcIIp1_ zfMfmj32J^&AZmFBs2&Jmm#Pu7@2R%#GgGbGG+=iHoDo|qV2ZaMHqVz7TpCRF8VCBs z>*OG+K+0KV|Bj3?uCBC%Jys*&(as>>W~)bm9K?O#PKSA42X;J&M`!OP&BKpiZ%6bl zqXp!k2=v-=0WsK4QR4hk@h5N zCkwTm1#(~cqc~GdWAoKW;Q^@qV?e^>qY^^Y9J|g8G#q6EyOT%<+V49M32;cI7%`Y~ z65_|&!oFJA{Vgw`TR?RK=;??gfwI@Ot?{c1jy6oqar~!kPfEvK0|r;n(I1aPhNNq- zW}W%%Cu-5MnLa}=Xr&<$d;?dwDYQmRII9S}Xc`4ck<_W`|0Ngpd;H{6~2%w~_hhC%G-+oEgNKj3; zNg~3vd$M(P1l@JdOi$U>A0h+%wPw^fx4p87O&;VnSjav zTd3fFpX#9fLis+vSguCd!cclKo_`PBh*6V#r1z+k zr~zdZnV~-y*$P>wCxFRLz5p+n1!%|OZ)vRmOe@Q;{ys~iOEPXOcv5yFiR@3>n5#aZ zO}27_U}(qkGL*%+o7+fbS2A8^XK3waFXc=@x$(#xT=d z@-xOr(ST%apy&h^a~JV}o}Z`i3{s(T#uK3J*F2{vH4ZkZv_qwfJD0TI-QD0`4{V@< zQyX@suY2~}Cgn^1V|w)0j5QrR1{$3P6ogjMmM=V?y?IDU0vvoFBujbIS-T>8lDcwB za1CI`-YGLLdfeUo>RNg2Sjwd`CpS#V$~w>>?zIhW4a%|y=XYkJTdeGhu(Sn4(Y~VV zZ~-aM%o)mI*w2wL>f>@A`7N`K10KnRgaz)JaWzA802ASvm$i3<;dYhj5oy zeP0k*Y)B5T_Tcg|hIbuHCXFSXsr>q*B6fn%KI5-?fFFi+5?fJ}SKOJRbTRaaj?bgr zXObKzWIZjrExQvD!xvYKan}MzV|*Rr_aS^QaB1@ z!22n!4{mMi$9*WfYOYJ+)upi` zZx7>}V|jv|x&xjVp$k6aMu2X0rTBumx_wlhWM0?IMlF(6rCI7(&-Nj$IAfBo7^S>E<4IEdtG!Vxag#Zh^ z_};QTNzd;9LDhT{x(iSG7m%L2VBQOT=6D*Uir#s?kvivYJy;tk5{sCRLWUTia!klm z;w}za>4%LoIV^M~e-ui}-eG;i%f(SKdhxmkq)bk^3dR27|6Z9-5F6(y`X^;RO>6-% zTD^yRIuD_8l1GT^#rlp;8)*kEK1{ta(OJbw-(#8sFExX7@1E-Mmn*ubdQErVs&c3D z>TLx_{d{{kAwDNQb#i`DJ}}4c%S6)q!^$FSSQF2Al;x6QfGPgl2Ejd4EOiG+Y{e|h zOk?`}x_bos1Fm0B*ESZY_G{@cHLUx0Aw4P(7uZGQTnYj?td7}vJlt)7B3)ZlMqrbnbO6p4pS7R$gNLuiAR9Hp^IC@L~S`J@Mj}d=b&%GJb{t?fS8>zXt`WrHYmLVK3lL?l}57&IcRGMjdVNUS%D~ai> z=hz$f-%TV{mYGbf3`qch`L|Ze=U0l#YQ$pZqJ(2*)MTM{`lH+2EdUz5}uAN_erxzSg$w%EWo4r&T)Z{0CR`umgvo)fT8TTKf{H)Fn>^w^NUo;WbYC zGGMG27{2j0PT}vzDj4~6)x5y42N#8rK*L7|V{S^LroXz?)^Q~x7Bna;^GWW%n0KUv z{a?rH?S0gIv4sc>!i~;Dtr84^_LB~GDfg=X!^TVeAFvVsUlVLd5zAi;BblWRE`^NC zhWRlwBeNiG$dcql`0V(2yjhZ;;x8Ww`O{Qm^6E0_Z^jZKtn&pkcFe_9nd>jC>yo#3 zU)a%3AZ_03n=+oAoL%sGgi`te>Xp&_slN)L?qMf`{f4pFjMD{s-vilEKR%023ikYQWJ2 zw!Bwh{9=Da8P2P+PRT+f_$Tl{@7U4eY#tWqzdp)w*2i#U`lK%$AXj)prxH$W(!)Wi z%_XI}2SC|8-QBCNZiW`-PLD5}SP!MU9}2HOw$E}_(TOJK(qb`Z9c8$#5ROsNFOhy8 z5}K;6rRVEWk8Z%|Nyr&Q06-85G|X$g>(zs%>!OjZs7$NnuyXR5R|+lvcQHo)lSuCH z%*a8e+cq|`kTaQykOR|M=f+dcEURDbtMopbi{76MG0f~sDNuaHO1I+8Hm}6#`3%nN zxvJG4$BjQ3=a_n%{;Vm?BK4sF?Ye^i?aZVtRXH(;;`;{!u#pV+TtVIK=Jgw5sR7$D z)1z4}YbG0kFB#()NqbRr(@$Ptn8-w@CidDaWm@-zhJCdPwnQ=n8w zw-mwxk>^njnF9m%v=0tlg124gpN>{j;<`KzU#6Woq_SCKmL5WhVt^&rLpIzir_d{d z%mx_c%PScLC}9hBo|xUDlG?D)VIdc8Yl@L@d=wcwBFi69yE}D?MO4-sG72B+kx*q+zJjI0H9nwg z=u_YN)u-N++-74n5IrzFhGZb$1mZNzE6HT3e(RQ~s})Bd9iX;v!0xS+1!$F(9+Az(P#Tx5-S&BJSks)E0~-^c^UVE>Fq@NYw_22P z26~QF5z#oOesi)m;X+YU=(NT~_-D>n{#sxH+M$&FvPgB&3a{_pUhj{bF;xIjmx>W; z0RHn%U-E~Jck_yS1}cK7)8kGOr%`v#)2#^5q~fdUK)0!<9z#3{`wd}IBa5JeFgrKU z)Fa?|YkY8gKalK<#jr@Wr#lpz*Id4IR)|MHaqlY~*KW@P8pK8N1F)pYI8hSW8`4j- zCCHNHwY610NHLbPqGXf|I+HM?PZyEpnwuDGwkb8>%5TV9D0L9TXiK&udop2oz(|he zyZ!{t0UiP@^yy1uveMJ0*^fhR)!WkFPhK*A`^Iy1>Xl;LUwHTbrOu2BMBFGNg+J7; zPkq8NvRRq&4x`C7;yf9&c&FXcD#|`?Ic4%TPj95;lTcZ%I03=pZN*PX(kTd&O(VtO zm6~Ckmq=C?Vrf6zKG&qp8MJFpMPv+cz4Hmpv5@?XTk9Uk(+@BbjG62o4e+DEcQ}e- zpHvJMWhPiZ9V@8$)_gO1VDY;T&8oR4m$Q9#ODDnhn-O1E?P|W42bpEg{iR`n|MP!g z-u~NZNb_%SlcPu3^-_dqMl7Sg+i4h&vLVM4+$M{SifzuQeQ%As!3R^-_1Y-b`XeK> zvYbR_n^{Uw=;!WO(n){)*eo5<2;NaP7Qp)ZLM@Y?&}tgKKSk? z123NY=hLVHd{Q9DW~&Jtmb_ z#;5Fz)m8*!pKFSUbV4mP3+0O!b9jf{a&;csy_{w&2-uG(t!Vw#a^>UU(_=v^$NbnW zifH`TF%sKdqRE8kC_AcCf-dShjw@;IY<8Kqb*8tpH)t5#D}Jb5IJk$v-w$oap+XB!O#5*DLSa*wVP9sbWdN$# zS3NkkhdLcpeft``=Ph|v-gCJHH?ZFPJo{WxDf`>Q;aN29r8I)2=H~M|6KY-#KJj7V zsKdGFKgp)E2nNLKIY)y8#iQu?XreTOdw-K|swq@B3_Ib^uFnM&M^ttyT@2YVPOfZS zTs_&GA-&;RD@?A4_Ak8VCrvjKvi0%ZK%(`EP_Nv36K8UOFl_Z3a_LT85*jKs1(PT_ zRiXg8E@YH<>LF+~E|nEMu2q2HGnOzsi8;+p27*6hKKz(t8ZhkTVjRpIsu8mC5$os( z;N`ErCJ3Jmqe?X-s_x8+N<07LX5dPLE>++dw-SPf9RO8dV!KtQkU)D zWmYrPT}iKCCS66+v2rgo zR`l$;G?(U{t&3b2nJ=pf`J8ffY-#d#&hnVkLZN3|+zrX?I(3zn+Z&$DJ&Vj-K1;T? zKI}67M+j1}1@RKgPr1Xjdz<~#Z5XYugSbAnbOS=SCFciD6JxNJ%#1PWW} zm!A4EfGCl^oB2*+iI-SjNN9#sxXV61BP3szu3_=4N%dS(>AhM*bxg0QQd{o$NB%L6 zYalM}`R&U)Qrb+JZg(Gcw1DG&P}LC>fIx~)mG`KFqo zcyZGS)!t5a=9iXlGTjT7A72}Ex;@pT`6->iEw~Q@mB|(#IB3l?sc!9mAf;m|;|v!5 zCr*q3{=C;dnb1G-ccr%&;{z z%AqY4e}m)+oi8dBdHRfPYUAYP1n&Ba!46hH(za#c$Lw6JP$9_YqR*>}tqRrY6RAP%R-I#1QwfjLaekEa0>1>ne zn@mLE@KRep4mb&u3JjO2J3A8D}86sRHbMFn3efR37XIrJTdgS_HCHZ z65=SbH8u-53|(>T?1Tl@-v1=p*d;UzB~3ypqnJI-Ez&eCbrYJpV2dDz361!lci5U- zmhpbtVY(Jp$i)9y+LL?&mVDom%Yk7}=*;?qRRu%4^12Iyk6b=DB?k?vVo9$Nat4=7 z_jzmDn?$|D9Ziili$0fu(a9+WW5Kl=g{!F$VoKkTRzm4_Ce^Hu1--=(pW~e#dX2i- z12$`;@9ckRF0)F@eS=`@8+T{=|Q;9VLjQ+Vc%If2?O6 zDEama^-BKJ@vAWe$#f+R#oM|u@#1PXRi524h>J{fNZk3RmTe-{pi1+CRlsbgjrUA* zwrd#oJb&6O*m6t<71+^BL)u=*oR5VAue$hDY58eyYHS+mOMa;@@JibV5|FKyK;Fk2 z2A7#iR+sb}&wQ}v%)C3H`1Y(LOux5`;oK6CQWrX3Gyie!1kb=(bt$pNho2_6!I{8Y znb?zV5sgPu?|7$DT!4UU$a8u|{R^~F&0`jQaLx@ungiGcp*XB$NakO$4<&xkv54$I zl;Ej_`KDUJ5vh{B+)+NjBPw{o(zU%-KMjFpzx2LoL$ z@4PbC0;8X`E^`x2amFmdoCUVM3yV9)(<+IMI3JhTZyG@bx}v+>l@~2_=GS43Sh2L+1sk}^J47~L0 zs!@9QTx1tBlLqf0jk!vEL+W{pX5PaSui~;}N6Pu@G3U7F zRXzpvf+p8lq2xhdxdOXSD;Lk-NoYw3Z-pN=aF=9-W$AC_Kus4`3K46&oOLcY9@K1oojOD%}TPUIH$Gc83e`gV0=x*>~S+FHh76cEF9{zDvfHy*vFjT%+hGh4fuZV;X zhyl$UNEoc7!BZ=tZdKubGUHbbEB*?Ru%O;Plk6t+Qr#Ri!vOJRLg@K->#$PUs-Xw= zNFm0hdm2hCN{hExz|0^LRf(c|oINLb3HKXg7$ z7#|`%238>Z_Uj*0_p!tq&lJVXS=M%O$hB@3Gdtb7%LB6=k9N*q=Jj{1@ByZ(tXv+EWo=4FvY)K0b7`ZQ8PVM|orOBmT1k{43JDiz_xz`(g^V!uS2G9o9`Rx8|@x%Mba;M1s#nqn}H$?R5dXihHM+9iwlrDMtP|qQQO|;);AG*bNR~&Vj z&*`{Lnh~8v*!9pLE|cx>n`9oa_c?dif1iHPw1&Vd07Xbkga6S7$Gg`kKsJl!Xv_RX zEFZKKppoTru#TacwhV-l;$G2jO^?x1HQs|;cMb+9NnJ=3WW}-4`7QD+vxX8!JZ+yR zirRgPW2g*U=-d!hVA34#_M|&G(5}_6#XKjSEGT?#_5QaZ;SDKsg_Vl}qj4km9*e-H zI6@(Zu%CugTm!zs_O96Av>;vdkz;kjso2zTrw!hBMB9fi z!t_d?OI&Mtu6ER?=O_|iqyV0~JKw>M{i=}49R6i#EG5AGdsSgTQ*6WK4{8e5ta-e& zZ^ti#q?%=D$@n+2)}w-3jwh8Fbz)&1^rURCHT+ZS`xqt&!yxK^Mdb64cR+2@84!uF7$v+dmnr8xF?lg*#; zWr!`s^1sHHq3Ou*$XMrh8&rB^Ydr9_BEV9Ao<*w{6hoUL^{5vv81VgzKODHt-OSnV z53O|;W!ZB!n!i1xN6K4atUz-U)ard!8TL)s%hoIAeoU_#E{|fA*BqmngZADh-b~eM zIOzY`Jz{{YM!wx#p5EMDsDWZbYE*DWYkX?zS8i^EunMMa)itKTDEd1uT{3ktU2^Z< zV%ArM(55l7vYLp%^Lm>qvUHi~#k^Gz3&0-9w;!smf4vcMTthLxbG=T~KF7SWth)S3 ze`C_4YLxJg+3U5>y%b!-Tug_G@}&H8qGRW!Bu)&>c{kZF9Qw7T1lW5y<(g!5e){8W zD~SC1$iaJ5aGY7opm@bgvY4wN4VvCp*p2#S3fDvWDF-86TvpHh7>B;PLj8GZ&ym{Hp*()`G( zXqCP*qIA8nzn_U&YTA~Hc%4224;n)SDkw|s?tK5&vgaNlaZ2pU`!nj7tzIGJcf7|L zHTr^t6h5s&PrD0^>uL+}GC#LUUhzeJ!^*yxVc+CG z0Ln9_9ni+PqOSmG#LvlpC7ad72bq1EFAAN`JJ{z`{bZ#ArV{vhFF(VGuQTrkEvgj$ zgDOU752F${p$Ve_qbalmMgVKz6tJ!Bm<)?qq(F%}JBY|m-C0YEUHro6dJU7+!s$z= zTIq^GB4}!J#kS00OikzW=}Soj2W$8#)27HOt+F3`^rNu$Z8S@Md;Esd<3_SA+7}As zbV?cEjywh07Q@Q8?$gloo!Pf}*2)46qTK1C#Cm*E`)XDO6;p(}%MUouqNI4kM`la< zUhak;mHz%gWR^6@ zLUD-dW?v9#Y`v*-z;#~5XEDXRcV}_1?ETH7UAD0gB1deaiKi1u1_Dv6Q33;k+yVNW zua<;bI{6A7yIUHBr!Wv0_1JR4L_#@Qo*w%K-FHnTdzL*~Mr^Tu1LtlqScWSW;jCLd zTCEF7HRDQ&=;Ru}Qt-rqZ44o7VPQzt@a8l^2e7(rAi;&5(+r|kYmisb;#9_tb_T-7 zX;$PMGz)CthSWPXai#6QA(O-1+x$#qRe@u{kaKVd{a)%(&*S6j-`_tNz#5m)(Zq6C zKiX^AuRV0%75ixTa(Sz81dm^coxTGPjT-$8sb_XMz1kbFa1wRy#etYCbCLq3LfpK&Ti)NrA>9@+}x3?77Rl7ql3ZLzczsu^6ltu6zi2 z5WQz-=9@#e89ANumav1?8Ylx=&$gn?qH`zC6O$@;X!Dfn>yVco>gR8%We4vFG~Hpb zy^3M>m9-I2!J)}JH^Pt#)Y!r0s;mgKUAY0vH3i{Gxbk1VQftJ?hoK8`O?OId)`A?^ z!n0%Th7C(jJbFm;;v}u2MCdjs*of8212sazvEf^0@arJ~DhiMn8m)U22Yig6W~NPC zNN6eUGj3lYsv360^ExpKTeK;2HJR43_-8DpiqUcfWQ2%1pEn$R=qhX9kfOoWe?i(? zKcY2?-PFiXmi4aAs#)10FflNU-LukoCgSqbj{6t&sjB0TjG(+=rxf)S%ZI-az)@bQgJsgeyo0`1jk{6hZt~xqv zz~9Zq)BEftr-S3E^zCNPN3w2f4J$%dYwq=m7Pb{8r%o057dGhoWgBW9=A$di4$j>g z`EUmKo)GX40}DULOB{D8@Yl0~K;!g+YL`Ru5Bzuhj3AIU)c-wYmN^#3aA9@KboA6} zKKCSVxnJhtjSa;wwp@0lPJxAMg3X1|CABFXc+@!}qY1uWvP60B{gu82rdV1^`XG`^ zvD1|qtDhtIVm^|zLCdMdu40f$F|-{GU``le?)O!MOSB|vk~BLHc%aKB52?I zK3c(Sv~StwlFj_;=xGo4bx^&i9rTH!FlU0lT6q0?DnNkii$G}G><47-7fT1WrA$HT zUn|ep8Q`aDkwR+^J=qMO{#=u~#JuHL>|vv*(RsaLjodyneE`<&8TjdX9Si&YBX9KP z&M}tVi!XPfwCBZBMo(lXnVuI=MV_lTC=)tNay`0VE?z&<;VdNn>E+BP{S&uXRv?kYr6VDe&J zBVI`JJ8vjBMcT$_VN9TuvC*rGeP*`9_7H!!Z8ukI$BF1|sitQUZ^0{V$uA>z$4PAa z)iOz_e!wgC!X}6Fa zb#`ee9%fT9_!Re%LXmugXJ~|up>$}tY-X1XuCq~`XwldrDC_g}m)_#@ z6Qh@@+%-9x;6P}Kr}%=ml}^8lzg7U|UveUGP;JAmDbV7HY&QTKU|f*`ni#bs-Q+9K zM|A!YF42VN`K02WJz19%OSuIN_bK_@8Wxcr8wjT8<#l8@{4(#scj1e-ZECZnQAJbB z>z{64)90_+)g``Rur(|{>8het*~Dl22>pU+orSa|YKw5X2(Ko9Zw-ZA0mqr^HIwk_ z5?}F}4Lu_I%PGVem7F}v{9FbP<=szM(HJ4Lph`O3f6XY!D^-~&M7`U|Ppxh8+HV3A zofrTf*j+>J@R-RW_RF_r^2y9w3JbOTGhnFRyImLwmr7znYq670aty$NBQ{$QTf8fn z-PS){37;ZgG!Z_~&rGTsP8^8N9f;q+Tg!H3mj+a8_3aaaQoe_m93ik6&*Fv z6(%=tHMp4AuJu*)W`mRcx!F&G0y}^1uy&7Y93Hy7@H#2?6H^%qY{ZUwahI^EvDA#` zPIq%mQezO4$Zc-4%UAq7DqM-n{$XoA^NQ(-&y}aPk0jia$vtp*@ue&F*%LQHGM@r3 zJJq=pwxtlU*X5@KY@i=ITY#Cv%&AjPB^zC8 zQi2&VNQZp^%d-Bb?;d0t41GmIEcGGi^WtCTbw>;17d$M}V!R^mui{Wa!bSH4_a$CE ze-d~dy`t*qdY7OYiDPzNJ51XW6+RzcL|4S9vmr$PQLq%-&6l3(cn2QK)`gR_eY*ZY z<430}OPvC~Z)AdbkUO&Gd%a-sb(bC&W1aMe`TNYjKFxA=)8;1{#Jd)(JU24Ax}5!# zQH8T@k2aCyrJ*VUPha5kc;Yi1Si_&=>CpRdN-AmzH8t^#yyjO+S9Z00R*~`chXyZ? zsu{OHzNv=Q5r1C8&arI4{O@Z!wPOzkew7h3u+<@Vo%uQ|U@g}{=t$-x8nKaJ0u70A9xsVx6BBTo@fU%Rh9jG6#u-A_7@wnGjBeJpA;=z15RX_arW8$n1u{JkfNkma1)AAZ z2H0E{(UppsrMdwXx);m(F)Vr=ajc=BKB1y7tDR|}k!V1UXaK_a?raAcHr0Il+%f6# z_r`H{-5J+f0q)$F4#_uN9pj8BbZ|X3AtWBQc}CSBt}cOA ze}HMg?((x5)Y6 zDh7Taz$ix~QHMALRpd{UC?mM^Jv{0l0hJFt_N(E9#YR769NkEgPXC(IT*(yEdhF{* zBdu~)Ezqu4a!wKtQZ)vhq}UC{T^z-=0X1l^&U0Wm$)=ifyd=Ze!9C}Od3`j$A}!>( z-$B}`vUyA?9XXl>tX?a!5aOH1LK^rD@id$+rBO5J-C6gz9}geAj;k(>@$GG`gz7B$ zwQ$$T6GLY5$ft0XrOj4+nQyyFV|G15WVOAwb#*&7#BPO_vcD$};6fUAQOGTOO>O1f)_qWBn`XI*6lV7WwYsg`$hX znxiw9WVs$Spl7$fk{wt6SmKY=nNdDt<}yrxqgz~*a)}RONJ&M`WdrMO7^d^j_Xzzn z7k7*6pBJG_}t@7CDFAwBDe_+b0 zVd~~xKJ6%(c9NGKC_Kb{X8E`C1j>T0$=xh>?e-TWW*iEsvZ<7Qtgh3=(8UA+lBTZ8 zPsT9FFfu$*F` zkS}-D|93a~KW_Pd{?`eSwL($smb0*B#e2C?Ny}lFvf27(1Dbv+sxyoCJ~EWfjzFYE z^?l1zWme+#nAujaU?iTmcc1j@05r$xW$`yp%Y>@kVcUZSw_w6KyG7pnGgBo@SG%ZP zShZV+8CJwcsG*9LN3h6L-M6@1JT843bpYEw-~hj5^TNoRCw*5V{T>O4Adi7Thp*kN zJ*@fTJsc$&K{k&7lE+LpLmqifDd%K@rI_Qsg!bO*zM|&0Pj{#9>F)eBo}=&i+RK<4 zK#5^xS3C+eIirz(1Y;JT>(Yqa|08Wa4KWC;|5Ofj2T2lkOn?;A+~(amQY#OwM|~zo zIHa=Qgwm5?17-QS3$<^wv=un2Tcu0i_TFAhwMaGDKk+zXiWM7jM2#&#g%d&xF+_bd z)W4d+895r1jVmIh2oqoy+PW56?CuQ5f(4AY*p$Pk;~=EBW>Vf2MlW>2?rVNqNc!&x zko0Z^gB}fquHq)Pcn_=k*KJZbD`vtu^^FX&q$huvFTxe)r1IuwOOEPDAjd3hT_z8f z{$54b4<@6OiCS5HIi{5Z$^KgEdq9ik zM5NxLx~*_s9%NDJ5p_GFY8f0uSDkXf|A}ExIAwu9x|ef6am-N3$-~y&gD)XML$TAO z4>Kob4ayd<;V#{&ZS%d3HBJ3j41i`AqVm?B=`gGhlmAyG$M7E|hxPwl$#D}F=qP_i1o1M4m8F+`MJ+w*pc~YX19nV@9>*Nkm@yuk3>tK zkl8@7_{cW`ySy`oeTmWu7sE5gmhrPsnF4qjYbLvKFYG$`H=3^mn0)s_G(peRPUTSE zJ6*dtG$QfJ(@TDa^qRcAY~f}8QQkM^ah;7+R;RRJvBBxgK)TCsTctLqXooY0$zm6V z8LAeJ-_UHyKTAVLI0{@2PrFX`KV0j)(N|jVBK6YCEI*|def@)3SK3tl%U{V~r;|O` zeN(JCEk%L114O*R;4`F}5tEoht!=H{iYIR)2VMv+U5R1p2^&T?xG9c(*s(>mymXS6 zGBicEp*ug?{=1ylq5}6;;@E!^mK;5Dg6Hz?@e0xNzQTQO;rndvlB*3}Oh^!y?Bl04 z=g2moZ#0^cqMtC>zUrgy$O5K(bQjOb?*~dbGfa4Shv##jf0y8IH@!67v%PM#i|aab z4$v4y?B}(ZH4HL0J{p?h+dO=4_scFXqyl89hYW%0StcSYhCo^%=BoETNgiueTrR_uCwp8{g!f|%mfIVg_ zp~+g|;f2sme01aTzDg3{31o<`^{27U?^&|m!Kv%@NL@4ZF@3Hb*$k1mEyqDv-<&YM zzv-9w%eO?cyU0C<0xAvR9@0mp0=9w0MCN*$w5JcAx!i7BroXN2Z&&=8sN8s#7^H2# z<2VrV3@5U1aV)>)N%V+eFZ1M^90C500^j%xxFwu}?n|4@C?9d5c(JjL*)JJPs4U+v zcx~u($S`G|yQC%iz~9z`PBPXJGfz=pLQ5V#2jqMpn4Pu@LUU_^DDx!$T;h}ra_uZ) z3PHLTt|_#R`c+>SfL9}jm>5!AsM$3W{Y1xs<$CfxT%8!O7WD#Z6TIIiXwgDEY=dw> zwE^vq;LgJH^@(*DdA_WS;E;oy56t0eMLv|{+CG?|(woRLOFhebi|*5j8Yg~wD8dic zj=9+A_m>HfJMjZ!`w6YS`omGf>e_5qkNbS)y2Usd#zDu_E9m_ZXH{B3w(+fyv26clxntcGPc!wml6mN@#;7;FKn{1hRA@sCQY3+$B z^Gfdmj71X7uUj&x<8S&-OkHh1KAn6U6l;#1tLS4Q4ou<&g*tJitJkE2HOGF5?neJA zMm_yxQy2HWJfd%i-Oli-&gF2rG}2k|pFZWNQMT)`u(5N<<70*ww|e*%x(h-y_wX%J*&lyvah8l&&4d zGLKf^9e=>3hws1gK0=F>mYR8#{Dq9l$K|?O@2~Tb&;yG~!prZS3r!pZDh~Cm5tGpz z)VqxJH5+x?bl}R`&gsW~k(g9s9nC6#Q#h{^SQ({Zx>}J-xnLmE)M;UKy`VHV$-(UW z^^ui3vv#B>(M0;KmOE10)8S8};e?1BXSM*iOveLx$PbxaG|P4OJb?qwk69bp zj|_`^d3)o8l~#=+)e>%ci9zpLU=qLucC5aUDonRv8Dy9!59c5T6FnNfX`On!;R-B_ za04>kYABw?BTh9+)z+Q2M68W>UnZLoOjLY|^gJ=ogzU1)1 z1=0U7+rZs4u{fjnYvpUYGk*P1OGu6i@-c{~O+v1jy*wD0 zQt;5Dev0=S!8YjnZqh|A{d((YIkAevRzL7uaqZ9)0||ReqQEHg zoQkdNtqvNiB}F@$-K}9jPVD#ldM19$+mcdeE{QlA`6>){If#&UMh3eW3d1rIzNhBb zX;)$5pVN6J1(MZtHjeq_93`0jqv@=e))PCxSxo&W_`~jIo%I6 zs-ShH$>~TmWMm-b+yZg7^Y)Yp8Tnli1< zElgA9?U#*^v?yqtp#k}B6I|zdxbc_($;pe?q2KD6M_$@0RQq4oAUisMFm6gFY|ghI zxyG7GlGe=nKZjo64Q%58vW%?6TjQT*W;*v9w!549h zi;_j{VlrQr_K->3+1r0uAEkBTJ6-!@8lmKg83JJNv5D7_OEwjtN>c$9OD?E~1MP|d zNfbONfS?ta7?au0aM@RM2h(nNlJb^K7IRAf~#D*3m?qe+riR_04tlJ)$n4}MK zV$!szhIwb=$u!0|?l|?U8lPW)@h6cnGkKthU*A0D846=2!tM<{2;T>tXf=GRk|A`z z2Nozm>s!Q&o zH;_1p6hqi~o@)LMCYue&Cl~8gnDGr(C^Yc9>?q#e*+2eDJ`{}gh=a53XVMW<|G6NJalDKl%SIdEp;M85b@IqMIYmD}_3RT`xPAW*uTTO$%Wf z|1(iU^nWx_q`e6{fhaYV*b!mt;xS3A*YDFY@ya5hTQUctt% zt3hoNP`_X!&www;Vb7U?*sVrTcx2o?KWQcGB-(zNg!J@5_0=j|1-`rL_*UY5-ZnY* zX3L>ed-rV+Xj`*nhrUJp`k$&k{>CT$k!3l4RuACSw^q~-yq#uWx`8@DY`39~d@56S^!34L*)_=Aq zzB3N6wlA5g;{-u4{)}bUE85~eo z&x3R6Ao`^bDZko*dAlv%;AOrvZrkLxMkG^8HQs;HP;;Qj zWm{qOlG3lrKfc|s1l4d6Oq!Yf*NIc^AB)V2RA~WaR#S8Dz~z~92IBSLeVHt)ZX_0N z4vH%a=;0Q!xu)S^v7e}3_1DO^ilyBrAIaX-xI_`^>LO?{u^O8UD|NrQygXRQz7dZ_ zZVL|gPBU&YY`%V(cd|`AOl{nX8JfntFaxa{j$9@9ioSbSt+fp&qojzruN9scAvtTF zB6TQ2p%3-no=KZCoU(MwfGTe#V%U|EOR6GBzfM&|SS3nD!iz7VnTWBOojJsBK5_R< zryd)PyyS=6AT1t*ZoOD+H*eaLFQ&&=whTMsThxJGvq@)a{WnDI+p)>unc|}Yq-_xC z_f#*&uI+#$CUzlmon_zNGG}74_4$XpeKI|#hdb`h&FvPQ&a>xVdigjdkDYq5+%%QV zUgvA;`Jk4=wDKKvdj9oZ>XuMzjc9$h=s+E_g#%mGBz6#Y+$+V5^}Z?&j;_@Q>FtUh zprzu8+-w8N+#$J754B=~0-UC>Ad204llle^q?XZCsZmeS`e;vsp^Zz<7iYWOT*~Oz zf3y@@g|sx6$sA*6x&QWEWsw0fl@)o^>^%8gGm@}|G&$ILllgW$lu*KoICXN*$!{4M zxkqL<8A%+d&ZI63uQ1yj@9^AUFk~EyZbw45KeXwimmLv$?T*IkIko_@knicu$PQIx%YD_2<_k5{}jWQm}jyc2?Dl zmSh+V6hbXye+J*&`yL?cLofjA$b-7kQSABgi(B?wT-81ZruHPf`HzA8MBE9RmZS?W ztg?6ME)14@SB@zUfal&4YFuzH>l(kZ)p>y_;c6t$?ZM<%v;?U}A_`{{pM4h(4Cceh zG>BbIKP?XuV~3$CJA+`~xAA=LER|ymp7Rt+SB{_5{9Ly2yi->T8L#-I%#Zi+=P0$0 zlar%8o=~cqxe&D)sf@TY{5}!MZDI4JGOXS=8dA4Yo_$YXwyg3a2~6pkUpJ zfaXLQx&zY+V{5~ibsbI?lq7l`dBQ?9Kj9J74z*H~O7?h8c3dR2Uyogu-LuBU*Cb4l zul3c9;^dqsT{&aC=qTT3{FCyBq&l@Foz84O@b$-W|6+7s${_I4&$ak(pG#i~xAQ68 z@EzqvT@U9CGoFZh>>Xuaw(*I-=TDb7QUXxJXcr4V0+OoijimTMDJO=50)9h$kP=mB zykYBh;!f&YAPhMVx=5>`$R*X<>&|#y*9TPYn;1@HYxEZY>aYA!Z5(V=Zeg%6-Ft?O z5#3m~s?J&U$-sLzG%oMSjsvx|VTJmo3~SY~#bNaC&Flz=6Nr5V7vnQk8G=&XH(Ep{a31py@a--^_Rw^jJGd!naEdy z$NUpo$tqqusaK_@tI796L>$<-vdEQHs1QE~z;dN#twVR8kRv7x>p>J|&(w)> zQ|DvL>KQa?=lrj0Ax)M$p&NbM;(*jjpGDA_c^0m97`8o&!%_|Ij0#719~$!i>lWA< z)Y2R)b;dkg&~jpK{`tS#IeU7jtmgJ?^C@X#1^0#L&gehB{B>v51TGQwdA7Y$tU+?q zwJ(2u()-F8jQ`uTiVuuGf!>gw;BsT3zH_gH0@GcouqiL;z(N-u{z-OFmd|=!d=*v$ z>jry#cFtAtr0w$Q^gS?teB4?;V-&GrPYuxe592>pK*dkH3%mSZGJ!(yqVwt>+8M1s_5CJlt2@Cqgy(NmwEAK4 zw)2sJqek)Gdku|I{tD`<57i4;N_Jo3OzZhm|(;)9L8j4D!!Ef;OV z&@@0lPVtuUT&fo;CclZk#laE^v#XV!;(yy9ea^hQ$83@zQnUh5GaWHTIAlEM=+93? zeO{4|-5EB_dGJcrhkuiW=E8HjuW5{>zyOgj6I|npy;h(nIQ{c*RgRJM17j6D;|${r z$Q*8AagV>q@?y;VCojv{Vh!#{_ir~=PN44*od|Ji&IXti33p+XT1?h9#d#(E@ z@*5Jk4kmUmVM@maFB`L%hmLj|6%91D@3ywgXEUK9z{c@un=i zQVJ)Y$K%YoX>rMqM_Rn(oxX+M4vY|&dvWvPl48lK#pJDHp3dt+AV?Zaf336ra~eZD z7aQOQ*ZhIA15Nx5N%qSJCW6636;InW3&4+@z7|||f}96#@swOy{*?+S{`@U2A&VS5 zJE#c9Qxw=@dN)e@bh~*YPUi%-Yy*4?@iPV~>n(@s$kqeUo*of{?L3Xu+5-*8sJQ7} ztmw8Tgp>`U7iDIr$+0)lq&?7Pyj_SGZJ?@6s!@MB0}svPG{zjezxAR24}uT1vB)t0 zWBtB-8Aj%gXX2%YZYT@-MOl>%NgaJ6!g#socsW^=F=%>+9Z9AI+;?yWl_jWQlf;0b z(ty4Q2%A_8^uIzxG_ypFE+wXpJ>y1odXBM#t+!rckFkxC_a27dg8p;j#D>yQ&+Z25 zIg|z4MrNGN+|Rj<@NxHP3D`@nq@YDG!3QKSpg&9xlmfWJ6y5@PNXFmO75;*h zUZU%uw&2B0Q|on*OXmzb68~Y_f2FhwJP|J`8DzD^l|lcV>lMcPBc**DyswWpS(==2T2)3=-?>R07VaanHjDF% zcCcVgxzFKOG;)5z(#+rVzG67Uk4|4oTfE!2Z{y`S@uCk9+r4O>{7F@-0RQLfN}&5; zf_g2rENrV54UY{et!`--B%L1(av|<;f2$G0B_rzD>K(il+XAhzJzG)eeRChwZ-~)P zu-DW`94Zt2sV8uRW2GePVYMhp@p?bvK~O*4ievd(9P;(X`4^t4_h~Ot=BgRzM$%5# zn$99#zswyeDsX=Cf3f%9VNI>;);J6zqJRP_y+j2BiGos;9#N?Zks7HH5osd5mnaBG z7Z8yeiby9SRa)pEy@y^x?}Qpc$ofs!KIh$QxyoMqJ$s+``o3%b;}vGi%*<2n=Pu(O z1GLrJdD-4O#1@_BIfDZ?eVdtNJgo=5TofebRC>>4tO0yu%ylYp9HK|IgfOdtHq_nb zp5%b9#_l#DYJk9MxMyQ<3@qZX3)C8 zs=T>Mhnktw9Kmlb05(HFY=|d=>RGq|&{A{RaNmeC%69qr{0qbsEh5U>X%3?k!*ol? z+UfXPwSdzcLD~URR?Q95<5vuycl8TPV^Yy0$lX%W*APeX@!G^0($ zF90Be<}yIRX%FGgC4g}n<|{;Ne=R8q0Tq{?IklK^IJ_|F8)?;>Gg_~v`6(g1RiynI zhoGcm=2}{*)ym`4Qt6j}JvkyRHG?3A=-C{(g8)j;q@_t`XeP>qa zH&%gXU}FqXY`;LjI8lopCBOx$V(wB$#fZT8m3bn+x^X6U>6ifS>p;O<_1j!kK=bfz zLimxV5WqtVVT8=80&;?&UDO%G#-yl=&=l82d5?gTFssc{k|NNniE@La8wZhSt7y`3 zX+yh!xF;NNUW<@~Q>fUL05JZkP0%={2UVS+{u-N1XAHOJ9%^2JZl4`BTLT1{+C3i> z<9xl|)K@$h#RsG#EM4y`X3y&z81NCPHohZ10~h10ED7UDNwG)7?2ln>VW(rfTYOkJng*PQ{+wHcuUj$#|afhEo!jlJK!3gDsZQ4V_WJvkWH2CpCWSt0rH9m1aCp-HiNuE_#ELw(glp5 zr+m)0OhW%ZHH=`@veK{&vFNTDfglv%$ z?Y#;OPo#Yswj2z#LRfQbmTKGejd3L(1|4Mg6C+Yk(&VNaUkGo7|a2y!s9nDVv&!3 zcn7F%(*)TNjx_^lPbz?yJ>%#dI)0NbPqv9U$os;CYYbGM?u(+;iOr1w!FENtgfn2j zM7zs~N^rbbUA8L~V_qC0W7&)96jrYz4N(+F6e2KaeZI-lyzl3^r>z;qs(LWG(S1bm zX7TWOevt?Gr~}g7_G7lgi%aUMxBOjagVf5IkN*WJqt;7`GR_??&QG)AY~aHi*wbao z$N}hUbI_UTO-vA=>2meO@EWaP(u1^xJ9gb+X(;qyL6*FVrI-5h)VAKc>jT$OkCY)% z8zh9=n7TgK!(YPgfHV8AO6z*jOobnuEkY4yj#S;gW^7`z`uy;*t)8&{jh!XO6jLvU z=N$LZ-=d?OZ<>fp?}{y)#$K~)V%P0|;lV z-JWr$M6@9!{VO7sby;4V*Q7|^gb>5ZVMop+|7t(fx$m`psn2K{*(cRN;?S?_$jN5j zvdTk!XxjLfwfs~#4ci#Ovf3FJLk!a^J@PDe{R+qNE;>J{K5vYXpR(3J1T~guidg&W zZ1L`lj5+LxL;TzaX1dhWwp*?wl-t_{egT&L@8H@$5(9%b!cmg;$+bwho zc55O~nr|CVcH?CH_a+E7rbYa4j=+-VjDH1CYF{KpulBVVm%i6FY^47T zH#igB_yIL})HH;cPSX8hwddb&B3-v(x)$LDR{eLetEGVwL&h}w@)f%+uzj)Oyth>YSi zV_0&yhv%bDNz2?LiiB_E%d)=QIFv@D==+;t_h1SvfCQ&v3gfiN$3$nW%R}gJPD1o# zJ>;~Z%2R!d$2_xdOfPsViW9+B_ckY@r}43(E83yRjTU7yn=D9My6OW{y|=Sb-F9gw ztDl;jA%z`Mc798BEgV`iKKdTZzXSRV(<;#z;8TTs_wB)%+xPzP_y<} zvktJJ53Hy9ydB?bM;|HO1_&<&LB}nl7NqeReNI@~Y(`i5ik-#Tp=&LPtfj2ZGso?^ z2^hd_|CMFQ5O~7zbmkw~p*+Nwm~HRlUKV?-x0={X0$)qsyK)f(7Hxb9 zc|c2Ynuq1@pV@9{{AecF+#l7!_*o!{DOaOnCOIPwnH zzMAq|VU7i6*$r(xZr2SOU-2jo?NgOWgYr*%t^i)S?6n@gh@By3*cXo6_p_&NY_Ljn z9Rks`Y(CN`J%6@k;?3(gvSm?-zpOBhT+=jp{Hh{J-#{qx z!!9KbSueJ3M2=_pgm-rplktAqU(C|t=%=`T$;N=oAYAj+8bDVF=qG@iA?Ak6S_4GL zN7?v{u?ZTF0O4TQ5I=HtpW6!@PRVpUc(Z96de9qp&e|Ta<32P+k-A3109)uc-ZwQU zR3=$I1dH&CZ=5*RFS=+l>Bhp$1PE12ka&j z=mp($sXL2TtofY2dV*77x1*!=di`ZrZHPQYSOcJ{O$}&R!$;25k94MBJ0wYM_bbbb z^eF?=eVCkJXC-QDX8buVku{JrRyy8N?@1fVRV79GSLi#WX@eepnL2j++g>tVdS)`+ z2X9GN8-sFuz_p{22roN}Yn5`({7*RY&@#L|Lsx7;>hk6qbOb2PEt&U6wCD1&KAXSS zoi-mxXn9TkR-`WJ9r=#o-}Da1agaCC+*m0a`pITN->hRVcm(8g-qsY<9;ank2QP-d zELTWNb3NwjB*h*qVTz8_3Uh6}aVO%Xty_~;H&rG~YNms00lq0%m_Gax17m70xFSAQ z|5jup#9NeniyKeXbfe$WkGaj zR*L{`oWPZp;(B)enog^SLQ*E%8;TT7NCYaci0ul+F>dk`a*xprp$4 zUhhS9=GZ)sN>SV*ZF6whs*NGa%_&9~zvq#*&|wqwt9mw%w8c-z;D)0qt7ZjvCE}eraC_UR?@3l`)Y>6y_;&(-2iioP5#~f+Yc{3zTz@} za~P(@1h1Keox)Wp_lt!GoYP+_aTxE6xuNvr6-{-wni546rWiKA*1l;GOue5H> z%ktE&d+);TacAzhO%Stp=l))=ShL@Y!L751ncgKICA8wSKCXG@HkWQTp1YBa$4`v& z1c`&gX6yb%g77S;W{|!9s%nYSID5}K-`mVr9cA=2-zplXPmD{DSgs9sErP#xdsDe$ z^!T?QHGjH2xz)S7hdi7zE!;i!Qf6VgtFG$SG(K)g@M%^5LU>!`bj*|2Exg+Hw!&0A zQO%Ycfcjmutbiv3Cx`dTo)}(?*&mFFJiw`1yyy*~7e3Q%E7vmrQXKu`2KZqSnv?yw zj?;dypAT6X-+R{=MUEMiXo_d`xr8Xr0hrx$AA zbhcSll}kw?=stUPRkdB_x#xRMmWy{Trf$o1c;l>0sqGdnD@Xf;k?bn$y(^a*>&{K(m@7JON{Ti@m~ax zbv{82e*~{+!BmQT#7B;P>(A33qUC982;8w*>KplFs|nPkHizOqSY{XVS#UQ?LpPgs zdTxykEj;|fF)wz6rQ3@^_j}(d`(PZ2b)E8Kos00f#6R~TfehS`r=Yda>Yej`{$0Tk z!kUw9+!=_5h6vC)Sn(pxtIzuzzCx%y#T4(Uk54Q2ku9~nV+wb{}1#ee%-V30R^Qa@)Lww=Cg30 zQ;RPES~W&^An|&^OOaF!ps3{XKtaf@%SggOH~|v?*$2QKF`IPssa5r_!Nquepa>g> zz*Hi8KQ|&y82R<$dsf|>iLU_HZ)F5}bR5&ZTOUmnzSa1`ryNdhIXTQFSm^xxuEe1< zQeo-fW*;7wVkEtj4&qKa)B9k5K|b=P;=`62f2tJ5d0Fz!3X2KRfeCFX(JkXQNplBO zBp~fu zx|qpcIs8Vc|LbOuE1p*ue$x!X{6A?1k&&7@!t00Lhd!GdcqX^gVl!VniO;!jZC20b z7h)o;%w)oq`%yT&oqF328pYhP*coTE3kFGiiGGrM^0BSlvOr$V(Ui=ayzQ1rO1@!K z`=0n6&#$@6PP};d&ZR8tPL6PO5+c#F2`XstTow@pxs83}Feet1cK9(^EMt1~(T1n; zCw&$2ApH*mwd)oBigR!`^^w!akVeC_C8ie5qNg*j;4<>q@U!M4q2HMIuaAl5_Q~_L z-&#n>dHSsKd3l1sl_twW&JVFFH_iKi96<`!I^Ofp6R6#`u;zMcHkPk{@s}D`fCZK} zeUOJdEbE#v3YBJLv!B1OnWUY;`>b6LoY~UTZQ~z{JuSPFa8)Gj1^_dYCdH!C$&Wwf z@HM(=0dl{(R#(L)P1Q`$ih~DlC~I8$>R`ui;=>+wa8uraart447(bf(eQHr0HCW?V zYN7*|*J*o`rNal;lnz9CzvXyv)P(@uY}NCtt^LQee54%UNxjIzEY>{>Wa$$N4@;4c zq+Y8H9e%D))g`@<*}seERo8PCLF+TYH)Fp8D*h}t`Ii*%Qal-e^q39+{xLvgp>Bzi z*mfmt(dWcbA&3=6#Qrk?$OCR$@uWMf^hU%cBCyv}%i94*^G85`LkHwd)tOZQu<`+g zp1tS*WH|K?;!sBY&f<@>f03=@kEv@a{gI$W@MnUS4*)@nqodebf?`h+Bai|likP_T z3yXS~0&%dbO}`l~AB=_i&-P2prH$$5pPmU;W;qieahybn-iSLOO6`fiN5(E{8SGTg z^d|dDS-B<$Iyav^F26(enEC){za1&v>k1Zn!B~2i-R*|EwUMxF1|KfZzGYkc9l%{C z*rT+NiF%He4cLSc1LL4a9zok1fd90`4lJORA)xC@p;B7taP%N?0O3>pe0*>u`a@MI13wTLjO!qb5CZQRxd$Z}*Nb|&kS|2%d;N0e!f z#qVhi-%#i+hxh99S*aRMhRsvNI+D|jwyq;ePOz>4{D41y zhV0`7;kWJ#K^iJ5?U~=2RPH-!EZVvUfwx&3>(qo&j>uI~v(&_xI{5aZUz~Z$RYSdx z_!TuH+*mTHkA`($iKx%2I5e}|w|2$bohww{_UzxWn*UE;QQevWuy*vtNWvbZ9oFSd z2VhV7?tZV%epjOjJBQbhSSFeiQh$H5laW3C-xp>7)i}XrJ%J?|v4&n5fdJ(35UgiN zjwheXrY7lL1q#S^BaWCk-Q`W+kgf)0Y$m4`T>Y=4DB!05@CwXI1gDl%F%?}k(O>*_ z>E6^0ZdUFT>D?88T}%gkH;pbRCt!Y0ZR}gdVnF_u1kieU_;j1nS;f3v!t^g_G zIQvrVUVeF1xweUhbPF-(MvZn2#?jIf=`_awOa0tG%eDO97mKDK^Z<>O2Y@`901BA$ zc@B(AK*8A$B!9p$Abaz?&PO2RSeXR!E3 z_9Sxbd05#$i)_{Kkvq=8&>gg^rn$I5yPT%KITuQ@-%>b^+z4aeJyF!lKjX&9p#4!Q z;Z++4c@&-uLVchYF`JO;Uf`mfq=|EZZ42fWy&0Vg^gOst!c8MCB0DQPt9>cB^cbWG z>$@z!JTSnY;4~utTK7hj2+6&lyz+h|SCVCXdMzPUiPx~T z{s44G1{^M$k!$H0`uR&w!;Ca+b7WNiYa~E16KgHRF)wd?_>~UD;k(i41#XvCoys$* zFUfN8;xLknYCWrXc}n?sm?Xd%y=LH=tC#gE@ydqHx1`Rys(l@qol@{^RgNmhB#O_U z2c+Q^#+mi=qn-H`F440Wx!=mI!NvSCmj*-9%FUKp8^tuq^@I-KQ~3`o{j4|gFK9-G zDcpu8i+?OCf8Vr6Q+9^eM?QkCOoEXBY2V=%_du|eIQM@kJ6_M-kVr0Rphk!350>Rg3siW!|#aNXoPr{?c*oB*`| zDD9$4B1Z}MVOI&iTGGjGT->^C9 zq*o~3w|Utrm@-r=?VC(!&O>|89&r68x}o%;+t=N{Vn2LgcNTO0w&{f(ZbnQ% zRF1sFt_X5{*`td3(S@m94t01!zwhe=_}%>xF-ASR%n}PoW%{MHh=AufxSj=Qi?RSP z-hhqRVSsCDc;emd*U@)zxz-?)usmoHav{Y&X#U2Zsyw*^RB{*ug!z*PC54 z)`?Dlm#dZoc)0;U$#|iBp%us}9I4)bpJmjI@V#>JRSS2f*bc~-s7e>;_%z-=xaH;j zH`^^X8+0a^oiznBXk1*}62YHMC(5u1pHo}Hb;a*N?&FG(CsMCj#dnOyU@^-`8ls#T zZ5K;Pc_@9!;%sfrLYhh3Oj<}#nt-;H6sO}-8TO)%3>zYFVF%WfVdk*U%FFxAX+pR! zS9IL*@&|t@W$T$(`U2~U7#XNo7iP)T?Q3rcQVRjm3E)*$ER;b_HwR${9LtIV_i09z z_I!QrHi=WoyqR(^j2;h7C*A?KJ$k`Sb@5q?~%u~`Fp2$OW8GN8I!`wUlm4ePmfla$ZcpIp6u-8*_V z`V)2KihQf#HPB|mzjEV#i8A{q-=Olx zH_yyr0xESg&G+ui<1GvUk){=)Lx5#w`nyO|ejbnx-;Dv@L~N#!*kFJ$NpWuj-bLddk^{Vt z;2cDE6%ZC8CW+u~ZziHnJeG1j#nkDOqM2Bcl|YdOla2lMiIJCAi0WPCYJaNbjK($%t{TW)s8boLkyyRmDO;5z(Cy$GB(+tkb^SvbEUp|%qMH6X zE%`ngkv1XrDaDGnvW+Mx8UE0nVO=26)jl}55Mq$7!}vH`(*^pBaU2iF`sbvsmh|7U zWV#!kh<5h{1l8!~!75^j08GkuKGsyaE}$?e^!XuVYu$s%4{Hc+aGr3Ecl<&xjN{^g z0)Ta+=scsb%b&hR9H{Y~);z7aEhC`^P)-1pj71DfH#gpxpv%J)1KOS%vrzhbOkoT2s3h%!mV;h@eHy!{m(j>c z?Io~$@?xc%sb7R#2zlhm+4)N6ub&@TbO&oJTN$Os>rjJ>YSt@H&Ez0X>vfrA%8R~V zCq1>MKc3Qb@!;`wTbTMBmBx34lQBoZg2xQ=5zpN` zqVp>Gv?Ku>aY?D2OO*B_sDW*gRY5yztJ$8v;|}Z@BAjTFflp%Re|{_X=9PF@751A=*1;-8GdgF^4o9(y zSEThiZUpPyTTUen&JRAY*E)4>dx{i|oath5builW>PSyt^2lq!UG!_d=YLATXl@^t%`M%7S;Q5J68WVz#!V2bOSw44c%X+?=#{1+^Cu{S5{L0curNL=N zy%!FHlkrmEu1J9!!ZA&x%W83rU06BN6O=Ad1t*pp)N91sO3|u%c8YX?0yvtko<0S( zADY*mFHfqPM$p$|tr>-8LYk&S?3>h_%P1~z&Adgh&B_Wc70AYzX89d6pWkA6^-bsa z_73;1*qm`+dXV~d0Y-hh_v@^-SYH3$E%mXH_RTKVv9N&SZ2Kdsx=HTNGJNv*GF(%E z)lCHr$Xsy=IYe99Y*Ded{EhczS0io84bwLSX}TkF6jO=57`ShMv%8@Y<*NMs%^O=2 zZG2yD|3ubL-O%qXq?;Pu=Qy1HqQkb9jCjIHB=l^DTlzy++B-V zPxo27C+8=>@)E+e8)GyeE?}n~)`ESPkT|U4)bN_Ht&XXA=6IAOoVtyk%sRB88{8W< zJ%w?N?|ovi#nE@?dUNEJ|4QB6)Q1*!|DA#|4Ni=+!*`gs*x zr{0PPIB}0u8CPF*byd&>hz2L3TDx^XXZtOPUXcoO^%^oZHUljbWLXD_DwB z$D|f1Ue_9o3bq>J1DDBPs+O^JP|nFYCKK%q+@*=7(UTqI(rN&N@7l9*>&bB?6&{GQ z!ishxk~vOfI~{KLt2~R}S{3Cjd*YN?cVMXwaX=NEr(0~)P(@5=?p9}P(;WA4Z-pvj z*{iN@Z<&G)peX<#J$t*LOkyzd-I+MCcZ{)3uG>v}q|5#zopo2zN&?%%Ov#v^KejzJ zbqpany^=vA-}5nfUpPnB&5)9@`S>tK)(F0E>Y z_0pK|;QyqQ68y}Rj7fqonJ;MD{P6icb{Mp*k&I8W|ym+j?e0mL6wv60qNX}vqh;Ljfh#5|~U zsZQuWr}x^6YM!@Bfd1PM0 zm-cY5awo`!-v~^FEHTsUmm-|JZj6yYaBe!fTr5X=$y~p8YhpwLD%4XYQ7j(SSV&xM zhtOAQQarT`nd!kQkfK+l8L9TvwDnS#-Qll1Urg|A=Lt?Lp;A;2!q>}ZX{UB1xVQ75 zY!(HUNoV_?M97(^Sj>pe3e$#o*(%N$i7p+%=_40=hFLvST+F&e0Zl)nc_tK}lR?w_nH(SdP~>mU&Y;7^#7U?4uF|tIm`^dL8}I zzy=s$?Uw%7DnFoAPu0xG^HF!Q=AQYBb$AK=CDPM{oqTiCf!SRhG1m{*!axmZ1~DP} z5#^_En=OEfgWLJ_XRMtx?sh}eGdV-`-JvJ#(}L0!Z#mz!{d#-CKL^udwsT z@pz+ZqpLpYe zHhp+K%8IK@D&Y8bFOa~Pj-3J=iEJp597MDSxH+G>LKZEfej+1N{E3Vsjl`NnM_>bJ zi)t7EU;{rHz*k}BOY+ZxY#vA8S|NY>;rz+z=DK)zK&cDHBI_GMrr(PG+R2bhzEMqT z03#|OI@I$Ah2p>X6aRJ9`M)9Kj)moo# zovTVYKCmBI9!cF=^a{0q@|o1*py;sVijZ`bIHc~{x7qYMk~$()+b`mjdRlVBKKkph zTnKB>v}%EeiL(#M-`+!8i8qLBBz&)`8V_t#f$ zd`HE^Z`@lOhT?kb318hs7Vy3T86nBbd3=-}2iKkhNCY~K)T*feqqP2Khh}~CEg(foi@jjowcYw~M`KvRy8fW_Gw!)rqj+r~nd5pa8JX86GF5cDo*@dC|FBj(hF_+ZoVUiVzd=BTw{={NngWao( zbjm(xRrcPW#QlFo2=Grn{zJ-v+MbN(u?&K+GeVqX=Q2>0p9h-J_dT;c@od5yEF`6Z z7v6VdPQP${+*A$jrA68r$tM75QSkb8;rSXMTi{6al@7RUp^I=sqcs-^2bjik!}%Dj z{%l3)tM_K_Z*d2nFSy`~$6SW-WbhCYK72gTyul9?PGw-z(1854^s>PhPt4Qa3ki_b zQ`TO1-<(0QVu%dcJ-IV^($Ybq)|Kr%>uh0Y8VHc_*}QR9+s--MF^pjK{(VO04y@Yt zzb#it#XD4HHeB!E1y4qv1Oe4@bnX?{LN96y5r;^Im3p$Ud$K!L$7~@M88A^XYMplL zXaI|Re5b4~{3chTQRk#PfLn9F#<+<5i>vsruJ(WW|NYO(d;fdj-2YzqzcL#rr0fku z%iiSf8fZ7a5`>dg(5^mLfd_J{mkcrAvthwuRhParAeiJe@ekD>z5hoRgGveur8Fn; zg%po*X%Aj6RevlFoYAfxpnAp`Sw1`>*VVkJ12nR#c>jaewBq4iASEH>zKwIWB5grL z)?96*=1S=3cMgSifa?_nt+3BT?oD*WbJB%!=)?nB=j5a%Py5K4$?&w3;% z2kx)3RI(?Qb@VJ7Fs17Hx%u38!IZQ^ox|WVj(2?`u5&CW7#E0P4TJryJA_Xmiuf> z1vZ(Zk1JJMlR`3l==x!cu8!H$kCBg1EyPKi^NJXpDotN!BU)s+|xX zH*q%rpakA!bW!gS?a;MD7~9x-h$LT?4ah{DP|pg;vW~l{CU(iBw0@Gh@P(wx!iWVK zu~a8NetL~tNh()##TE2v_d)5=j$3w;KoRC@dUBcygNsrMmX39i#1+_pp%Lo_T`N+& z3PtZDK!cSc27~!Tx$L$~qwCusKkdWJwA66R9s5d<5q{cmNxtgZ$*>RhoixlB^kV24$ z+hVh7J#UuC6(f&3DmnLd-j2PQZAx$f;66k609#3vby%Z=}}Oipq70RtjO-a zt+KWK$?G|^&rx^^*Kf>}M>C}{GK z*E8v?PIh<&R??_XFl){X819G_QCN}pqrX1Re;@IG;j90cDRI~(<(7AxC^{`Nok@MV)3n21`1`5 z8*F-*p^{%2gF&O>cu+~COW$=EAoO44F0ar$XgonjiwFeJ(U1#ZTE{hL%-0p$B=!*# zPO~Cr><%$s#fq*hU2>wbeP`K9kf zcNRZ$?zELkJP54+#dn<@(ho~mY7V68t!k&)e`1UIo~%}y|3}vU?}z2Fk7;K=?|*_V zh%atoErm89?ME1Xq%8and@Ou6m}z0ocq1;ZdJ-IS^Gy5}gd59Z|127_kJ?`*dn-qE z-0@QJnx{5u_~mEI{h+ke*!$#oF7Ow}X>~ozg>Y8!)wY1_1yMC0 zE;yQ~hwI*ji~2!cV!wnjg&6j$zsQ|fZA~qw{l#z3eo$d5OT+{;oIm)SFdheh##YJ% zOD{j2CiZ-nL^%P=^<){aC4{|Q>!ypUC+h<+ zd5%Urgw-uXl-z_?p}F_C7?3wLRh{kO!H6s#zO&(;LB)WkEO`3bFuEIGEgB$b=8c1H z!;^LVlD_6xv@&&RRJ@|P7xs+iz>IOVlOe7sx+_XGPyJ)HG)^+)w2@7t7Qo)*gJ5wD znxp=fJ3h60>T=gyP@^$FpVN8$oLl`(k-C@PeghYNU2eCB&Zy>xhWz63Q_A4)Ze=jm z#%V6p_8Y+ti)HdT^Hv>HgxA;hmk7}-shc~<0;)+QI$WL-a@RY^< z_ZA1p0+TF{=hsxcu$UE#+ zmO}d=w|D{lU7*~!Shms&zN(0Ostxg@WDGR^O9O6qhiLx zQoQU$V)cf85lnac&(@DyMpNRIe4>a|W@_Z~jQT_FsdE67mXf&AaP{NXI++Z6(B5_7 zedZ|f6_i_C5iHmKzt8!9oMa-`oATb|YS7X?AX#%6Sj#UEK*hHG2V2=ft&}NBPB4RR7vok09c_bfwBvJncOP(1 zO`AfP<%-sG?m+tMiwYJ4>lqHOe|eSw=IT%WJef~+V|EX~K;*$$8*Y?bkzMkBegyO3 z>xfa20WxPi0vwV^JD63^^f&K$yX_-7ny{4ThFA7OtATjEogbAd%kjg_uSC9l@|@t3 znL{bAB9tvwKj4_%lzMm#^7UQq@ne!~dc<#wU5m#7$+5m9rU66=@kYinWvTS%@asR3 zmB{KG$>q5dPRg2p^1cz2zG~Hp+$yP_|7*3~zrHN~Vv6qn6y!N3fzr?Hok7HRg!K)$ z3?4#KRHY-K0V~wq=q?VOR$9oxr7Z`6J0<^ou>W7Y`#)FA`Q3qiYBj;y>#1x#XQ`9D zdg81p_8#HZ&eEEu_?71O3i#)$55%; zoi^gN6nvN4HSndfHOW{`%Rlr*1!QZL(dEGaYR9U$yf6PFz?pcK3#gT(a5WW%BpCLL z>%0TY^=0NKE$*UehPmvBy&#inJsX@_6$x(`-_J^YDexZPm2E@tOG z>dXpE(sTr?q(ArEc4auAfN|0%DW6ZqsgS96RwadnIJ29hy;;CKLDXNJrBNQ3U=Pdp_gUP1;QrsFctM%)eQL^q=05z zu7m^6VWYRT_bY%MrR9goIoX++{$YyxTUnWi3X3iCdeB0{$V1z88~^xp9WiDFo?Up=u|`P)^ST(hIM3=}~VnlVujl_}*3KXYe`qZJYv zm%O3oS2@lmDW@A)@84kDAJrTpowl5K5s&y-;n}Uk_nrKaYV$bV;d0fT zs;gH~%Af=srI6W&0mE_9&Iffvs*pc%MgO&q(eHiHf1IU1%BC6w1j%wPlDH=&g@#aF zvYz02cjQlmGDahw;v!j_bSDtFf!pXV%a~NN|Am z*pH$JPPkt>hh<<=b*`>(=MC4T3KpB=tb8t zC)J~8?=X*w_aXR;dqWU3flYRlY9EMH;CI)I$M7$7K-Rv1&!3X^w;AwwAWyL}%;jLM!As_j#TO%QPUk~vy$<(wImCm; zBJ4fl`~1d(5DYt}J(Z@85enR;UyosV)n8Ho@Z@G+7$5w!uHMI(*h6n!*vM2Cv=TP! zL06lo-~OdvG{z>5kD0+2bGqJ}%nzv8;S zTbgA#qMB6t37Rq4z*`z?TVJ+wVUIgcyp-ATa(=Go+zg0fS(c$n*0N|1kUv|3`L0fc zt{uQ+PWQjvlr`NETkpwn%sA(7TUdB4k9g0s_8rL)a4l;Am}WQTNzOSgh5wzw|2NO= z|LW~a0Z{9rC8fkeha^c%2kx9k=*@EYj_lzpo*9iwkT&x^oYMze5HIHng!$`Xtn0sj6rkdL>odP ztUeER$k$YIwc|~`a!J$}1WNR<0N60%mTOvfMz&?Gg0t*rxMgv~c%b}u9%iwX@|U9h z-#hF71=s$SIcD)mc2CuAX(~Hwo9w)?!m*1H+$C>rP@fT2zTmXKrtQgzv#IyKH4uNl zFOoo$!WyBo%=@s$saD6vXoq~_4^Bc`Ss{GYG>USCPo*c*hp?=Rh4^rD(nkneF!1!N zRo10*C-bmlch27CiKKeyv6oEcdJAh4Ano8H^C^nAb^I5+%xkrj%H2#tugdA&}eMzq8LwiUkd6ItpEN-4Jh)EJ>fI)7e= z*YE#Cp+9)~zy0&ulQ7q$D{0EycK(X2v@$0FwFyt?=KH}7uR)&`s~fYUO3?R{>q&qB zN4f)+U!Y)HCL#W25?pWNkqlg3AwDIDRLySz}A{8ih!;sTT zjpMDlxZO}pU_&RMhraJfq!YmxW5WxUmXb%l1+M!a`p|(v0NDK%!vUT9EKvw|SG&hq z-;%wU=3;Z_WR=|NI4NM8abcjL3|2mD?)Ba{8Gh13l};wSs-Kh>xWS! zf^7hE{)CX;YT^~2R)Kd9HU7~B6m*#D1=7&+b!yTgtyxIBZb1}69k ztjkO@WR8k??1;{XT`Na!AG(1)ASd&oyQ{bN6B%8lwu?1mJDW6$Hj_v3>}6J^7Klf0 z4sQ;NM3(Gi7Ke>;tLWu&2cb4%AY@wK73dF&LQFP%7jXg_U97QyZ1ORnEP?q>H@&@`{%by1MU`3_%jFQufHda#8?WH zxED{|IwC?z0^zy6>s*~)zCT?3^&`j@-Y@g`iw~xax0pF0&c=fbDF~k*KxGg)aAJMo z#qsxf)}O|Bc$3>h)HSba^Ax$TrC)aE^Cz8O)Z+-WbE?p&FuJRjtdP;q2kjD@a75kA z)76R|?mNk!!`)sjY$59%VVa#fmzRD+={AXZ5!xMssL5%vs%=sjfX5PIXtnoZ9bsD~ zXm(PD`sTh4sRft*I%Fuw8Q@=0oI=cu0y=5(m}e(opD?l8o`&~hn5h$I4gi=N8GnA? z+%Jx#-+0GC*a?~nm(s8P5-D$uXCm%`>F%6%%SUgTJg9O(DwJEDqLY2_veIpD9i3-$ zr{W_qWPy^$7K1)X)VG|Kx3Y0g!>1%FAU3K#WrF;xuTz1BfDYlGmq1SUqiod|4&|DL z$A7aJewd^jU^0XMW3~Qf_y1!~|0M^IcC1_a#3JUJNv3`gGe5ccknc-3PMJ{GgAOIN z5H~oy2WedE+0)c1l#9@U<&3zz-tBPXE$iLc{~E|{B3vHAaYo`&B{B7*1y)F~ogjPt z(?X1)Vfa|35lh;XnHVhDCT)>`KhB`z+P~Sm`|G)T^J! zJR=b*F+m^8Lp6{l#ogZ2!=vRL`Eaowjt|#r?-BL0Ko4LUx{pSdN&>A+Z8$3#Lay57 zljT$Ij>BdhH9w`Q<7A_cN~aU=LMeo<^0k51!eG0{s-JLEov3`^={4KcT_&5isGTvK zv5&%2M2y^mDG0RMpIkoebvMP*DtA#wGHB-L5~DLkp~*bxRSS{7=DzMF*QV&D-kjlL zAT3hQ26Rt*{#7Qff3|w_TSxhK0uAyG0P{n-cg+ltwcTdio&?IuvyR;Wrw)nkh!&Ri znsh$Q9nB`23D6}c|Gir9`>*KY&=x`m?4k$)4nnw*!#qVtj6R82>9v{Vr&uQZK2{PH zNs>l<(*~G_^8wC`#bYle;ln7B!f6Lml?mYHtpH4lzyD=F9vRj8N2!R}v{A&+O`)SR zDrE6{wm{|lCo;gZ{Hzb$c_?RT`7hq;-y5v}lW^BXqPQ?=+BouA&`rDZCRc&>4?4}> zs2;|X+sfdPg?6^i6qjtPTP~$F!Ld(y`+aUYkNWnJnQUf@ZEsnZ3?Hhp*=49*_y5LI z#UPeG*WuS{FaqT{m(u!;osk>Ixa`f0FIQ-TGbkq2Z7~9a*pCn+=A@l|t5GEB#6AEflMd9Tw`+b=j}ay+r=PZ|k0CgdTclhR zIl{Ff4;QHqUi+LnPOtdTs=c{+;=$qinH+a9i@#M(z>JSul^)xlT!TM!MQ9mX)$g&r zxez<8+c?o?O!fnKnF} zZ?p77i@MJ-u7RR|8!E#(CM0_i1w_-#(QGVVRA+F=Y1H;(k7G(iZ~%zC79z6ZdIu#a z;CR@)h1gVct}2|`%iBZJja!5bdq}uk0Q5xwO0I5SZ>Kh&=YyFST$f75> znl~F=y8=m|6)v`GB8qzz488M=;_9UCWpvv_CDDitAkhnNKQv44_(Eo5*a$ncJ+CU~5HS$vU z1yMWs(ZU*AN6_`Ed znrp5ws=zcOZA-b#vXKqd7wD-EjQxuUdNCB8InZnR{@9RwWtmGzp^wKIyZ?{9_l|37 z-?m4Cs3<5=6hT@LP*6mqNl!pFU8E==AVdKL=}50Z5l~vB3km`0QXEo06(<`~HOvg^7r;$0Wk9SO9L*3@FG z#}9ggcUvgetBEgikh&b!R1e0 zRUOQljNc(CzOH}rRVd&T&tz=1?ehaqyi^5$KlI|S1`7W&1o8ql#vw9zMYmB0gMu(K z`?}+4xUuCShV<_C_!s-1*<%EdI8sq`KvJ*i77Zu(>wI=Mq zgDdbM`HGo{=QAx%vX!lDTqSqDvMn>qpwXU-O@l#&jy0M64qJ2=_QzcYAzU?;0uSJ@ zh^3f9KudVW-AF4EUw6fW=WXLJ?P{~{od64P{`NPMJZZ>Y+#^d<%l1%woSEMCyhZTV z4y@?S4MZSw1mdy9em;8c-gtgt?(~{ec#4}7W6@nvgN)~_ll!1g4i_mdBTB_;#X3)R-ZvX4b~cf}(+}y21EecS>nJAH zev8tEVxJ^4&F=MW&3zf5XoK(B zHznvSuAb2JT)0fnp7y|+gY0^3l^JdqK1}K`J`F3_KysLttWF}TNiZyjavsPPQyBJr zYB~Z<&X_mwD-WxS=*mtm2zeiY@)lidXU3*|4 zDRFG;q!waUfzy{qizeA{Y65O_wJW_*|D1|&WaHdWPyriD0$>$D9f@OVoH^xgVo^F+ z{I+H=(RTKvK22{x_rQMBOACAu_ED65M9z8goZSatQ{R`4KUwMUQW!At{!bwJBuQ}r3?}HDzgGH1-ty5iGqVb1j#lB8^{;dGS$hO;H zP2J;oL-CB6QycdQT@Ij0+fRaBf58Iq55A|MYu!R~+@QXn3b0k4)10-w10Dz0F|-u} zg8wO(kNS;{!EnRZ{G`LEo1yGDwW!Z)_z{~_0hW@ni=NRow;=&`T2zk(W}0E3F%$I{ z(3%>X``UP;d$Z>pe4o65)cZ-Z!?cQqoC$&(ysDda=Tt9d_eGCfpN9oc(_LVx1_3>*h`KKYu_~8?$WVI6OVTq4IdYNB z`1zsFB_7n^v;&ScF2WVFhf)c;LoR_B%K|f9AW&f4Q ze0$T}AwcH?Rk`vYp0L!^SDU>@PNO5xYxK?u%7yPu zVK2)R6w}F;aBY(-#IM?Nmz>wHiwWExZiei~G32WG(6K$QNiQi4?;qy3)VI;* z3}fo0^5gHXRaO<5D{Ia#$|hnuD5rmRjQ&~>mhn6Ma#7+i(KSD$U8p4b2onF(k*+6L zKdr|)=*y8zGb(Xp8nxze))jIFkh8AS*PGS|mHr+LiJ zJI@wC^c(LMquU3d4&|i@{YysHZ^tD+n}&xCsmulkSDnmDg*^+6j*NDFs(2;9=LEJz zjnawLE&Mib)GU4$r2sJ27m^{(l@2%dS$ClD5UZWpXjpJVePL5tsYI7 zGinoc%IZ#VW%^EN1W0lkbDMfS)K`p=yX$0lE+gFRUFL(LD3WHvn!3kgae46krHPyO z*_mCRygciEdg1|I6_D_#$sDYBw&$4?XN_PYR=$nZ5*gr@$kE-qKJ}QSlg2Jt1`V%M z6#gGXC5^af6U6J{qHX;3N4~V0d+3BJS@AKFdb%bcBD8%4(N>36rpc4!PAY9g=Kf&= zg(Qa2eYYX$tY1w*7S}x`opD%_1(9!cUxH(Q)uZN)QX&jS+oJxs&$}>wbG21#tMd@ z>ZFd=&l}Ob8y;8rtR!K)=||^QyZ&lqA`hfJxNqy$Y`8ow_`H13<@AIV*XtJYpmwhp zl`$P79dWtJDbFfNXs}4g<0gx4 zbduVHQj^|YPzMxxAldBB*to)R1_ud-u-rj9IhWT(-x{quaIHsn`$^%jOf7-Hw)(W_ zEV-vR1JSUbFW3mZG$OBrp71RakY-SVN) zge!zbtS8+Xo-j4Bsz|Jx96ieuw&fE9Bvd_j=fuM|Zu*YFf^Oa8G=H1O;~?AjsC4zQ zeP`IzBS^|gKWGBsGLB`r(KV9b_UKbbmO(R5X=1mbWzcb)KMiT<2R&Rv(4(xFeX@Aqttdl?Vjx^hOly@(U zBmBe~YKN&B$PxogkrWotwiq~=7-|CQm${zOCXgU+|83{{eU7`T(iiu2m!k&BQad#e zto>Wk!ya--+N8;iPolxclA!^k0X++Hbn}8I2*~;XSjk@e=K1bR3PGVLxiz)r2{EQX z8O<;5%0@xD{T4!|-}s8k?jf-VDp}?GP8$2bx8dgJ`z+tItk!YwA6hg{JkMjIG8lH%+q?iYJr=IiCG` z`9(ymuI54Tg;HFT6VW%tBOTu0^VIQb_23x}Ni!Qpz;8#qL6b!=+#7Q8^PCR;+HK?J zDk{zv?$Ouad1b2&0HmXQ#Ynmp!9;snOQy4+knc4W@35Uy^Ey&gB;|bfjh9rjc>M14 z%i{hMu<>_L^jTcf7DWb>c^c!meGcBDB0@OO66ys*~4=2<*3`MA}yV2K|!g;uiJ7yLc>@BkN*r17weo}Q<^2NIevZ*|>(|V8$mi>mQ?zh)jYhLnF z&q8+a+}9o?D|*2I1ZsUGcx#|Z4`cVn5#Ql(Nt?2R%_ErMlEH3(&R!9W(ZDB1aqwxS z9Mt7Okmf=34(4s%A4J&v%dimPL)1C6KE{sKc0=ZVZC1Wk2U=z7w2C7hoqGQqv|5ZlU0FR#}FgUDdw74v$sje;c9#Jp*^xyr}2vs z?a8~I(K6Y4+*#1(@~>q`%kpRCTNa1hb(#~}@JfXL=IX@Oex;%d>dt@RYW#|OsM4Mv z8lDmpNE`895tLZ0+=DtN!#T}7EYso4t5I<^*{%}o47)#_x?=qveX>aM+PrUFtSP1R zO@LjV^;!|)t+oOCvIq8~+L2pG&FK1v4bvAQ;o_z3srzOG{mPMo*J0W4@CtIN+SZev=H|$T^vCFw zkcx6bo}pc$s{EdGDh=%qQ2J+NjX!~siYe`{ zva7N&h8o6g`KC0u_vAh?W2zDb#6cP~-)le4tT7z0F(2HQHe$vMKUOQazIW3xffze| zYSZ>}X@G0f-^@YwzMk*DN3avh+1ANi1KvyEiPrz@t@0~Kt1Sl+aW7S+G~)D9pX8K? zMihmA z($U~6MS+5a4Kqi<{p6d}?!x3_HtrNFwF4llV|3Z=kmP0<>>Y?H@ zd}USDWxoE-kt!{_JR`a83V6hb7~Jh2pM52{`~76s>!*)lm;F=d zQdj%ZMjNJ7cRPQ8^kkv_)Z-OuKppm1wc@rS0bucK^z15$%8+|rS>}^f9hA-cl{(TV zi+UXs^aDgMilSOgvF)=MOqaW5|H!**Er&eRV@KXKP6T-bHDjoa+@tEnS&Gi_4LP@V zws9d7RDx~=4VvAQZDH5&M#({5kVHmMjR^WZ+q?P#u!zOZ#>KeMXr80Qa*}m!GX0H% zIn6gNf{b1B{F@S!#+?c>I+C|zL1&w!lcYFgbG`-h&A#Ib6b%=p(mnTtj~zsv4uY10 z-%YcZ;CLH04aZ$N-^(57ZJktWV~J%Z&SvAU`9THI#Wk!ZH7VGch7krf%xG#T%Gloy zfg1;{Sufa!^mhn08GCmn)<4rmZN1Tg-P5AUq;#o1#mjm$H)I_~_`erF_033ZBXZvC*9z*s*Q)QDg0KSwN z1SGj8)~wgoS?M%{n_2l;-8VO%YDF}$%sup?1^FBGZII+YPp#_XP7CCl36*h6>~T-B zo%2!j7SCBNv>fl+ig!Xn``upQdSguKVK+c4Pzd3I7H+AgdOR)b6^_bmL}3MU3;)_qF@S-57E9 zjmWdSZ%AHWUN~eKJ>~sqM`Jl@r%kYc1b{qY8zb3L))munWrt2iS8F)}SnVl;Wd4TDLeP}@o}TVWLdfeTG%B{{e~!wtBpIFB1Ms{O;e+bg%=_>2-TJh>H8VAP3;Y9Q1Q>;?kw3u}5rc@0O91)u&JCic zpNtV6K*QL;2!`c$>kZ7H(kSJ56>M()5v)=6go^;mT>KrT6O=7^DBr_86%0Ch9t`@D z@a&Ce$ePgTguvdrf-SwP48p`q%__5wn|eRToy7Jf(rqjz)V~e!rMs4tojWXvbjC2Q@l4@0nw4hyU=_v)p!AtYq^YiUHmulTd zo7cRM@cL?0-As|}erDW@`AW@_UnGv6eG7>8`sVO=W&&#$Y%JooH7$lx&)txN0DhS>L>V= zZy`<$n6|KX~1>h)$bw#@4f|g z{v)QQim=H1(Z=+7kYhp36Bx*mpvUe_R@v!F#oMsMZG$o&RA_E1mwR&!)r`$~*svE~ z4Q-^NG>{thXAbp~M~Z_0U%JAb$*s6=oi|##e}H^3S%9Pbe>v;?`^^(?P98sZO6Ht( ztuL3m48dOSNcS^jqa)**3_X`!IxGuM)aST^5`)^c3_9csj?Pap6^+an!?TvLQ38cOy$!iUF-NTuPcV*p|B;%+pJKxOtBLyim-3(Br+2}^ zS(#Pe+e3Lm0qSw)kd1;#z|el;mG7<+C72_f8RV)3>+Y5yvHRYy0M?;&+RTsh&^1l4zj;-&!$##HRd98#knk z5hH``cB$KCVlT}w?95eytIaWj^hSqM;X#KW$p>XTQDX{438s5q{cCTq_t23lqIE14 z$Y}sg8+~DqFd)QYmIBal5{0-T2hs17JCne-#Tj||q0Gzc$`5*9-&XWK?{vPjBH_ZY zxH;@q6UayQNNQ3PL%;R;c~4Zf$`@HGm7d*e)i3>M7sa-NInH9&KWrCwxP5X7oDh+K z20eH1ElZ|XLW~R6;e^hQSzjS3+Y0=oir6|1|$IFm99JdR?(n=Hcq=$5SqT6rhBgQzXHspX@ zD{JrmlzM|_kyKKYGk(O8gWdD|+rIT7kxx5ctc#3VG4A zLD=(?7UpYGFO=wwGjqwWoUtO1CMhn}M-q?HrbPy~4dn0OrS-W}+)R{b(*U#Ty{^BE zJa48ih*pyWN+pK!#*%ss?g!sdPX^?GvN3?4YVxrfAwnK)9ZtQGFY)X!(1jB%MAiU# zqBnW~5N5&yWS{9}j#!0uz-_f7w>J$<$o5CC>qodECiM+wrAq4WVq~5mXSINC8ura4q zAkWa~=c)?=e}B+R1s9<7!FIGaF&nH*l%T61jUx#Ja1pY8fJT9|?w*bA-aZH@0bY<{ z^R}Pu2ot_W=fO%BqT}fiOizX9jM)5yM~CI-+1<=fFRlnwvEwq0ROX6#_H^+4xSyw$ar~Qx&StB-CLW-)9pzj(=1xkaneJ0I##oEDj-7uA1?w!yUDt&6>zU&>J5r@x35_kViKvRZ! zn*`?I>GvIa!Auz_)-h`_(9hOflci*Q%cJ+S<4uV%rDTT&*u7g{*|YF_|M0#1aR>QK z#S1;c3JkH4O0-|3BHfQw@k7vn#ONq7N_AjBt^ei*cb$>Dp3JKe>f;nytM5K%bR(7L zi>;($u8I$p9t2$MtpCl~?m$Av?@xqD>nqL;PN?~`bYi+NH+H_@936}Gd~+Ixd@_tR z+t;`3_oPt)fJzb~lwoM%JY1=dElC_4ueqX3qOD%5{HjyU?+R52UVUkHh)K9kvEHNQ z|9bfTpKkG=9SXmB-4~Yb22y!^YaE2@fiSq{apMC6>EA2>pO zbeX!e-au^p38r?qcgonL@J}}o#G#LH7++ai(;n=JF5nad++}FoUS*C(0)??576sJU#sHo589%i0)d46jdqN# zBs$ynmT7h2>$Q_p7je07eRKnrSFGvyAO}S=veXhK{8k5ErUs~Rm{Lp@Uj?_o3s{>l5He}PzeMtX z`MDk*=HMfNa&w_Fur&eQbg3)_zMvnVHmx8^^)<>}KPVa)#RUEbA0DrbM4_i5-5d!8 zz!sOev2bYyWW@kk7@YJyCb2$hN&Ouig197DrIbuGDec+^W>%&lvjh0t4llWw?W+C~b%PRL-ue{Y>A&4uFhtOd_ zc0T6$QHPP_7Hsb7tE#LqJo$a-tOW!JJf&*d2mtiq$&>>&O2MCJ?I&$z6OmR>^pdOM z_Ko%iPXEF4avU(APjKu9=$B!h*loEoDcym{=40ZuEu$`)4cxu@Z{5*znZe%d6&mXI zEsAI;(9NEBrg>$+lI++qzuV5nP7kp7bdJ=tY4sN_m**B_l>r+Jyo~x9ILy=^`Fk5D%Lj~eBYdxWAE~g!!1zM@(#!jK=MoOB2_=73$b(C8nwR;p#;H| zd#Oy6j}#GYk^lqh>nAwzmKx}Aq#m*(wF1MRF9m>I2;Av!z@ue`#Y~7~G$JqA7Vrvx zJKYsg_^`c#QhA!&X}o#X>m(I~W6 z7vE)E-2>@2&oChtbF2Y2-4tT)L^4>591jfVjz-je|IDGP$u1Ds)*UG$+U^2e{S8J4 zB`ntO@{uGk5)`X|GkuIA3f~|G`^kL72i=`Ho5KBhO$Zn*-V#7!lblwgxOf3_Xu(yw z=p+a6?gKum^ynAht;!|9TW#P(wrDEx4IpyorU3Bu$nJorwl0ccsWg&)eLsbV>Iag7(KUSt=R-R|A=S zqCm93rXNDNPJq|d%~e)2i36W_%eBmd>IcaB8-*9zTt(LmVUy9EhYL^FYi@X*T<9n< zTl)F~WOW~N&<$e&?M}fo2E{(^7Y%G$R8_pkv8fm%0l(<#%(|Jvrm3DdxA{uUx0aC& zy-pT|jh_8(uZ(T-`_`9xrO-d;I79@cXVw9EX{`hB0Dn(qi#mW%m=h*a zcflyf)Oz_56HOw<1Gy+f7(sf=_J*;?xb3Pg##6}AH`~BBs27lS^tJoFx|Nd& zv>#DSx}0@4C*D*O3Nvo&foMk%M!Lnmn=QP^@C~YG^!OT;ISx;NFKRw>69^zzFY+?f zakU3c-B;d0XWQh@V+u;E-Yi{TFwi=>-AZxEI0k2sAT-Bezj&hCXR>7hI@P(~`{sY= z7|j(Nbv@m@52UkHo}6w)C+BI;-b?oKgk+;Z>KSy-gdKKCN5Rw+Dbpv zG1lyJ*Jt_i^s18PBUs(~;UqCc?W+dAYSC9DtH9i&I_mtBB82^%m^DR%M}cLpp+IyPRok-tG`xWZf@Nu;=6_h~>^W(mjyje} z`Ud|ZZN)zf6aW25_InfW|M;7c@g>j$d>$5Xy=al5x*E3lm9xCnreJPgt-2Wow zb{p_Y#()VmBW=UZE16GjM^Tjk<$x*2R-!W=eFBHvILdwD`)np~nKD>>8Dc^2wh3ZRED%P%kOsiR zD`GH+$Xiz<+VAa{%C4^Z;#lh8%Z3}9?jJALZT9ZdF!pKDbis?_NMQ*uvO9{*Jk;oY z6Q>d9PjY*ay+*s#o2tN{dF^%sYr!P_LcbrhwX`g7ZCX0SL+8;mEnI6@%rkCI|H+5Z zR9)^zjgAEUI;o5={WkGegeG=7)EZ7B8<$tkdaKJpo{%p0vnmse({c-<6INsg{3oRu zGAV=!W5JO8v;wS2r5l}a$4QhUm+<}>ZqrjFMUb}$+?2#IaC2`akVUdccgp2*S$ysH zMN=nK#xdZBSyETzl3nLnHNk5llWGxnWBV_;*?{gOW%xnQp}+Y8PzKz?Jt-p8k9G$(&QDS8 zLX+PBu%-{lS#;L`XB06a4{Wkw7)q$&(N9u2Fn*y%}%CpyUYbp{l;e5x2{<9p%9RiSxy=nw#kK_Z}Y_b5z zGw62`982JFX^Pq<6o3tYl*67)5vXUZhoUC1On$ux{L%>h!G~1!-sb%ix&(vVG{?Bu zDTeQkquj?@D2G#U_FkF^IG7|4c~?c3w)W!MA@I(L@<|O*yfS1ZaR=yKCMdeS^jYu_9GdS zsY5qk{>mdZW8W5=x^!qK=?mpDiH~{;D989*s2_%#_c^cFa>Lw{J7ASU-!T^q_x@$p zlxi2qMs2!VCGoR(YgU|ehWACov!@B+Uqksgz^Z z{iyOll_g}g-g2I{$&3DfXBYZMFXjn$eWiioN)hX9LuE&%Ck-*sFP{Ee{H*}ZC5hPJ zGaR_-WhZ%CoH#^uweLM*S!{Yr{=5{v+>fO=W1aAfsI8gVBcj_8*#mQuU;;nyo*;cW z)w$GfyRwz@i+}qOgFC6miYRv8EKK|24L&MeB@p%3tlIkCmp=Ey1)6Src&i=Kf8z&8 z8~~bE3}P-8p8IlAl@~<2YpoeVbh384GveCCfm&jGy(UvIF3}47X+EBX2lF^DJC_{yNw^ z;4ittfBwT}@vi2YC6vvrl!0}9XtvHyp4R7b1vry;ylO&+02I12xtdwknRlBnbRrr{ zA<6k`%=e#liJTrTi4cO%TZFPLuIqpgOhXY@GXNXlRzU0LL|g00|?%dCua^eVT1%| zp88iW)dTQ9AN|!S{QvC4TDPE_gfCmu>Rw?cs#jvvq(m(hs0Sgjg?nfQt8q5uwK&(| z9} z^KP%wa${rvg=y(KN0t*0iacNP2k~jUha0#k7dKy={I)NKH%BdDbTt2HDp;=p6QRzS3%Vl@?_XubXS(#(M*u;Ex_RwH3!D@vsoB20z{Z~;I^E4+; z4>=d&cq`Mjpzur@|E>yP+)b&LUGH!~hL!eL0(#92$9;~+@l>L`&rlIZjL(P{9i$h8 zsZ~{QfA~0$483NGC~gODrJWB&ER<&<{%OUrE`FMQD-b&TpR61Rz4TF&|6N}Gzq24) zU*7MM--mB1p~R;xKioSnPcJzYY9@IZNEU>im3n7j18g3!+P#;P-5K;wZvEPkhsBu- zW)}auNd3RDTUuY+)~^{xn`~>BiA;V4wLUy1xA2vypP2A8`D?efVGcyK{zJTi$QvU< z)K7tryEm#c3CKrSV!LzBs=%8@k5>=bN4ur`*AP;NBx>~w^tK;Mr+r_c23&;4+nfRG z7BU^?55dLADA_R142O9EU5EnE%DF{r9mv7wP(TkLFHw9!{B7Q2y3str)E?=m4*Oa( z^^O=r{R3;or(eD)rx7gX?fmC?)m@#;JSR`tooaw6+6ApR_~aA;s%O_9#KaGszRsWg zxsdPwsiMGd>PP?hqU-q~T*6X;O3Xm?3Z&JYnx&`-){O@VjyhM))|`YjUH*=^h0?Mf z_q|B?V4cKdbbUrV!I#S>$q+Vc-)e?EK&xNa?t37a?NwMX{f8jhiNcFj4yt-;``uc~ z*-3&~b>w?2EHxZKpENY9e`OZ<6TZEmhX6Cv0-d@_9t-gy9BMCOGv zl|)TMxv<#A)3Uc`a?_NxqOE^T>ugE+T3KFLUKu#8DzI5{xWm_qbgbp=We`H)lIfR$ zRBlt3b#432_>(DVu{~qYUY_yIxU+0Oa2z4EKSQvbIqegT!MmcD&E8gJ;ZXUMB!F8C zaz{79-Wj4A=?I;;5DlrxDEsGOW!Gg5kgOjMUAGfZVDHOL3rI$z)xMm(g!5My+a>r)enIC|)$>!eSV?xdXEzXuAaB7h zt8s?6@?0|DN@f+sF`pwevt+9){Y?t7nG2#E7yC!#N9GdLPmO-``k=J*=0aq;SN_YF zl9yM!K%PF!El8>f!^H9JB2AB$_$KeN?1cX}3ur%>Aovgva<1McyCKM@dT9nRAk1PMkTHQjKnR(jfJ%ie&W)m0g82b59s%k# zhM;}$7>XiOr3xGXxDU}W+oB--PDqg(DOvzVsnZ5xnlVc%R3VBKZPasgq7A4$aiO!a zj`4qV&)DSqOA$VMJ8N9jwADTXdUk2Er4ZLNP{n5pIP6&`bWNi!h64H1VkJ}?9zw%(a^|RLFg!b z?FBp>eEn0O&amdNdCxp*V)NzcN9HN*w@gB8PjQkQ}(GUN{g z7EXWiSr{yxe~Dn(YHn})rT+C$;oacfZomI70pOTuX`p0U{FSh0(Nx?4R48^~uZ3%A zpNHAf7PrQh5sX!uqh>T=AMM~N=Sx74eRLa#pzvJ zO2`hTbEuc3{Dt7U-ZNmAa1)gz(hfW7=p}oO6u86Z!{c%{N(M_-4>Ch5eOG%5U5Sv+ zp*bNoWTX+>q++DcbHNMpUh6JXhy;@FLe-iuANnC_;~$&f-~X$6FfZ6RX7~J~Qm@5z zo3yk8$0T#vA@H+tgkwy@RY4I> zqxv1sBDI?a?5k_Y;J&gzWN`<-@;A&BdPN=2kJ$3f`1_4Nm~LlBHc5U6Y^Ls0A+o$S zmi6njtsLFYj$yQSw(@Ds-M+QgF}js^EpmE^JH}apbEFpn_T^NZue2|i-U!hPc-by- zLGU1MW)Knaec`&3^2aYyS>4?&E{Yx&T7MkW>Vt7KEjV|bK|_O$INkV{!5-((2Oo*x z9hX$iagAT%J7UG5zSY&0LxScNRY~e0@%IfT7o&6(GYikOPWS{F9=sT+2{C)EQy@{` z=c41A5%;%o>+HoBzkBGU>E({FdtL+>h!-a17`pK%mqPO zvsoF6)p3w>KC%XdVh4haFRy%O4pN3F*{8)#`KV+wpJe9d?#Dngp{m)g7Mr8NYC!0L zcp-N1+0nvI?urC->FsFQgzA6d&9>G~AU2P;E}Xsc#sLn>c_Q_^n;c^&(BUG+l! zs3THg-6S2mJ#SMIrI2bp5HIlP&FeLVPUUX}TQ%gGL>ZC>hkNl5`^8KPrwL0m^XC6Z zEt9&(_a@=tx*=$AGfF(c{iYmS6}%Bfk3r?RFTasd6%VLVw9jgZ4cP(+OcFiX`&+WL zXie}@`>iwIv$wjJ2k@m@a6<(bTdua_tsLSep=stU301>+DT5bv{;1+A$LaqX47YNthXo{L`geb&aNZG7M$p#b-P_(XUY@GtJj1rY zc948V@G_<6w4>wI?Kk-guZ(Wm*r|?#kHBpt@Un?J;A;=%%zbq-Fc*vySd<`}0MZ0t zN;ieOIv#FRSv+7{cNoLk9gTbfg^ys-$uj(N?2x(&m4PBJ`&+_ps@#eFYBSSjlNA52 z7pck>K^_2VhrJ;xBZb_SSlJDjtfsi^=xOBEn|;FNITnJko9eT?;Wio9t*u}9CMMCv z!22~a{J07U7ZxV6W3CR^Zk`W66J^|d_vn29a@C}KR`Zpia$r8!pi6d~?x5L~RE@IN z+-nziS|)Lu(|!^NM(@+O=b7`H`dZ)O?t58xwApb#kB`oB6L@`R|MF(Sfgtq?69*I4 zB<}N+I$Pm*qn>hzwCYWx(A{uL>SQ!4uBBG`EJCY%NK|@zrD{sVC(_$;cf4;gjb@k;L5>_yxVPVmuMCnDbZ9b zPzG|MX=z#bdXwSn$6r2?!v`Fc02!ZddRae+;enBtyRE?Il9G2mTA?1W+eTZiR%2sfnw+`rsq^U|WC8u@;eTQTw^$cr!d8EP4X!x}^-cuKW- z)o#8Pa1eTg0{SSy^_arM;-WUk`7jk@TgfAVBwg@Pw?m^B2wJ>}*VC(TiN52irEG6Y z`zD-k#43y34`}{dI%|aY#rdy|=oo2abRCZ@Og-GlJRT`bi$zF*@IOF-CKsx(@Yi{J zA%`iki)uUNZzBC2S5AYHWOKd(lyV=!JFI>qs2j>S1&R!*~BWf&4Qrt;c5W% zE|H$ihOxG=Xnh-cD!tGK_!7RmVm1l6A5Y_ds72#vjVc@o-r$9eJutL-e_`kSJQGCM z4A~ZDu7DMvKc3H6WH}F+_G)#2UAyKdD_DmpoJvY`WZw?9Tfjs}UeY>trRV6L!y~UJ z;H*3}P-HqQ24fjp$w?85=GI`yjJPfomRCsSaEf_sAQ;q5fML-lSmn29F=JDs+mYj} z&f%BoO4L)$u_u3?Y=WO8n1dwUFM}{Uqrb_+q<45EJ18+3zjjJS7%M?~i#{_9vp_PA zskGcHEBM)9{7xoYXidQZww)@+jKHS(Ua@PzpCXdKok6U)^jabcOW&cN=?x3s+#7|x z<@f0K1$ko#`yj6w|Elw!2@v%=p7)N6zPY@`BN=HbU9h)D4f4HKA@$c0_;(Ebn;aAW zGAh{Q7RQwl-=-JWHldBtcfky*B#pfd*oEl|tV6XrUMP2yl@(BFs=D#4EUGb1bw~L_ z9u>P$s`#t~Ni*F`R_Vs$AxOl-n9mpWC6oUc{rstC*_1E=8&wZ^){t9yy+FFxaHqiCjcM~zCz&p=@a=HM*BIw$ zy`&euIk=fFBe`M-4jze6zOfZ_G0s4NldF*UnekTb)VwSTEa;GL=ySo%UfcrH;U3V z_BDLI_sWC917k7w0YPU3tZ06tKKQu2lMU~^a5iT#O-)QizZjoj9*@xDi86EhyV4N5 zMtuQy!K&di#HfafNe-@FTQL<0gMpjz-OX&ml;bRe=)`Cb^S+f#7l+Sjf7BHJ+}+>6 zzk$d&o$c5z7f<)e-YyvPj#IzGGd9&F*UD*TTE)D)>}979nda-t2~TEb%S9)BFRrQL zuK~gyPJ_gU`&&vfUs^7>Jyq0t{hM+8F9-NPp16MM_&HA&mWo0ayIU)1N~dYE=7UMi zX_D7wiPDhXi#NU$rE2@6p{5O96>`W*WLxjuJFEF3FjJ7nm;IwQUV=Dyq)Hwcz|!(( z?KZcuv+Gb2r?H*uqjQcA+$I5 z#76uRGdm`mi|koHqE3?>L=Q(A#-q!M<1u~aW{q};H%Au+X4Xw+9s~yos2{LjH@Twd z#PWOmf(6O@$YskHk!F1`(#{T#6+ zaW_|&t4}_^|MTbaFS#$EhfJ}06=6B!(?)=*`t82f@{>`jIl?KDchwwREqBlty}6#v zxXg`-(`R%)UqqC(p!+aVJL@^>A*^vF6|Yrz6#~N*Z!}=V7UmtcqVr2&TCKZpmoAoD zq^oRI9->D|Cl&68D+ek@iknB=*NvX_Upwo>v7nkGG7e=TWEzhc&JSqOIojGX{{X4m zu?ekY9!**#RlU4#-ETe7aQ{KZCuG}hrvTe6Dd()sj6^ihax}Sf_y0c64m0QPe;F?g zFTOPOVH35{hm`vSA$d8HtXZ06-`j0XQW*Cn9*tdH^cVujY1+maSN=_X3;D~(IRXRG zsVuhApWiX_a7=2$<8_00CLzjZ2P9ct!_XV(qNt<3=O;Ve_vX{ly;w2X43W3rw zBi-{cUkdxuwvYcO8yJTw4x?vYJRthU=Je(Ks~ipA;Y%|y9_(+tmTq|hsr>QUmdSTb z#z&p~pQ{z3|1b95JRa)5-ya^S6iE_7Ooe36ntehDG08IaNkRz8zKlsi*2!MP$i9y? z*=3h~-^18ei zaCdWqIL#XPN1x~OnfcYu~K8}D!}6H&=TQ=(M%=?l}W2?uHPeq(Xe$=1^T+6wk& zPXD55CjZ@bX_3L_7{0at8`t%J0^iShd(BljoY%i<#x0O5Oj;E9OmR3d(d$NBQ`0#~ z8&v&Nq1%I|6{GfoQKqO@9as3|ts{G8;VSOnhD+`$N|(Hg;TnMzACPoNXJoOo4_A$w zIade$X*1=!-mOq2kIerlf%DIXu0MO4&#(R$p60iId769uM^AJ5)0ZbFl^jkn#=_3M zt1$?wC&ytJ%9ym#+=K$7_{XhgC#BA|E)ygQ^A+fMyr*wPF)2(dr<<>TWiENwIH#uV1i$ncIL|^y~K05LQQVr<=&Y67!R!#3~Fw2oO0y@zV0k(?ohW}+J-=Wuj1g_8~4JkqW!=)2Z z-#$L{xf?--RhPU1m{zGF)4fi-4@B^RsVcLSywQVy<7?8K!uN3_nH%2XSR+Alc}s*5 z#!S>?5x_sGS!ZknE57-%UZLbkA@NTi&$Pw@kHCt$x!A97&;q;(mGF6=qHnqtJ4-YR zQE&u$mFLSa^|H-|r?KSYz08Y1WJ3~#W*vuEp57kXj6Y8N33`-L8;ZY|p(a9y(iN3` z-BlF*Ez3wP^Fm}U`Q|yX{UP_OTg7g@t5;xTz5is^{IC5R1q8cwULRksDjs)TMam+7 z69gDxuhIYn=3z|2em>o!?H_pucX3xULl^X&9mk>%>6d3#O(aC(OI;Rajq1Vlmt8_s zaaX?Gx~lJByiM}$F(-}qkTQpT+uBx)zXqFjd%ozh?)i9z-uJ(wl=^44{$J9~Yv6Da zhoQUW-J(Knr(D{witqB901s@P8hDp`|IE^K|2@j}n)ol6yx z=DC|xOzTa~BRZL?Bzh#>iN@tPK4Y}J+4A?A=l$V3)`+Ff!;z9z*zS)hNspyhn?IHa zdaZ#n7J2SZwGMd04w?Y_yQ5Ty{OED;_Gi6EXsO8)H@erU?;1}GZKulOV!qh+9>6bn zEA|mpivc2ld7TU*$?~}MBw%@3lcvmNXrn)uIbu;|!65up!P%-*?fK(^S*7PM{r`9q z$aYw#i9P^v--P98I1jlxu*|!XF3ux zZ>i%GBo>M*`3Vw|AOBV(nOnB}azTCIle8%5CkSueG*>B(Q7(5LncO1y)9(d-^Vb=K z%uOPzArV>G+eNKT`FLr7hc^!J1oP1SwSE|M3zBZ$^NP=5e!76p8P8QK9j|NbC3U_<-$%4ELkC)imj0v@4Cy{q-!Uzc_07! zn6iA;BS;sdmuHZ_^2SE96VO71MN4Da6DNUj4uvLW=lirLaz5xbrVt`XY5NnD>+t!r zZ-m275LgIV3rR#EOwUTM6T1nl3c)i{r~c_<;%@}&|MEk5>6%FN1KzNV1amKeaN4I)^LRR0wTxaOhAEBNW!Vq% z1%jo0_9;r-fML8|w5`_;qM;)G*+_AV+Y8E$pe0>rb^hmjp_~Ym1oy2urd$O zTY~#5hC>?-HntzZ(b$(mcZN)ubNc0#v|j(Z%>S8Kr9jS2@%d_wiG-|@ck5;C{!hPl zuwQ*l&FUaLia2m`D*xU@3i&|r!t8i#lN#&De2-%I?cFDzVMi86nWP7i!N+tvGdb~r zL@(4~;Eou*9D~HBOC1Gr-V09Hk0QW&U3T$3SZ|a9s^JRk2B1R!pPrgvi1#yq6t#>T zNkew!z6WrogLjXodtr5cc=KhC{c?*+-s<{)en;nYR$0ge#^Xc?-lLlKUC6jqgu|KP z1CKbaT_sFA>8*=cl^LLKJkmWsBq>z5TS=s;z{bms@Z?SzoOJob@oB+5eT1(7NF%*z zMMd(P(H+>`RBuXp}WukL^N1^TT#elclDenGprq#yEu;qvd1 zJ^AiEA+~9;19uDH1jq*-h7UeYd#kN6{>@}{WY#VO!C?i4&8Lb z<_~^*yFl^DZ#c26%&%{T>BO4)8ZYmAT)hnr`dno%(cGTZY*G?8NaA#dYfRQT92@)L z`C&djVGX3Rs1%~61Fd_~fLdWcG0VboTD0Hdi$9;wqdPQg85Nu%DB-ta6?;1FPPF?T zSRpoSu>F)t3<-{oSayaP?E3zt{YTL=%>g;)YJbZ&Z7QvlY0tVRN&AI8+Q%E*iQp}v37S8&0(da6AvT1YIU;?^0~R|l z-km9Jp%5y2l)oW}bA6yj&IltF`{ zUe+gu=b0hBoB78MVU;YXy+r9p?*LLTZ7b<5Z@4?xfk825ig93$#{cT8!=UwEq;Dp` z3;eMiMJq6%gsk|ECyEvzxpw}BJLp*`MzyAC0^V^d7eSQ4C zKYou7|GVPjF#g-D(hC0s;yPq-NE#L9!xjdOvdH>ARs1e>cj|;Yk5%Ps;`0w~b=NYk zhfrS@l}+;K@Oe$MWSo(WQ)%}6V8Mv(7a@wjqXULc3w4GonSO6wVl!Ul{b=Rs^2jz> z2t9-ev&~l(ku0k;C}!`zD|;1b(o$(xG+)*_-CBInX}{S{FVC9)LFl!QfTt|pG1>h^ zT06z~7GN=F3~GiR^Y$UpH7-N*gV{WhRZ?^G^v|y7S^VR9>fiMrf7A1pNS5dRytE5E zzOJJFL1$LwSTP5%C-B_Gx!aOXfEv?3+@5WR~3DS6%jeM>&EiIO^jNk zgO}!CP9p|;`0){_=RgHXko{E?9KoYfE9ZB>(maeJAXT0TNOO{kYsGB zN6A$5$tPwV5G#ZTXKK1U`+UZgz(5EM=6-+W5k;FD5_b$aaducT1p55B&}2-;=fT}u zQo+k2|1Qyznx@24Ji_rkU72Tym8_46<2-Q<2goc-CXH87%Np^;Z9uT#^2@XwXju#@rV+cd_=HegR|Ti+(ByOe7z6OS&Q z%R2VvSA%yk)b5$2)Tu+rDYLVXtpEeY9m%exJ!>AmWVG!@QUS!%Fb0rx1O*%tO5$qU zyG^{I^or$=E93NQVfQO!zS-^*jq!R)-TC&$F5&Ep;)rv`bGQNspr2sQ275Tb^=WTf z`d#Y?Ibi+R0b@M(yv(Z4V)AZqv!RP@+tKC;!Tn-;awPtVFI2X*NC692C06TGBP%tS#@yho$zD=Gv3 z*%IDK`BC!@EUK{I;l5r)>DS^9jV=y+m&3Dp=*{rVz9X;b_phYIJP_+kS=tuhEZ%LsFn|0EO#kQMf8pwUS zJ+TtWhapJ(QOF67xVE;sEi`Sl_9D5Pgu3sMxXMECaH919{z3GWa!K`R-vZ%b=5h4>qzlM709UHk_!TdLb%$=I z6@Ee%34sZhgEs=i1+jW}oWTkq#M`*wB+=1{6({h%jTMonjtSX&9Xaz5PSmyC&oOB~ z&|U{`=MXIbk{i%}^%X+mbdU|XxVV#36r|IDSaY)$@UEYrJHNm0_uu9Bb@2QC_&px{ z9w+~QelGA3xp6^j=_x1nz>o%;GY_Wl))gWf{!Zh;)eYHtZ!KfOIcO8wl?(A5$&;@g z&d0L7SAg$x+GY;;Ty(eT%r#-jU1Ggo{6ZTqK(l7NFO+M1avd)&HG;A3j*!pbni zL5?y_%+<#}nb|~}eMdD-&Gj*kQhC5D_%G;=zpL8$M<$zpw6$(rtD|z3HQf-%Kh%F< z%%pco{6WRi0*Nks4rOkVcB$F!^h<@1Yg$?Nm9A1IKOxf}NM(NY3Z*^JvKWJlw(ifx zaPDudEd~M1gms}kJA{XwED;|NU*`!8l*yScVH(^WtV3d~N*S?x z!~(7Wx$A3eEMp+#7yWR#E)j4vvTzEv&o;FFg9Vp_!n)U&pgSsC49875z|bukM}m%- z5aY>YtqSb&vrl1jch&%hPJm~H{^2YNOZGPS+qer}m3!sETTPx8)vL@*_9?$SG3sfx z#RrWP!Z9{d5GABY6iqlYhMbW?`g_-X$tLz}$!b10pjp@8BKf+) z@fXpvDhIcsfaIx4kVpaDPMy#pSsey)cv1ZIvjr+xIsf$5S*Fd|qVfQDR!SKyf0@F^ z7p1~&y~S*j#^rV=yVKa)_GC;8ti`EwYz|y0U)tZ&-(5aGKhmdWT=ZhMzp7|2y{uH+ zwaCb*g*|}t+&d|d6b$X2ibWm(P|1Ke+bbJn+#Drz78T#R8|J9hE!eYDO zb{a12*1hu&o;_l@c$WSs2_(ibH@^ zNIoj>fBBhb0fm<<+c{hVUe^BoO3Jcvajc_2!>J|m&pq0Pec9`a>E?bS-wSz$=?;uOPCuxt4A4-myTDWv3_m16maEOrh;?W^kt5 z7l>5LHc6T)7bWV|3U5T#j{-(Mk`$k>l=Zs*dHCXef{P-ldKG)hNohe3wHlNk|bXSQ$II+u-y_nJvJQPW6M%f%G3NIiz8AcJ0Jd6OBF8k zxJ=V+OZGVFlNk#?`7s@!W|3?XM7FPtVZOx7y9(ta(9{!T zJR$ykspn1)Bqv#J8U%O{F3>b&<9k{!;f=nR2a`D>c(%@I(lbi56H)ZL!8Ek*Y^$tN z7S>lL=krK{Kk}wm#x1IvkV}5_W=BhqbjKvFVLCXD{zv*Xjmr;VHbU*7+onjK{X#sa zLTa>WtfY;eQ&_w((53iWq|rY%O$b)1!M8=dZYP887hVU9Xi}>4`i_f^67@~Sme5z9 zqSgsIU)k)B7-hfDB75dcwM`@_NIhWmq7@t;n$5EjeZ2b+6VN(v;GPq)Yqe1!=3p1s zhdzYOZPFC34r3;+{WuEUq^(FD6^YHWXx6g-UZ1*fb2=SYwL?vwGVsZjaQCQ-=4 zE+vPh0%q2+Ax-mR-1AwuWUJv(?P@>v`@%*+a^(5r@@bG-x3a@^sLeVfsL+>aKL1Zd1vya0NshriPzm_ zM3?7cTjXK5AP0Hd`xFvoj2gZ3k` zBN|`gdoFY;t;zNEZB6PgRknQ(VXK}b^5NWAMK~NIP=VLr)^hl=ljCED_>_TN7P`vo%0Jzofy~e2pZAv z#I-w_>o{F@KsA*WI$3P^V?rPmvWaPg_Qp@n6ccv!c-M<|BiO$6|#E#$ZT0b)gpYwjK55opXEx>B;wy_%0KEqG-or?Ypy;X8@oYQ0oXOS;=I4CzTFX2RcnxoLK)5ymbG%yUZpc5?%#hP%4Sct^BTaRC zOqBrtI_t0h$VNO4-1&TLa43uVCO%&3dD(dFZWPqTDd?0Ma)`@pUluwe{n&l3NeGW@ z9FQ@l1m9=kQlmR zrURlV#GJ!N@v6?{E^yrx3xll`L3eLe^OYEO9U&v8g7CEMeWoi7|5d^TGz#elSJ0QL z71Iq=tmvB_D_-6RRloW)wXg?2ES9BET-xz=fx`LOn$PvYYQ#j%Sp~QzCpaq0#S0Dp>5R)0gj{)9LWK0=;dY_fWE&^I( zjIj!|fz#Y}MaIh+h2P$pGt)w3ku-jS;{ODt#UxubGco)G;dU91=Z;23hGxubdpfs1 z58f^yPyUy2RsZq_@M5NmRoXd{`YO-5S&!Xy_68h~#R+=xswcD0^gF zO$Ex8l%hYhX2sJ zw?4+1KoQmJ^|U(oudlF|>?i2FKn<`pYJmN53Qe>bDi5pHef}+vVt*#645>Bm1ySzUjbq@qOCw6eYL65#D$D zNr7b``#*q3v5-=(Q2V`H*}L}!95~D4mt2}V6c$)Iz&r&iPu&1R$ldzEtwwvsksCGM z!#%snH0dNV_l7n2_QGORwn@9AM`qgv2a!!z|3GrCs5j>bmv~3Aer0lawD^}4(#~hr_xTxT{a3CN)SPM=Im#$ETT*z#(uHldD;1!VHvL$w4Yf$=lY^bTpRiW zf$M1LAauxu%EUpXw7m9fz*K&`aL*MOw46E$*rpUK(eaNs%Who>?Vvr%nTS0)^=)@K5ttcAC z5x<$S*~%F<`8Mik06EJ!Qn!3J8#$x>6BGslZ%3|8@39QlZFBzwwV?2-E?WfZRXF|% z;30C4nVB(|sN{fI>2J;-I0`&X*Cs0jw*w6LF5&}?hYE;_S?Z42Kh%DL ztfOK-hRd1PFZtdBt9XM31zo^_QDqyGBm4}XIkZZdz^8%Y;x9v%zRkw(T;gppR>;2IAh>2gg z;CA;VEL+Ho^p!X**VxS`-XRYh7gaZrm4L(Qg^}*0Tlk4NY9Eg?=_~y&+K+CONbA#h z$l)LlX>35dYY9~e?S@9VK~@pr1`Ds6(Ainf$>9%hnl;I)b!x+cc9QM-8Ba1-YiO{% zqt&#>3dSmYdUAqq)mbjpjbFO12X~YI#a>F0&to|=~F9Gnu!S6PnVl^zdw1O`oQV+d5Yw3bJHvL*Z={9f3yCd1IIv| zDNy?gC5 z{T{%UB4qn6suaa$t>Km#8;s?n3Nbi0O$+IQO30p|=!YJW8MlUeJEi04?%zF}Zx?-= zUzQ`zX1T}q+D*1L?G)KAMX(RQdwH|S1CGJ8q7w6+@v)+?dwU$-U3hdo>|%HKLSl%} zeB>()kh-0llZ9}Xts~!Mj7re=LZXr<jLk##EX?wwD~!hG)#@=Wyn|8@!d1=RQF;nSbj#=rc60rO#iGy1ZThha=k71`U5 z?h$ffCsJNI-IR_C5w$FI+SIywX&W5wOTltiSI60o6IjRn-!BPawk{z{Ye=a(^o_6} z!;o}dk^L7K;mi+@bZ?{@74#6R&X^2{*`6@3n^*(NC!LTWn$w=Ny(n{o7EOWW&0ID5 z#LGM4!{`oQ|B`7^g*qGv`%;gpOq{@x;D*@N!TFRC1a%>95&d}#k23aatmGrS z9pzLWje;P0sdIYGIz4%8ahFx|YA z#Ovp2W6~_TLD!uL5vEbIsDNE}B)oiZT3fYAJ}~wM@270jio@I%?4Y391g2Bxd4-{y z^Z`pWN-KB=h1V_z?=gh{H95c{qBr%SyHa>qS<@XnSd(6M#~U`oi=tZTGc8+p6Ou`} z$snArdOCcmcjSiYA{*eA=$!X*Fpwk9cG-t%$XiLSOK!B7Jn$n)e_$1vyjV3M=(o1u zhsTy4-OTr8yb7$|XQ-%xXUW?qM77!YrIC0we4yHF6Qm2?_5Ogl-3^3LyMl z$5k4P*4_=9JjU_A5?Q!kHHv`B<1I64g+-ImuwLioiZ4|x)Z2a!x!(?MHhRW9hVa3- zuz~@EfF8U2(GJE5fK7VxTh_x9J&HnW*TeM6+f2ZfTqwXZ7c-n!l zz%}Q3yZQ1N>Ippxk2LSwLxSuEE-W9`0q$e{E*J-T4Uw9Lzh!>%P(0JsXpqPE=xKF) zMPj;*&GK3}!`cr^%hc^RSW&@@Rcl@{Qi1ary2Ix|*T`*s(FFu-vpN0w+vA7g=_=#AleyyVSnmj=9btrn&-<6ik4!fwks9~`o^?#T@5y!m8oFyz-f*Z>Rj z^un}uEV>H@$p~hTqTWDSwBv z+;_7er99M9)%Ygr)l1&qty=1}OOY?isMKRv$pgYrvu!7~h7umS8kt2)iymEkm1*pH z{Mm5(*mA{$+HIO(KFK(hukSs-1tIq?YdGyrblGu-yQc-q4?TOF=5w|rk$V6iG4{6e z&S;q@9kc^8Rq=xeE;?2Txm9WLeZokHqVI!0IAisYXaA1cAgTwS<2~kQ5(&qwzJkyR z);7yQP)!rj+;poFs0!g^xm?MM;@zLm8xwRR zhUzEC-WL3#0wpf~OyrbA1i_yKppP;7Pi?%U9!EH*c8Q`K;-7EKMp}R3aLl%{l(~H^ z3NDMUYI^J*XA--{#~*d*x{UOvKxVH3cBTsp(OY-rTJ?DJf`g*WtZt=dO&Hw27m3vk zQeCFH=5#cYn)&V6-@;+z!&gb5jf%wQY&Aa}IvWM6@aGZ8D3>*?-fcotTaHxAyJQz+ zyw6*B5ZYjL^-+z}hN(E?r7Vs1++|nY%nkZ*qz+!&CDYmsmYt&{R34Nrbfn~VhDm1r z03(ZWq7KrY+ zQ6TBRIYB0dhb8p62>A;^O#4^!aP&1{ccqPUUM|JmPP((Lh=0s$T{~H>)!mF7dcmV2 zE9|7Pz4h7!b*ZJCOmDbzFaC*-8L4d*DZizk_Y8q5i!6`uY2MvoFAbR?eWIhYiPQX% z6`Z;wnRf!6z0lVLe>ix*fP#=cVDA$OY4|!DFX!MX#UdjRFVgLhXJ0ze&M$b~-70i8 zqV;C(2!FhQU-%RJEdce9T?qk3V<)<8&{ ze>Ro18XR`g;eLpmYXyJ*TBJYP>z%7-rxub_Lwy!6pB~*{1M{tU0GvH~44CbN$7Nv) z1NL*(x=cHx9`-(o^2Fv>{heF9I)=<0RGF3Cr`&IqCC+>e!p2-=VtuJ|92{QbJOER< z4ohB!P|XiJ+uA8>7(AgX*bjR$?Yrqlx!C+v_N`976QD1VTGZoS-q7z3(+VEjj9sum zb;8bP9W`c_$<|O_i%$Bb7RN8L-1O-A=X4FPf4N;cp zANhnc#$n~knRT8LuhMfgS^JT0L<#(6*s@mcSlMAN$uIj4ZR*-0bo|Hv#YO(ilod%a zKJdi5{U_)%Gw2ZIn?$ue{mz@l#Upq5pgfK$OZ94`ZaXD=sPEpdV(4KVr8kxEh6A+$ z8L4Ff@;UjUVDogzra#h(;|G)507l2+Mx?U4G2z-m+*+h;?qbITZ=w{zi?_{?$ucdX|9Crqz>E-I^;-&y>ThVbUL<%8!f#P^*D;fmrLYG>-BaL9GA&tGY z=0zbr9{`~iesD5G2?T81W%BRNJr}2K#>Fu?Mz`$tvQi_RP+MOgQLOp|+a!I=f~Kv0 zi4V)Q1C*O?0hE!_G+JU7`DPfqwx3~NUYWG^2Z0&Ys3hOl5^{55u7IN(`TR5rxudgL zw>AzwIg8%rz1Q!pG$*}g^0a|vln&~_AgO%ML0AY~a2{xSEYoe5*EgAyXcK6TXMno& zsV$ORhAwA9czm=y!x&s8mY4tSP>=Hgk?b&;CZXDCCSS3>_69-#pO@9KXf%1fp^NPS z1uN<*pqF)(%Y2q5gloGFl?Us(+4?BL&R!eBec@#ua_Z?tgvi=Gu-PA)0yu}JI$D5G zqKzZh9i%-p%BxkXpg{4sY z3ye~onvmP!-VX-GRVM7beOm9zn6-@~DJXqDVhaD*8@Ou#D7Y^I^D($Ea#{JcT+GR* zpP>0fQ{?Dl=m{ge=f4D@YmXF9gdF1xwOu@%Q+5pw4(BBB>Qrao3(st_du(72SC z`sqEsQ9#6liwG2y{;(Mb0>caBRuoW9%Ay;QXIBp2|1iY6wn)D-ma(Vf)OTi=ZFT`P z`k7FX+|*V`0!t)T}J5+DMF zG9EUQe|b`gB5-|^rMf&KXcBE)>xh3^c555vZ%_B^2Xo(~`L!{X)`9Tbi z#Jni{^F(3%t0L)=dQz(-@BTF}SXX66oL9=K8?$|?{kF+Og(|x}aEfV7vSDA#y3IhE z&Wq-odGls-XBrhsC&~rY#Ft2fOMtZ2{4wNx9mf%b=B>O+`DG=?L?r_ z03Vkzx~dmpV%QeN7@#|u|86uCl|{cdP2`211_l?H4CJ)$JthL`ThA$*N1|2=!Bg+* zBge+Y;f{W&PUfQltXpFODv;2rmDc5yVG>|#FlzR7H4KGiy0#}tcul50iwR2K#-Kzy z7T%{4sQP>I8!pc1w zJal{6{n$8R1I1ye8yWERdE15cv2j0HkvFP)Y}nf5ej@#B81oSSx+tq0hgMk287qk1 z^+=zL*F0~Z0FIVRuL4W*fxDwb6EGp0w^6s!Z&X_=Cwp~sramlGJclJ^;lX9P z(#DHK>$x=~owbm@kwM2fgSQ3!cO>htlc6vx`f``I=WA~b&y}9euk_w+gZRtV^vIhw zOCa?8s%pX|A-39z)9ZQpqPbVf%7U(c@psp%Cm%$w88g)2OozK?H}*obTyXv_%HzcE1nPz241^MZU#G_LZ&OZqQ%?+vtK3P_o+8y7K<&*c!ewaBC%a zMxdDcPABh5mo!N}7QNpL*`qbCDCj$ipLMg4g)|;Wxn_E0D<^CTa`5ex>w>4){EkK- z81IMuq8{VpV{9a62}e3RCAowubh22ZS~O0V&w*PxTFn#Ej1UJ#!*wNImzGY!MLO>x z$0bht=q`f-jNhDtu^N5J>=HarJY66R?D&lVrlt{PnT9}-@JYG+}KgHmop^H zaer$zbAwy?;Y>E0K`H$vgob#7AuyvA>2f(yZPx9wiV*j5YA=Cgk{c(K+pZqtBG%Zl zTW9VC$FU{ORtY&Gxz7}{2c0!lT!&!PX43J^LC~m_#XIhTj&G2hbNdAs#Lw!cc27n0 z=~em_t0*QDRr|_<7^uRA885ud75Xl8;DBpZ#62Eo@@8MEr;aMZ4Oyfd~6t zijPYA`8cYoW6a9my=vV9xycPJ#-o~#st*7iP_PjSX*2uvABD{RHuiy1+9f!6!Czw&TArJgK){4x;$RrD%XWsBJAB zIpVNvyKK0#3&jd5VyL!D7J0Xh&H-H05j~P+?noJHID^=TC;k34UAeNIYE{jFOt}?I z6`=dV?#pW$VMBAJNLh-EFjLh$@wY3A|R7}_Oa%sFFDDxnV78`+U} zyugm8fE9fpEa{q6P4To#hKpcmwOl8K!$F;l8@5Gj2_-cJ)@@|U99lydrXE_A14p`F z`J^TORO<#wvOkr5AsjAo{NhaD>KO#9I;f_F_#JyR&3MigwK#orMoG4mQR`~Kjqv9^ zx)F*rZ6i*w$s?d>-&Gom$rH5`QH!(|J*M)~OJK8#x_WQ4TjH9Vm}m6Ul)R8!!*uK2 zK{v!$3sHG{F&Arhb9hAK4(*i|gUc%8`ZK=aLX-Q=?k9)7-q6gY?!E$j&xX^fKS9^J zJib=abILgvpeklNCn!`X3->uYcxw)Z4kxyX{P=|BZ-ndrxXY?L_y$60@$v;C*g7{k z<$X(=YfGyHHhtjFk{N^B^FETE;7_UvZj&-cT`(zo{LItxeH(knjxk|AR;>c9xqyv0muPmHHAWjl#cCW*g+&L;d~NZy z=IWl29U$*@Igj6m1m=4vxjfvG@1W|SjcKI<21=g%##v}5gkxT8KEyAJ}BxfO9&IUWeIxbXKz9|v!Lik7(oR# zR_-ilwpyqKQ?7p-BJqs%}c*QQAb2HXb0_1Y5rt=n4 zZ-~aGBOrgpT(l*3e)}yO%fMrURK39r<1Q|fk0i2nX#%<-6Q>d;x{5dC z@Nuxws|N_OIkY)pMAy4Q;aaa-QH%^!G@rx4J@8&Bjv)?DhKYX782iT>d3Bj&15koe{_xD`D0YQR`}(2WhR#1@RstX znREpnSfxn1iN<)V2u`rE&&HE{RVew`NUk8xnJD-R1$EL?3Jb!G!NFC$E_u|7M8qATV=qX<@0^JO4!p{nYm z*_#`2uFJgL7?R+GiU?;w97msDQ)EX8zegAO))=kWqqf=FTPYr5k-XA3rr&f~Y#{-kSXIKd zSOL9E)F|rPd{$BzxKV!FwaUKfIYNY}vT`)iqm|C53v*lSQFhWvae7IF4-P-F9I#`P z*~#k6+~xQQ;@JaVz?VRAN+q({&zDeaFD@e%4%t$tkM!_)6vcrutbK_*#LdoDe9){! zS=cQNM42!*7Zw9{VF`W-v!|0KD~(KFOLW zK|oRAM0#okfk5p5APq6*zY6Q!_|nG z2Qo;5$SWg6+A`TH!~)T_xo!28`OT_~I(RRkTQGG)IJ@J>5E)kY*b@l9fDRX?!fO71 zG5!tzU)PlMJ0ly^8HyG-N|vuMYQ(e1j?GmA5kRabr4)`e6p4uC!U_C@qWaq)K~R57afBn^9Ag) z`oU+3ET@s@Gr|c1E(;MEbLiV|f+2i`KS7?gmP4gudkE9rhm}{8`mF+>IgvCwz%(6c z^{djh#tR^rVVwly(R;|H=#K!UtF@dIvo;760^nOjub-gEOd#5%RIdIm7**Ye`T_7~A=>xA+_}OME}RCM@~rZh@@g6^Jr4S2 zFW>y7Fch>~G_HFD2MEY#UrPbNNQ|Eg`skt!cz>6R^!7RV3LNl-R~=e9@dtKFpChcG z_t)Tl*I@&IptFmI0M~2>tmb&of*i$9P`hzo-4yyK=)Nq%>lca;#)2~^sam~*tWEp` zSzaN-#UPqz&_|c5fkyF@DuMpk2%8c@q-A$@1ak`md#CXWGfPnp!ELec_N9(?PP` z^qQtGaazXpi;|xmIqYk6ecRY^n2PGVc>;B_i6XE^j2p3io!@KdgWsskyn;}I1~Cap zYse8(DV(tDaB`uSLU8*oNo_dhC&(CRTJ%BTCXdKWrH@rqXtx>A?B-o2s;4|>T5loW zC)0e)@0+g(V*d%!?>=?n)QmU;EcN)YZzCZLYuwzOAqWYltwr(Y z!6)2aJZh|wa$dqs)p|TG)8lr;N+0s9g1Og!SSj4wjhs!mMoN(m_A^Rakxkpc5b}}S z+ig^!`S!3seFj+tGHJFIC%Li53anhyLLW|i>M^f6>3dleW1NlKdyjB|K%6W@>S$Gc z?P@~pp)3<=GX}+wQu}uIO=VBO^p5N(vPzH?V$(`XWFiQ!5N!t!-Tojl1{Z)O#vtnA zR-K<#p7DY=0EYqk9wY*eA7brvMOe8++ zYDMmdWNe7`*jJZ@AAI*xRW^?o4+|{1iuuSH_W2_gSski#qU3R;QyRG^C8TaCT>ELD zZk`F~!W(M?na35&DwV~n074r{Cj)o1zR~+ay{Xf;;1Kf5 z_`SL!bD4e%ez8nd3`#NaQS;dsb9-o(F>s)<-Yo8%^He>sFhkdwvtakX+MQ}y!lzb_ z%7p@5ruCF+&(e;mWx)?c;HJCYEExj%*eSVTr76abiw|fNa;To1IHFo=-xXZzhh<+q zVljhNj_uZk>{p0wT{bacoH`{GPBwa70*r>Y6y}bkUsSV^jcC8yb*lk0Y3rHzBY^?! z=q36k;#!*K7-$b1qw|?bbbM5`tmxh;O;9@~ZI4<=)b8x}Z;WgY@7=9p(p=u3!`o6N{&+ZVOk>le-y*;uZHw!n1XzOsdnjK^qo$+TjKHh@9xCZWx-}da_ zb4wZds2{VHNZ|{#+g$qV*2?$9_V`~dqMJ+uM&bTwAvm&4VTn{(zAI*0lePY`Fz1!P z*i|2~Sx0KQ7orwpXbT`65ZVRO0r-#jpP)D5ndJ`Ebz$xvteOv>!MrdddvaAFS;r4^ z9R8FK{KnshI{-7_dD_2I2`lZoaLki)?5ElG$hxePDEjvtuBhhg3r2GIr;68m2RHdu zAS6zkXUaUSwCPS1(=cHDFlqPj*^pXQT3mjmKmF%F!iE){tnEC&aV`#5a6dt=Iu{`9 z#`}kjJyh^WxN7h%NV9#5j1T3#6JDukGi|JjD~)jTlj+S{{TlmKqBMv%lLyR z#Aj0$YUSy+it%e1Gt=D5ugrOZb56Yo zX|x$!)}#`jAaEc{iL6R@TJp;Mh_O$v`1-zGw7_Ka@Ky>YS(Wpd$FaW@WIvm-}&Z)96e0YJ7Ua6`?D z`xVxzN7tf<79%$AmTQfUPMUO(3eJry6K=PYba(A@v!S`GaZ>*6oh23K%M?#!U)KYI zdEaOAGIyjLq0h84RoEMO)LEh7OJ1WtWw#R>OwURpQsAXjq_QLgr6M%z= z!j=V3xMvGI0tw^~B~1D9An%pUK0$PU=uyO?|#ux_Bsx0uoUWkP;D;CPk1U0uiN1m)=1^ zlp-L#6D$;wq97;;1O=oM>C$@#=|t(hm(W8<;_qYaz3*9TopsJ$_gQzJbMEuI|L`Q4 zA(@$TeB&GA9q)JtY>oR0bgsO@$!Y%MrMUyfKKFR(i1jgtoP|=yiYt$U7Zv#CDW}?# zqRfz))qKfWIqUSYS6U!6^VRSAu3>i)+4|})kk*|^XYF>uCd7NCR()?2%GLv-A^Ev& zGMO~t%+t(nXOt;C9O7^SV3?4Zi>W8K^WDgCOT+QUyo99E%GeP3d}%y) z0ppJhbXBH}FN*2xx6`?c(!<_POMdnfqU(0hLFL(<3=u(y;-+d9#AHq`>#uj<&yX@y}l zBWMuF0m}7kHd_bj-WRN?aXXrjFgAH#H0sAG@kYtO_%Kd!nhf}lZrEqO_V&3AC02v9 zPY>OGm1UG1Wf^Y*v2hC%48X~Vrvuyv7+6oZSC`wpnx|(aCmQeTt~10q)`CvHZ)Jtv zzF3~TVM6Dgr$uQ&myOICs!H_Wb^o+|0Z>|;29qO_E+;;Nk#NAB@yZ!qi+FP8pI z>j5VMrxXT}*UY@&e#t&Fi z#}AJ^znth$(ka`zEo@lBU+X8EOj$#A?S~+d*QPJaA>!M02hKfa%I6?(ltiQHNahhN zctt`WX5GgCY5y%_dQiyg$6$BAHHdCWQSYwtU$*x!`_cyj;-PW%*O|oinJ@xm0Zhp) zzmm(|ziPjl8~xzi<`qrUr;J+IYt;K1YeAZy5G(9FzY%BS`Be5cGO{jJK4`~%yc*;I z{_G}fkD}2>V%D!@I7H0Z&zKoX*rW41iOM^w(aES`_kThzsjm>LK$)(`PJizS&|uxy z1R8Y4z_WbMAX^%a@R}-qy6`oIJxIuK_RX`bNG%Q7n=oFyE%vG148QwuhjMgh|Fy}w zhh(HS%*^i*1rP|BoZtcg^hy!&P+!Dnqa3T;+&SY9pIb<;U3l%EPKE8E_%Q$~f0F*a z;~d+&Y9bAA*&kW1 zW{x`ud)4v8kA}Ww;R_LrCtC-T<9=79#_8{Y(~ffUI*PC0J0`j-MMrk%J8}pTh}N!8 zU^#q1EItglt^xMoE&Xeb`;S174K+9WC7Wr+8-RZ{oQC4|z;GPEJ+?A`;&};8JS|9r zZve>p*iXn(RL}ACT_Og*I9@XjON2K7{RYpnnCPO2`W2LUuH<|FU z+xZB2I+CTDLbLY;E9cj<+Qntb-4`_uAA{3f%s)W)x*q*Vm+>3@(Yly(RS()K+bnrx ziNl#k>1jYRG{kNt@~KCc7Y3!FI6IuLYHjWZZ0yc<_v$Oedh4@rAyyp zEDUmT(p0zDsP64RsHwNxk||*ncxHHLrK62VZP(|eE&ir~7rtdIpM0WfotENpIFOxb z%%neG=<&4?$fuKA!ex79O+JZ6oJTtQJ2v7#dbUPoI^ZjsBb&9Pf9lvM7jMIB#V3kS z{P>m}$?bSn4?~QpAr2BT3cA4iWE&s3e zL@;(>BrU*bcYA9RZCi-BGS8~xgS9)~FY_gL{*sUI^%Ku|n)@XBuft=UW3w7E7tJw? zaG3IJi_YvP{Ep~HHk*__H$SZj`Mx|+s?Uvg^PI*a?@6~!SGx`ttAsz&`8pu*LAY}y zWHsdrTdk9cmnl3ny>*11|C83IDwo%MIauI#IL3kxL4WmPNsbe&4w)i=Y_8Jq_(h{< zYtHM3{>XuUx&mLaey{)OklT|4_M6T2nl)FED@G3^_npihO!;3vzY*w)qHUvEzItcv z1iM(PK4eB(CMFsb}H3c_p zKy6AfW+TS!mjfFlR{$1l%nY@*4%9YlL$@LIx6 zk3)5L<`aKHE_7(%rjfW4*k}3)r#TH^w^7?-fR=2$%$MzFye)@&T= zUvt|1^j7=(;MC+;1Cc&52);1nct{+~{D@V#gIQ}VTZgC5ia@M@dA60KDkQ};(p?oUf=l_SVJttyrBc-zxg#jsJ6xQGrcD0vO(F8fG2_`Q1JmHK8QfYWL6 zn2zQSgc4)UicJ8_@%vQKmiBK0+#U7th}ZP9Rz(L{W!1rEm-WIc5{l{9S=iMh3ATtz zZn9-b3@ik>CB2LQo^!_t9UP0G$N6WhH~F5J=L5w*kX(4}*W~;Yg30l{`V%5kh?v+zor&O0qqi0*cTEv@ zhyCh6%CByE>0Y2epc}ySKf4aQBOTLhFyDuH4A@OGsLtH{<{iKF6B2gAeV=-z|5ms)-f^n;n-x0QD{Kmf8uF@RW^LhPL1*@jNS-*f~MFJANKMYP5vR_5U= zX6)LrfK)fH$2CK_RLvG8<@TADumC$am7P_CNdvSN4qnjrNvt+~M*#l4(ypt$dZOc~ z+mnjO`s&-JSPU%_)ax`{ZMr%-6Bs$|l>4J@8L_zZ&CfZj&T@0`V0~nG?|(&62Bwr^D!-WTo)LAGn@!((4cPOy;D-?phpe& zACou#+XaN{KSk?zC*1(?*2(JZFVCcU`_b0jD@JpOCjS@H)pRb!JMd>Uv#NcFl~H~5 zqnJ4EzW-&feW0~Uo!3evN53dKGeVO?Z>feHqUcc zuU-S8;g#sa#P+xP^Dm3mBPY&l>G=pxi_M-|=KjT%;_R<)*QvSb!;vdmu37CL7KabR zeyxAD3G~n0?*8`;o&3a!BIj0@p)G#$mVI;z;?1Mf2i0qSHO%g zXE4SR703a>K%XFZcWh*Yec>;i$1eg9x`I3x9~B^V*o-U^tuR!1G{t8VwoXYXpSu-8 z=)K;yFVXT5g-nf7n)9-9k5aVg>~uCrN==#%kV(U`PV!XpC#z)JK4C1DzC+64SVhMX zqrIp!8@cZjy6X)&u$=h`0bfy!cP}^5D4$RcQ4DaF8fv(XFNwsSG9)BPNfSQK@a5X- zbV@#8y!{iR_Mkly?+TyiCRwlz5y`u(081R*s^%zE8H5d}?M>zeUcHc*bs{HWHJgX55I|t0Y|>ZrWWYdma6) zGx?B5f{vtO5)ovyAMqotzwxv|^WmlPxMsTDTFvdVk&k^saUbf;j`vAXrMzMllkS%} z)zlw3uG&zxLzY1Ed?o6pq%Ai|R0qrH%7U+VdR0WO)P{F?DD5Yt{xn=K;*rtKi z-k|0Dh|~U*5Ii^8BI3tN!^DrIlSqS@t74flIzJ)(+*{dzukJ_yoql8s8pH60YK6=+ zY$#p5343wEQ@q_ayUgr47>05i9&C0I5Oa)M;`K5%O2!`2ns|F@Y~|xgx(6sBhi3o! zIQt0vr|3f#K7PkPWq+Sjz&>izH)n@hd%lHu!wd}qc;*}szq{N8MYA~g4#!QHGVvQ? zOC1GY<>4Z}3avWgYW|AUhq^*YM$Eo1CHOah^x-Z=dk+X5A_-IYm5uhJoWVj{rYu8w z%xqo({_5;an5=)7HAQf78$4v}0{j_bcV+aK4|{e%9?QTz;r8hs0(>JGdSIfk;VfqS zp7$w*yD}fn1V}&pJ#~(apM2X``O-7Pi?3Zhzh}Zivdu@lO9|u~2n;*uObp=bc5`x- z{rWZ5;zsLSO`<}eT&SrBHwRzB1Mi0cGO<{-O?dqoqYqP5cyow%Ydqd@`tOE6(^%kR$zXqUOk=FM60r_sLR*U;YAv~0`o zbdWK2_Cggj(QMh+r)GMx7 z)JD@7tcB$9yk`0e1ksWc8S`$Sd5oB-SS@ms?d4x?Vf)|w0N-GMqr-sLLMss6vSGL) z93{?{RYUk6iVNIikLq#c;~Z%A{762AiFjH32}vaC{<__wkDc}7HH(tcFhy!*+S9HO zR}_OtrWdzBu){gY>uf-cp$RrToW5t86IWPh`4v>JUAYz|{oU&I(_W;nE;e(C8oH=` zIhr8#zX9<3F-)EG-Jn>arg5@;bwG_!?!RVU5t%7r{xSj8*o83c$-6f5d0ezon6 zr;XhE`HBe)e+3G9RC~-c4)>6FHL1(z!j-SSP07&Bmgq`tAfBTLMJx((5e_womm{$T zY&?KfP>I}MgJa_taj~!igb3VG`)gaJ$F$e9HB$eB9W`rK7D7mvFEQ6fGhJm;@1pkG z>dW-M38_&xM2F!b=~NX`+S3Sp1RA#64ksM{y1W7Cv@#(J*_&TbmRNZpN-(!U@Iw+v z?5JC?&{>;cB0IbZc|dm`EWpO2Gp^K&HN`{UTlkAqVO1_@(2ugi{kv*$>$i!bE4a6( z+|tHIGCEYKl5sH?zh1>z2rjzb-~H^{BJa}z;zNcVCEsv_`r8EZ@|T4>_w1HzHw)!A z@8lt{-6U69nUGR`9nohy`?)RvgS#}UO znHnF&qs#`}B@F%+ISdz~G={a_-uN65cFFO6NV+}%;9bhJB8m?_+224`7sVF}a5&x^ zPl?a{Uy8csX*}D#+NQeQwRT#rcFG?P`B7!RRa^2j0AqI|vfw8)O@iwFlcn?LHH=7& zeY_mjElQtKM=RISQfO&F^n1q7Ven?mJyQj$*IE{mWbqC_L?juf|1NHzh>k%!n~J%V z<2^QUI&yVQ!g{a3VtEPSEj!1tgDcheY}dT`_dL^y&$bW~fZ{rB$Dlc|;bxcOf^fOi*l6jJ z^t{KLvM=d;jCj|vhpJi1%3U#h=xSgNtuT;zq5_W)HtGhjBFtdekX~#iSSY~2Q15?2 zayLA;?xwnd$=Wx}f3#lQy<@=a_GWIBp3(4*ym;n!Sdv z(N3rD>A}oCgPmOxJ{wT_=IqWm-x6-wmJL`KK3SJUdKEbDU7w4pfX zo^xNfX744>(sQ~|^i_8MW-S%e-Om{Kly=Eo*S0Wh_5JS_Pw($8yeZ;ui^*zj*@L#y zZ#qaTUH=_L-!)iY*$=BI8#6=GB*jZ{?`QL+l|*xS>6Uyc8{3`VH8!Jrh?Kx0M3lhw zax%G|j+t4u|IdMHdDl3)ME458kEy7xd>ulfS3@Bp?r_M|cw}-(cLO+1(xwXEG{5Va zFoLn)DZe2@Z6t--f$@3|-Y3NRkfpB(QH7{6A80KFLD2h}2|(ztM!{M4{2%ete3XB} z`kV0hA8m90$vXQNyydrahhyR54d;o4kI|B5FEb`?=H2bEx835tN5a8-;@gRtaTFJD zVocX-F?mJs@%-0_t2dd;73&;XZ9B{QWSVFtgw2%mXNY7S{xNGZWLVY|tkJZzqF#)f zsk~4t(^YEzWjez2jk5=2H`#J^+!D1;ot3K|6wp|=zu<*Tc)uE)hldiLk==c}a2nO05b45K86+$DU!O=nKL$P*vakHR zo}5ou{wZnZW;Xt+FGFia)8l;S;^?g&G`u|hh*x&8IY7E#VNyI`AtcqEL2p%YB6O_{ zw%^OKgQCYqV}kEugvHWqu2%P|1a9AHd>!2*!D3l5)$BtZ3Yqogny%8WD_@n&dv{e| z`{hN;E!D)qU?+Te=pnqJd>8q$5^j}aAZEXwLPN3~{84+IMizJXcp4qa0^&Sg@h9ZO zPe{Y7))~K2$(QGxCbxsWZ3F(&aaaf{%0AidLx%m}8zX%k>Q#DKE+)<$P-xwY4bQ_W z%Jp7xt#G7WB&wyJq(qi93e%Aws|I$nCou1^mc$@w4j?xKK_=Su*hvculPIT~%m_@RbZeTLP0bsgYAR8SK~WSEo*o$kNf0y?%2+^MEoINR|9^>ez1WCu% z>wK6Djrj=nZrEYN0ea#sM8DpjT*6!mVqk+nA-KA*BL3QMJWm6@u&ENIT-P| ziJQk%&2yNX%OLhU7^eX;{Ws40#OiKhKyi>IsN7!z`^stLbT>X!2;^GRAm=dSQxPCi zbY6us^>}h=sqr=8{2IecE+crl?=jN1;m!czo?B=(8)hA?E%Evqx0D6IeE0M>rD*h^ z^c6CXVnP@sF^@5|8}0{ zf+hUr)^+P&&CQ_uqvh~tL35}M)^N&~Y?`WQc8*^svJQ!ZHdj#?53cR-U!1vH2Io|% zUwG%xF5QMgHnj~kZlP}Xq?YlF;gFKc-r>!;nHmV!!_RRY;>kN?-)VT$d^STvHBD)b zudmZ-gZjWvh!JuFNI^wZQcQy>#NNmuIN@CJ)Eu(OADML{-<_jD&bfT-{tG0mYkosNH*POP>h z7EjY(cf|NxS@;X(y_?#{M;e(QZh%x-)J>mAR|T83(wI@~q2F4aSV;xc(4P>=ZD&;J z7C}8hUzT?GI(^U7+h@>^sL$6bu)Au!L%6eB8fU)lwa)regnFgAhE>T2dKY;inwyjTh=U&f=&Mr zU)ibbY{uGc3}-NW!t5sA3l{FXeML)_uZdUq&Wmh5YI=^0i(lFoJSE((H7Sm(TG1~h zd<2x-Um@Rd@dz53bajU5M@U~l?0r2BD7j`WpeI&zL3HpLkz92JK^sWl_dx%viIAfi z?|H1$Jo2)bzdPdTAs6M)UYV}I^2)m7&KIol%cNPcfNiCW^!X3SH>SZ2zed z`$7A|0vXXuF$n$v7%0TI`AucsnQM<+dUYPeBM-$6iN1O8jebNR1ooqJVtdZ%C&d2) zo}1WJ4XS>8k%v1IQ#WaWs+0ps{7FlSkFFf>L^pG4siUy4rjeibV8W^>$}ed$x&;(# zc(}f^h~tk3$n^XBJx@NC~u+flK zMx)=3RJK2_EZ*)r;t`ylaorKm@5I%1LEOCz-YCSXIB*Zd5^4}XDtU-+&EYL<kI*Jx;5gpkcaOu~-QgP3IAAewinD`7T z!w`6(W6eVQ43Qv?)e&yu6f6L(PQ;bW;{nozd(NA%A8uWW4gE44m62I}lo)CR8T-Ws|BPw;TS!Sihb)5PqtAhF|Bl$Rg&Y{c zc6&h?4a_?~02sC7rjLrGeiOg5bSmdIRgA>SJhvrCJ zo;-Dm_cg-Ar@=GkI=@g)=4)6gZ^d&pyvo*n%|GL%4 z^#n#BVwmEotEsRY&y{m8=QG#XnG+Bbay=BXzi+`epLE2>!E~mu)?Dp&=DeyK zea}O1PfZz~@=up7%4DU19zrYA;kWUt?Lh^KJ#NplJ;mkFg5mQY?K%5n zsF2rdA?^?s%7ZN3N6IEWqdN=7L?3DbXvc2K97j<@BnQAo6L?OEuCLjFBJd}GrH1B3 zu2Cx@>X-;-NU+|1^HyDc^Qo5Li@h6GrA1LZDS=}wste?#isY|tKSrWD^S~%GuHbb0 z$g{tVFROACF^?9%fhz7F2AIaxXEr&jnTDd)WPLmi1UO)gN#ipaVCFkIT`xY9f?{C) zMcIS6jbZl`n`}cxFH6ZT^5;S3vwqNGm*I_uF{nx6x{-KsnQv^=XaFNFhJ#{9bo*`A zK*K=4e|ieHLaMr_FI(|MbdFkSe=8Gy+bAAUUjrQ$vWs@{Y$%E>MqC1VM+IJq3c2)_ z+*1}wITh1~tT)s#Z0XeJdW;+9PGyDAIkdLS#AA$>JFIxLqy0Lacz8HL4E1XwI(@8N zk-ycH$D>eR4Y1mWXNYCMb0(u%O|g!XExjze{$lj2h!ZulCRJc17J@_k_W=S&f)5Ar zYar7E$;RD2f$moLo3H0K4n`e4Q`s-PGlft=Ncl+%>Ls2kpp@d}a?} zOj^(8j8d}F7`w(2j|We7oo!ge2m&)$HzN$ zv)qqkIr_tNwGvjQEqNTB)UT$irFy1$OwiyTvAyE6@ecd&_@RAotGC<&nh=eHHCH8= z3fd_(IXGz{Is2u<`Jp6^9?Y9H3wIs~CTt1Syw3|Q zi&4s!H~1!Do$itO4R0DT%qp%NdM%vwfnR7cwZQQw(juW-p*v3)_9%&nxNacR{(F%8 z`8DFj9Z8`YDj5r~3BC^OCb&7y+gAB#exJH8FT^)0z+{XL4XTDprniM76OohtZS^r# zdAb^v4mAuo17Ee0yFP5(1MrzqL?!$U%7Y`b>$%hF#A5fW8A++QDCD-hKZE%v$%*GR zThb_kcN>9kQL_7}$9VGhJ;who&>&mb2m_oCC~hb6pxF650tb}Xd~lTe7zDK+RHkIL zRNmwLFC;OThM{qjjpeZ--gDe3IyY}Xgm{yRrwBJ1PdCw|o$X*zHPpG&F4E6M{s@?z zIp6uH7Y9QNSm+&!U z1A76yuO-a$S-rGiBg39h>{Zzt7adkgr5+Z}{4E~epAxXXj7#aZ{nqk(COPxpnB*fz zOmgivAi(VnEq|>WeAjMNLc;^2AC`+(w0Ny?@pJn5X@hRIhwztvel4~?hKf#!o0pun z73<(OS#@e)-va_YSqcWW;~Q#`%KSP(>GVz*|GL@BBf4M1Z(Mnk{RSJR6FYIDC}Wg4 z@z(l1$*g0l#GvwVn;SOtE`nLv>u&KHfv#$2kGE*NULuGZ`*^n?x@;5JkLoGKo394Sp`AHkS@+>(!0Rh2fSL;^J;(F10NMrg&BHSB);Q8?(+>n!khnJM7~{_pY2UPd(|oNwrCe)qZxR%qqj0HV(x?DKdtiy&Lltr8MYz zbKZO%Zk=Hd{z%_sj_2zUn76s-ldYPt`m)Zv?I}Fh(-SN=xQAtV8h5wzw`j~r1J0yF zME=t3tJXu6<988b5NNk(RqnF8w^*d~;J_=EJ1=+_jzvh^m9`nk)jq3fqWQw6>H9%m zk*l=Dg6!br0KY~z)4|&vH)+tU4WAo6K8Ql48r!FD zihxx2jJYIQ!I(!)UCTqHF*WD4{;%;iJyQ>RZ_UX*l+d45#@O$MO*k(r<#QnK!x#d&U^j z07>YNiH3<`wyPCGX{t@1>t4WjhE&1s-Ex~~QJr`cKW%r1o3S2As7zIDH-E@;ofhP% zU7$*wA!4r}NX*%LD2B~X9i83(OndaxL;2csx4IbXi=jWPFGr z{x-24NZThv19$z@{p<{@2G%1URV2`1TSQF7=YDCxDAwM?Ss-Lr&0siqbE1lg^4FS^fpw#m(rzLADANQWk(is?=(2PXOCznnMj?DKMK8!y

p=1VtYU?(*Re;L+R5Ei=Ky)S94hpw*bnBAop^jU( zjD&3n!G@|gfxNTGUMMD^G~O@r<(kD6dx{_Ht;-x-+!Hq&BeAEGp-J`v3ty_9Z4D`e zPM(0nV*oeh*&n$^^J^eRnZu_f^`QYl-w#cBCxQJ2%<%h-5i432-ut#=uB` zyT^m;Hjb-IcQYHSaT@l!E*QUxy?(BpUt27A;WexcgJ+p>voSOpgrqs^cYwMO7 z+z(eD?C#=o*JW+{36Z)>(TH0|iB+K{meG(arO}n0$+H483DEof7?d~R!_LWj{l)hF z4~E}eDH)pGr;1uwib^4pT9XYIt0_z<_Bo2)D3Gs86_g58P#+{u@Yys$z5wu}akCa7 z{@c=;qf-6wI0~a0e%+9}Y%bMlAwe=fG^) zjA3$-+X*L+>pVMgfq1+konGQ=_2-j6MpqwVOLN-DE*cstbe@<1u5S)J zFq<35T6Un*SBm?Xx)L87?6hEmcD~uN!F^p1mpO^Hd9>-F^OAIIX|I$Vapr0m5~XS4 z8AdPJ{o$d#deO)9TQk0vel-F?$%kXWmp;fj?0)6l*{(O#*Ea!gly!&2wJe!n+9#1! zeDPA+ixIbV(Z!|?H;2P_3MXfIBy@4ylX`C6cVFCRGsxzMYik<|^e92n@4hmY$Q}OH zuE9@A!T$6DwM&;}NP|MTvWCUdfa~6MX&0li&EweuDen0>1rV`jp=6S$Ve^IA*0Q&F z`97ZU@VIX(9wgUyQN>;@lbRhUTX9 zTXzp3@!4JHYZ6omkn+gY(DC>vXX5m5RN&yjHNj`lK|{HQ-Ue}l+_4O&wkA;foSc&3a_d^n5Gmh`fcsOTEa zw0N+mcb%$ZCHK};o}j>`ukUxg81o6XRo*<@;Ko!ecX&ViC=;D&JTYRwo&02(&E*>HJnRj?JsAdZat^Yu`gvZ$qfHsbrvGzE5^EK*34YpeSl+>+0NHW(lai%< zBh*9)mIhLFDi?qr>N!f4-u<8gUu7Wv@W==?FS?ZHtNJ z>C-5eyQH$@ZuE+ zcyr}LEA~wyre!6*zWO}R9>{KCjo8 zYfyXk-^CQUG})c1>{YFcv!b{VA#ov;PfPdS)CI6Md*Lsod@?=XX&5uV^0B^0!*XzQ z_Dz43-^$yxTVd7>Q5*@QW8ZbhxU|3a7OVK(Lf?-+KKij)c7_~QI}{cA*2G*(yRd9n z3D~D~ds=wtb;hwE3B0&IGRh#zJ4x+Vj?ZXX)AaHq-A}%<=%PEZ$KnKX(WxF6N_rP* zoZ(XXYOv<(q{MwWJxAR#fE@Rzc?}jU>b$#V8WCb)MgJ&Md4GC7TbRBuzodi<`#$aj z&J~vCB$@^}hSEaN8C?(8=Xl>PZ8Yx^qPY<$dKchI0&B zBkk}|v1Y%Jb?I>TA^5rC5IX+3wA>+h2oN4OdEg(@5mJAv8l_+dyls98u*C2^z}Y(G zfk1;T<-U$XwZL*8&$*IwuNNv}o#C1$`R&t3&bAUbTMhk9h2lIb$Bo%2sfBj)82HAU zmIXul#s2j3PIJ!+uBUNvxzi6XPMp~_Wmb8vp<=A`>8X2)#J%tPbvc`ip6JLcq3N1+ zV~k0ho1zP$f+^*d5saNS`DZTNB)h(@A^OTl>vLwEtSepW?0S7@!L3Z8;U>RRz6-Sd zRoohdr4v6P)P88vp_0qF0-GA^?gY8aPmgO?7EgJ_9aA^a7j*pz>Dff0!Cn6=I{FO-cedKM z?ldK~J+$!R+`UG!TT=&vBJSbE3S;F)oHFt&qd5|D>;0K;Asf*tcL9A%*dQf|AxHX zRu%EDpy-K*90b+3`>AM_*zkG6klPN}#Gfp((DBn93bfBDH^$$etk{=yxd874|d z_rPv4)c_cVQrhT9b0h*h$#1MGH}T}Y@JN8L@4&%ZXeJ{bl!oGQBa=r;LZ#I#pdarr z&$UG?GP+H(9rn480#DvUk&3Px?rE;bAVaVHqVg*yCA~@2BtzFf-XJ$<)HgUMa?OHq zLf|I(+}os3IPVaM<9atKh(Taysx?4hLm}`fS6^A6{__%0dCtLz7mF(eH=|q5dS)v< z+crE@%RxKIUlDXX6RdadMC)Beid-;8<)~m`N#wPs+dE`FYRHv(B|o$aqgn1*j@NA~ zsS5UZ$1QJP@iuenDeK8K(?@uL$PoC>RXCBf0YJQWNx%wEnT8Um!KM@N1GXMNXy{yds*A$!P-4u4mYh4UKCH7rYFuO63w8f#9WbeKK^koKo;@Ry<;*bhzd=vTY+)k7Ob z^E##>Vu3p5@|v~8B9ia;Gnf~|i<^Ua$(yiM0#8$80d3uDm-Ek8Dkv5|R~IFdeyl7i zttm|Uwtgvo+!ZK*(=gqsqX2F4(bbpmmj)^sye`eXa4}nSP~n{NxCUEoi$HF;%Cv-T zj~G{cV9r?26}VLTHEdnU0t|c{plwZt-s~evU{_S1-nUoa1DY!9kTvX=I{3@%Qu88c z_&NivSmI-+um*b&e?*>3rlBy|6eylndE=C`>>*x2y|!)68@E#IEjW41##6l3dm((6 zuC$;3z438UyB4jBFMN^~zB^l!twd6I-d4k?KV^&goOywD8*mi8ZBEQZ&eg8+CI3xK zxdpE?%(J%lw*sY?U@%@b18Zp*tk;)jfMTbn{XzEhE4>t( zy-Ysdw%IXF9-?DM``ITq)sUd~HP_N~&{x*mQPk(~RY%x8sc-5O$*hn)I+A`*imBM* znGe`_3C@zf+eF*}ni8^n7VcHy)L+fFlFOi2fFXw4r7rb)>BVLihiei6dg@HEUtKF?DO8(uH|CP1CD%(G>H3o zXjAujtpBB;3B#!Au_xsEp_d^vwWXaDUoMtEB7DMI^t?T1B%BZPmA$OEaG9GdU{gF? zN_P72jaYG}$`gilIT?fg#peNjxPj_Z7@yd%Zs@9waIT6E-8 z4fKbndBc#m=(B062P0p1-IqK4+S>4TdcW=O`IqwtWNudgBl!UeXDJBD!AOD;P(~J| zNsqfapfCIWFZPscS^W|LY=8)W5kvsvHIp!x+Tt=NT^o;Z;sS{FmF*iIq8&jL6Yj-y zN!>&x(iOdegUL7R_4Rm^zLWTYmcx< zrFz|}Bs(>PupOpmJ|wgC`{)h&i`6RpnX8+w6N%LXXy4aoktH2`iif3##RN*dUyVK7 zc@IYS!Y}-fnS0nO3jZngN~JbP%r}{`WSadt|5N>yr#`ZB zsYXrTiPwu8cRv=_3Q2-M?kn0Z9;tO)^);M&CCKRMydYN-Wj&dYDM$rr*L_YZd}8@V z==Kf7kA?=PQNHeMTNecy)q9`T_r487_P8&E4v+JBo>rv0LrV*^4Ff2qw2s0b`h_G3 ztn^3E83R+j`Q`O*+a0tOH}xL}xN@HMYGLZW$xRA9hG*#YWtcv(o9%ZCH^0nj6>i0Q z+JXGcO(rRr(M}c+!DGg=_TU|J5q6{F6Gmh2hs3rFm4(cL$=&d_-2p^8>v58ruQmA< zm1X5guuJjorXPhFCm}a7R^lNWz)&jF8xbT@*HJ6)jIzwl4OQIOV-2Riw^b%YkuWh` zm-gwQke`GFm1{Iic@O&yj&+tkC69cfA5c~cA&IQ?vyt+{^ebbZ?{U>sRFuSaN`z1H zJ!57fKaD7tv;fzN3nShzehzKF`_#+F$K|odtwQ<}xsg=?!gtoXy#4+*c+HCjbtvuW zs5K}ccyGXsN>(bIJy1-Tor_aI;pX0aIVcPJO7+XYevH+nkB*s$j$|wElOs-CfIt|R zt;tX*AvEC1E1C=mxN*JHDvFxxj8e1{CLdK-B6$&0!nr}XVCBsJRENu ziG2j406tsA1i(}nV5?am{7E>HewF2SAp+7j==00ki^M?djl>EcWj48GYw)Lb2rEkU zzP*ypaY5lE6Im6+JH|Ol4D0pLbC2r5VC>YT60Y{7ANA$W!y;tKpGby6rfYuxk)R3? ziuEGy{^4nKkOUqZ44MJlq{4W4`!1FJ??%f z9&}wvl-Xk!&F!?O%STRY3CTmew29yRX&yafzzvf+(?5DewjFtG;!phQKRCsc7YK>I ztfv~Ci{}f4Q;uJ4lkzcVjo_ew-Qq(7IRC%v;`=Tf%42f{c5lF_M!r}T{)8x%$wUd& z3r?mYv5Y&(Gz?RU&o6C8hV!R*w)N-ya1dmS5PM$lXAtHm?4$qv7f}%l&y;#2@i14^ zD&Zme+e>5L$2qz)k1cr>HRf)zDft~<`>rIy3_UX{%V3o>XvMKfUDKXpgD7+eca-qc zFs-Z%Lv%>oHxSw7EQP7wr9dqXUyhqv6PCWAR2bUV2d`kU%v@Jm)+n1t9kGMhNuH9E zLdn^77XYqPXMW7CPf2{wXKA0txzC^`_T*2 zdE?)SIo>(W^CtL{K_EdT9d zg%h6{Ef9c8IM}oR2ZnlP@+Sm$(hj*#gb_t=(wP6~sB3q2+%4G?X(Djs19iKkJsh!jePU#kJ{C$xDYDX{ zy>XM_FYbiDmMZ;IAN9{DOMj&~NjHyyhIv3gCb55^PNltjQzrVwiqDmyc%mJu0pCk6 z?}QW_kwUjja<#pDzK!33t9Dx-J}xOjluwMI7Ts$o5VJn!xZP-+evjMEQDb6cEBBuy zFe?(g74gGmr~WR$=Yxd@$pj;f-Hkt0bb^xRpSm*t!TWfxqpzM_>TlCdn#`9ij%q7(vcAq|kJ#kx&q0|^$bQ`c`vuOh1|;}8+IB*_T9J^p@OZbg5@ zQvVsCW2ndonFsWqPC{ zjP=WpFWnWwGdsttrmM5*FY&%Jjcnv;s*|Yd(KKla{6qf#FISdi3eL=L1gAGNnOu$U z6!04yfq$g0Tk6`9#Ic|&p82n;Q;rm@(Jv-U{T1f`{+CVR6eO`&{tRUl)PWn-nbq)P z;Oz~u$VF;Vb9)7P{b41-^32W$vC2)>z)#Oq_pc`74JdK-)ls_F7q$EqXPE+FmXRpv z2D}L@q&MyVw=W;C>HhsEP>9Z;C~nUn0l;QCYSwK7ODNcQK^9du`Fy|ynL*!$g-tdG zPga@O)-O*Jcj>w0&3i`FHy!svFZ1t`LzC*| zApq9t(U>u@deLzFqTf)}D$53A;j@B5t7X2P>-B%lS92$e@z55B?4+VPS8o5!xF#^g zRmCTCi4TzDc|Kfqb}F7brdmtJ;%7!*I4x!+qn5i4^wZI$>gh!f6NX|r^LyR;LU{*vi8hI6H|@}&Qc0{9PU5UG`!b3w!J0#gPq z-s)T0a(s;F7OQm};K7lZ(b@?{3vsO{o$|&q9lmNya6?zx^_rEn{$)$nOLV%7sO06v z|AdI9w}oX?ZtO4nqZ?wXO7s;>W2)!Ti*6ItkJs`l{VdSbBriFmF2S3pJYfNCc)mrW z<$9g@6#5a3=AV$vO3U+?dck*+Pyg~H^N+HI+l|aPS!)Po44z@kt;wn zR>??Weh;%taU<7HdzKU-9bvmUG*{tM{PvhL{ZB}uAr>AIK_mRMdvz#)sDj5*rH^g4bj9UUgne5jWZDaX7r`{3jH zm4Q3uao9~gttXxuWdt@?^ni$_s`i8}S(bMtGSGxg$ZXV7e?a)w0C>=nYM&DWy|Q$0kA zD)-5B8;N}(6sv%R(fDK1>(GwxIK6-iVb5RtgznoYFSk&~ye|TpWPi!)lIYEQ*6C32 zCyixEVsnT*7H{9__~+K?dKDbV65`-xsh}oTm166>SU#APc6xMgD|jTqffX%XAPhgh zB5EE=yjU|Dn|tboVtUjEB-`o_d@cPPAR8pGSPtyvmx;lcL&Cj>x3Z0EG83mLD$u@& zROXJjJgc)WJ-XN}w@3*Zhs8U(9`_t3N7j8K&v7@wv}#S$^!EQ+LCgQY zew#jQ1_3d^mP4;I*%wQoX-7#KpzB}PrE!scMQNJ-QBjwj=JlkgOfloRQyqnlnZr_C zaLzW7@lE#GdHGm$;$vVL9gue|roV z-(34=cT-00TtAJlRw6VKtp9#sEtMq%Uc^b9_AmPTfxSK&IoR+SgcIE8?+1KrC@wfJRRrP+t?XJ2Z+ zNx;SX`G(u}=Zasi(ip&aN=iubh99#|{!}0t>57%LoAs12Y@MH8`VPBGirPM2f!lww zJn+e1DN*HvP!4`#Dp}K*&EP-soe(|uvX2IyuT-Kn2JWA^g?}dTz@alepKgYW!S(Y0 z$KHDfG?j1r;#d$wDI$?hR60l#kWK`o3rG#UBfSZs3Q-V|8tFxf^qSB+h|)XKk=}dn zCB)yJnS1V;`g%)CLgn`+qjh$cp*u~8hf*W)4gxx{ zF;U=6#O&F@F-l4xJ!ngSW@xi7H?u0$q34c^>HM=u7#(H#O+ji6tw0NGEL71y?a2$K3lH7I1QjgYAOE->Zl!&) zp~0TX@Nxj6+4-!KFFCx-Lav%lT1db>0{bu@|G`WWbDur1AUO%;GM*2&K@`q@v^ zx*Xqm>JE7xb7jx)F`7%eA1MgGPV5uC#EK>yxPHnT^cgZ1qI9APpOv-*(i!AHW~5$T z527Dq0x_)dM>B0gFur^S$Ey|qx`R3ka08#OV=mah`Z0z_H!9%`p+K&1g&PI{ccT#} z%46`0Yj*Y9{e6e4E`)zQ{@2m{3zAKQ*p7sh#x*aMGs!I?peG8ab=2-i<2T`l*jq_v zl>-DJ(c7MwXk_W4iUIy|C#m=oh^C5XqwK`86E|AMjXu9{(fs!eSZ1-dSU~O@O~x;q<j>KEWIEeVxV~TG4+**`3$lE?YE5wl(8^H0&M;Ss2M0* zSKl-OWvA`+(8fG6Q|*`z$74<3`Q72tU&(p>WiS19d+E2GRU8l3g!oQ3MLS8LdS8pg zmBCw&2OM2>k_hLwFLln=ysa#1b+%jZ5(HRG#P)SOJ`XCSQ9y`YMDn$VkhcM)b z`C7$yEJ&=%E3H>o=ytI3m7bjCwhY;no?A!ud9BU;3pub7h{9|> ze7G<$A-N7;R1ea*Ko4s3y0tZpi^Q+@r7#w#taim2#j5XCa4p-K9m;JTlVy zj^&P_zy=j9{ZEY%{iL#K|opyUiiq4yVqjvop^Szf9W_#SphG!i)L5TJX)<|vV0(OvKX+)SyI{OlHAClCRQMWa<3-}< zVqldP*j=trzm-)$A&`Txse{2TtMtfwR9Y0XyFCI5gp2P#c| z6Q7|Vjpd<3c%)vu@Qqe|oaBb%WQ%fq_83<0Es6Hv?^urYDckZp)gzmt!X+=w3}xvd z$L-2zg$2|q3r$R?$yxH8nzc!8elBh6aQyp(=gh?9W$1e~c>o_gy914z;=Qkz2Q` zNJ^;aJC;CZgk77$xWf9na1!@0)uKLGYibJwW&JhFH&Fky zm!)?DDC%f1K*D?b0aFyHuf~JSatzlmufn&1fB0D+YU{l_D%k?>#H<_aAJiYO!htrs z@`nYdX9nj7^|R7LmM(c*mfbfc6sg+CUvAuwK19hQvz)2m$@I z$p3&d5p$mtk$+Ya-M^)|_i@t6r zjn37MbVg9g$k)R{t)G^!_<`Z|eS>hp`k#{-n*MAAeZg^YX0U@w&h6iD7ajI$ z#1LOtW4vQ97aS)5VD!Hb;2Dzr%ceOHp!gXr{}&nt)}Z-oiJ$oS-}o%l!cKZMC8EQb zMdGHXyd##;vW6CD@6XDu|wZSx>7)uDYKlH=9-bVjw^^kf!85A>+cSF(&p~KXj^*VoHx`uAx0^E)v z72^0cv5ELgZ2ruK`8Sq%e}FDjDG26`5}u&-Bm<1OA9d+-i!ryYMHy0;qPDs&)0_(@ zJ*hagedDL5O=wQE5C+t4fHzZ>R#YZfJH{;+xbW!T*XaHo4%y#ur2n16xx%M4 zY}l8N{w8Wk`EDL38ZxVInQaBt&S+0s6K_3Hb5>r#_8=3~b}ET4{nRF5^dOCGkE33@ zwA$wG3(aICOfJiW&L3|6Wo0@M{B6gBZ&`JdObh4eB~8d_-$gP`nzys3)E%*(u70|W zrqA#F&mWL3H8TC_QR$x^`)h&IzW-5Yz*{;hGgFDSI0}BC{y{04EAq6pLR%hRYW-=| z?XfoHn$Rv0+`N9rk~>ANA{3Fyl#+gn{>j6b7d7)@Vj8>D3Bz`h5uaUw#wpEkx>O!(8)xhSC& zdIi|L?@w2lUf;fYL6Ni@cYnJ2kH;(;{#xLF(iyB0BwoVo{7BXB=m%uT1NJwDl#a{y zd~N{Un|ugxdDBhP8S-7d$#I)-T8_Rb7W&%!9vy#TY zjn&VzMMHGM4+%#-5kNp;tO!A&Lq*%HfN9r0G_0KTo{yj<5Fv zOvz2!aLPO%E%*fT+jnj0rxpXE<;r11|I+K0yl+JOt{p#q;Q<3D3#VPR4GvE9XE{?p!%q)4?c!!Xs6Xyiai zW$<&TkBwSsF*J>gH-cU1ciZT*C`o zgO!!W&wkpF(ZyWh>8~l|Ze0x9Vsxk43YU7Il*3Ry8!Souaf5X;@536!!bSuH!1om7#AwVxwZtrBm248RoSJtT;fHD1NRg^|35O`f9vn~ z*Sc@6_T{pdk4t0n#kFeA`erGZ_cbRE&C_(zS9txXQ?&HMQKsx)t=#z|c%6Lg;}Y#5 zxTBizk^m`b&S);UEqOOf=Y;TVO%P5Y6v)~k*iiiP>7uZJhx9QPx((!v;az+B>5h9; zVfUcPMT$<;y4uA2J7q1B-&H>KLo=0su)tMP-$&tI-0G#I%mtc2#ziF3+Z>d7LiVX& zL_cu12bkOQXR^k>7D@f?%;zlH6Bzn^2eJj(2le@3Sh(|cxRd+OI#1x*egAi8@+?cH z9=xja=`zp(YP|Ps36dyS|JjHzgn9_HNc~z91N0CKv)W&&KO;gizjQo&(mQJ&KL&3d zglmr%sAMivi3fIl{4+`7-Vd#*v_zxiC4qvlMgNPJjF>m9k~>^5X{55gz}P<^7p)lM|CEqt23l~!b#2~h$h##SY|xcIlliYe)K*F7FIZha8|8iKSKd5NKovmsHt`RBRvfU|RWN7X6xp5*gqe?Cx!`TH?n}4R__#b990%MrLt@XRRCYbr}SX_E! z26JhG^;ZNgIP&ZL50Mnx$xTjdK7p_O{t)uNGc&+pXF@Dt*X5rwW4@n_Vlyvuh-hkS zGBvN0OVBAcS#+tw2Z{gU_5Kqnb0u4IM;A?2- zM4{GE7P&;fV2-~i`gi*`zkPwiMgv*NKC&@zS7!UERSq{Na8q15&C8e z^*ZnO#riHySWNHXlz+!^J&SMMg`S<;A1m#n1mVr+-HSU^7obtY|1dAFu9`d2S0&za zc}I2U3V${;L(&&(Y?7b4$@UHjN}hbjlAGKiMSD-dN4U_dLzpYLFD|fZq@v9>9pEoefWVMDg*@k!Y9!KjYaY#w!tzZ+DwJ+ENIAEoQx# zY$ON1D8Bj{vQqi!?+F_v?@e4itCCM7&LZDKdX*ebKyt@ybgnFR3U&nBHO`Sb#xPb=9r*Ey@M&-LUF`Ha$h= zabBg)zH~OM08>4=^#;RWV_AJ`knQEZ1MSuK*SdgFjL2L|WM)@b+>_TMcjrMY$mMq9 z1UAZOo0lR^&Z)98KHLMe58!xx0HjuX+8+HvGj9OGcXZSDk*MFMC_}1BQ_#r=^qUae%FKMY2bZi8L2;~)* zjl89M!e-0)9x-F&1E=`nY%v)&n8*ADCmYGEuKJ{hD$N3ki}$s-54HXF;4u)j@;bp; zT~`&z&MRtRS8M}%MHjsi?#yKTG}J6o%Vy`j*_5_+31y%Esb#92guRv*h8OKOY$!xP zxtIT3LyDzC{q>ithJjPS4pSmO&e4NX7#3sv@h8)r%DyVh-xD_nBh9B)`?U=&!j$5d zDP2OVj`GuV;uRmUHFPYM75H`Dway7F!jO=+&MPH1tS&}iH`-l!HA96_k7!yi_d91J zunJt`;>|}xT%A*3`F2N7Runlqa(vk+8TuG#2V}BQmi#mOMI~?A}uar zg*POW_kJkR|2|JR%iRZE4#32(k4kt&kM%cLP2SVCfkUkY%iM3g5eT*w@7>@Fv0u9W z=ye+zQbw4YZg{uDPF+6Rw#F$K!y{MlK(%}(#ig%LONUaP|07%F#}>!nAvPTuTREB7 zr?jKEUv!tMXoZwwCtG%l5`jVEc|eIG&@OfweBsenUu=D&{B`Ujtb6{BdTQ%VHJS}- zr|KPLX-27&222iD9l`V7K&|jpDR4mFxPl^zc?TN4VhMM~k$uN1#sZoR%L=oRgR83? z;A79MKdiH#Q=HRJG}rf|ocC^iBw@TXxT}_z`%nccrNFb%)7WT35cSHPz)5A=B08qZ z_LExH$w2*}D~eg6A2yGjpFf_ZT`Di{AZy?Syu=1v$BN{`F1R2J{;B~?A(YG7A#}M2tqzR%9a`>#AKcScIhkJzNN(um zEd{)hY)n~&euhF1zaJ=0>@hh%$t9%8?0H_ka9SH;$iEAYxB8$oPoC(e@{-ba1><)t zq;6E6!DK)kzmCRpw~UyLqt&p=D*mHpCr}yTYx2R9pfmkgm_XvyR8C*I&2G)XQrfJs z)43TLJ}jv&xHh$pQuyZ-w|&qCPf()7Qi!&Yti26pr zvxRD77iM33Gu}g8Up)^-8g`Prm+OUT7RILCbi+0^J5q=$9 z1VBhWP2H(r-P4t$9DF5dE-aZC&ccH#6BzH*D5(jbjOCITbe-qLc4mY*G30OP7xkkG zP6HmbUL4QB57&)3Zb*^1S3FSi$_s4CfRUP7Qn(Hw>~H9V0qco=r0A^s%DzcbZEVr1 z;v06N^7j5#KUI#F9=RG+?ER01tok}N3lOb{jj(}Fd*gh^(vM6}MYRAG7o$N9eSS2y zowuj0=8}L7(k@g+dOhatJdE)Q@e1EEn_3}t3Do1_G072YL$k6}-{a+VHe;$RLZ9`r8n~U$JAMQtRBkkJU-Jre1oUFCSr zjnCC81nJ(Z$}qF@z|{vI#Pp*s_Y#>gEx2{kW;4QD-n<8iC4Vi>=6GX z6xxbD>rbDs(sUYTpAsFv6MAkb|McfQD+)m7|S8B&HFcFIVPu#=8hI z=SeM5_Xf;{bc{FjzeYcGK{TWVHOpyUN6P3gf*JR6HO*}g8OPS_v?nFpaiei=X6@sA z9k#2#oFZl_E~Bj>9Wi)Jp>FlQx1oI!JD# z@7FGEGQjAa1CPhLGkj0&v=+7kdPK|gA-6_lf;HPIFZIO~ENW!S<^>GOjxGSr3NVrR zK1Z-#NVO_@J+rjR&_qFBYH@Pm5huC14aZ{~6)$Y3y^~Cp?^sn8kLx$Xl~#2RviH}z z%|-QYi6jSv%U;%#T=Yd-y}%jNSWkCqQunE~6QC9Y@iJH2IK({UPtbZ2>E0x zIu#{VW5jNe>C~<@%Jf5wftu0(Aj6cj1m%9wpS-LSEs;6zm zM>(X&+km9Q;P}xB=|!>Z1&b%-HeLAD>Yz@XlfebTY$Oe(0!?~u^#@c~?=20y-O2@^ z<>u`?O}P0~Y%5nqm;ZQwG>t|K(;AXpMZ?svL&oq0?uK<$|MtkUVlDoC(nZG=tKD1G zPvezzK*C~KUb!Q^A#hKkUh0v$7a&b{{W}{hx&4A1_^aO-j>JCCal%zESnZYT9zL)nbRp%JrE@p6qz)3I3ipg(L828%T>4se1k9eL`*1qyfh?WU!y*|w z4*6`^?`#bV49WSEV+|?DYakTdhGiy?eWWI!RE85(3KRJ#;IQ9hPGh_l(alCyu4&Ke zEE_<&SeJxH)LGbxYo2pwr{_bYi%G`5x}fxY zlv4!_v)6*o=NeCPLdG4ELbvp-=H|-rb#lzxg0(UG>^fB1AyTS;TegXtm7Ble80_c7 zn?Y9D(iIrO=PYmU#GC(NCMv8BVSu#%<`(M^6E=^DXFMdy?N=SLBT8nj!*1f^+I}ku zJ5*IGC>4_LDl}kGMOz47NWPF#$)a_M4C|h@sBqCTPBN7^8A$GO$zR)kGdR@O%~V;# znVK!-ozu_JdZw}cs($`xA5(=`RxH>dlbDx=NxDlLYCr<(7qn~7IDq`7oB@@WGWUtr zQhD<3A$YiaeK4o0l_(AQTp4NdQE$>{f#!*M4S~{EsI*!7nC2@JgmdzK-znt6Y5}Q@ z*-*%77%TSt(CNuDDV*zDC<5Fk;yGstW`3RoR62T9L1L`p)vC%oK?37Xj5;4g$mm1O zod%2AGoe-*0zJMB)4RKlm>CSL-qn(N<36)fiGwlDOBWPN&k(z_nEoB&rLA$r3Vhb9 zVh!0;$%d?83~@HP%PXqfV|#h8^)N#*D85q8N{~_`#$oC+gI{qL!odq)eefXm@J>LG zjVXltQoDiNy9z}~p7bEd$`~wbrx}lM|Bx5K5ooN18~7~|UaMoj8d#|c<0Z*VOUcPv zduGKI{qB9|aaeVr9n!dBn7#61E~L$Kd0;mOnkC{vDEnkKyWsI6yJa+fwqCx(JskEa zpBlHjp<^Imx`((I08iA-6)+?;4E-syc;bQ5F7|stmi!<2m|^ z1i0w+l=7;;E$sL^6Cr1ws(JDe>V+wyCLeYQVhcHI{KV4|1VdYg3$1e_t3JjB4*|wB z&`$PJR_bc<7%6=^P8%ZnSTt%hg1S~f$Rq^k>m^;c0Dax;sqo^e*RZ))D2-vF4oFC^ zK|`8<^FwQ29NZhq}I!2+V19dr>b zBhd-o=*TXhjO86XaZ=tlI;RTRZ+ZCU*g&bFnzd!;Vdf^S2Bw=Mf9l#ylG+(fOQo!d zo0-Le9xCgqhMT2DpE^|KC?xCR)4?@x6=Dev7dsiT*b0;CX<}h&7kQQ4B-GKYq5t0Yz2E3SAQ06)l!uGYITA~xJ+M5d*LfrwTh7- zBP(;*gG*PgOjS-$Bs16Z)i|+Yh(Ewjk7M>harxHQbEHWeo7#xN1L-Y-->8S%2VrA{msBWlQAU-PnP>NFLU&xy!B31Bm~u$?Dm4R+jiav(@u-FlqPhWEQHw{@Vu=sftfS!3zv_+KztE)IYbGff~nZ!cs1Me@SZb5R#*iHo_)(!W$Tyqn}k>Tv4kK z(NwVFiAX`+$xxIQw~|@5ujPHTN;0ppq-?0pU*()h{eJ^k7DX*(RLtXxu{KD@G*!~f?tbd9fKndrk zPfh@@(GhUkP{AC#%83TA6EHEu290OKA+Ei10?{qQF&{sSM$cJ35}&+$0Tgo%Xn)iB zmN|M~?NFq5a0J^5`ANN~Pw@_vb!z=iv;fp=k8nm&X?|6AajV`H78QKTmDJ`AQB@3~ zJntu2bC!Z?v*tH3eI#gYtlz^`IvzhAtnNv5K7ah|xfa2Yh<}|!<-U4FTz_JUQ;@4A zRZY=zlW^IE0qe>o!S7i36Fg&mVlB30+L{6`z`3>&L#x_;E_O>#&Kq`xxPQf=jJ zA-^0}`{#ANEP<6fF6)-&Bsb7Ee78I?Wv}O~hab|%Q!!B{Q}-I_^2G4UC*JO76p?hL zT1xVF8?Fvyhr2S5HlBieYUJjdSml-ulKioAbb@T9++H=jZKG)oQ@2L3uX10@?^%}t zz(fia=RT>!uzOi!=r`_r4!#3Zl_c)DnyYqwRm~-LjJss%79ys?0i!b~}1!peSm9Z*E4pUoMyB;C^T0ZTdkC2)-k;>Z>Kq~>cPE?>(pG=41rXLJL zRb^Q^%@+Dpq0~z-u$QRd@+!m)(X``V6ln7T5qy3Na1}ru%3|j}dAmS!p-U=ax02@g z{h@~SaVa%-r9ZY(G*h(r;(f#t$qOARz#mRsA>5j!C-FRnEcaLLtnF9TK`ll*Q)_M# z)HlYkJ^HX%)E-cd$Wm55Ng_JpQV3PvO*V#{HB`pbXily_U1Y(8WmAhD2rzcQqs2DY zPoTQ<-FJeGH?~}6-aZq%dh5NHb#%?wg!LOFkKfUsRuIlmx1ItLh5#T7G>N~T zESl$%@-?gjP|LbDVtgA{;ym_539hQ{4~-hltzjiEg&Mv7-zHJ)-1J`6M=7a-!Lg_y z<=0!zr?bK6jan!OY8Ar=kbnTKTe@kOTy+AGN1&}s?2imeEFoOJhx1lSih6LaBER-& z<8^l$pqYcn?SeU0meR-st_Vx z*f0%k$&ApOmeoqz^^7|QD2hY9Q{_HIYAxQO!?JL@By|JHHKkeI!|j0Q5zH1zfC=nX zWxoR=$=e*BCAx)!DYO;SnvsGq(A|M2Zz*HXuYu3f>}I*25oa7#nLBx-5Qk z#uY`;arnSl)g_=&UtiwKVL5ZY%W#-m@hU>M5sTb5HUqL#7ApB*4b*#iBtq@*Y2 z#Bwe^LIlg`vymIex#%9hbb^P0TLSI2%bwP6;<^1L!t@Rv=I=x6-(Zr#S}qb zRm0?@yyuI7ju+iuU9Nmp15E(cSY4Bo4K-fGqdJz)f{oHC$9I8WV_eh(acPN+&U6jf z#!Gjxa;&t6XBp{st7BW-Q*(WQ zmKzeaCDrodYDuEO0yO@W`SwJsA*}R+xLWPNSj8ipGblb^yGqwe{8B(prY6!azKK(J zZ+UQ7I)Il3J6L*393pmMIdHtDOTX37$_H#@S!vebkQB1bvBzuQWUz+|KaM#ap{ftI ztAFeG12@}0HLT7kvP&}ljwQIw8gaXtFQe#-)uZq+eVK6IPGaQ6?7F&jE*nogr&Z6F zAG3n1+U438lbN92M6!+Lumj%PKJg_~rgJ@komwSz4SA<8z%$;2v+Ek{`N(Zmn_X59 zn)wxLzZ})D{`hbKq^g)TQ~Vq`UsIig*DQuNNN;etmoi}=!CHhrjrM3i$kpaR`#*rJ z&q5CF=@h&U`wQ*#_z$;WegoRcMH|0MWi{*x>XWJATirc@yknqMgjIz_aJY2xoP@8w z={9)@O0a2>!}&&yZmCHxVn~{HCqJCi!DGaRArlp9DcS4?G6s6d7VT`KeQsYIVPMc^ zgWYX=%q~W~6+BM~OoeO)67J4ZAV_r;c$4EW@6$a%Z41}`ELqKjYP@3Ku#90)Av`mN z$D$&h0e9GrTsXoPtV1hy@mJ9}moy$gy;E$mQlg~S^TXA^-NRV5E+AdK#Qus_B& zI?2L_0z>~$=BFdP9CPu#Gn_!${4(ZU&0&xcrVsL-p(b#?2`w`f-C`P#|0bNf)V3;s zaaqK&eg|PWz26Na+)Gq9mmuaqfa{H?;&-ehdqDWdSD72x8=?xCpS3bF@;=OCX64GJ z+Y0o)-+Ck*huNFoM?I=`004+l2Je`VT@3{*LG_yD;LY2om#xfqidvmrL2(SeMs&fO zly=6lr@h)UZzdiInt|AWaXJkmS@2%I?^vI@!GGTYbaP3mB;Z9)!_*f&LzR?JMMbOR zcSRQnV1Q30dd-B9C7`G@(gu(LADyU`{CThNF!V^o1e+0_izfToLz6XxOU$2A&l#V* zMUQI-x$V&yzVITgd!arg{>bknLR&w zZP&wZbTW*+{MHP?W_|ZP+_4gpEODmcocChoh{bt3mvbRx?tOZo`iKwi7m}ZJCW{Q) zQq_BDVCc|F>f3&(VN_3$!p=cqTZyh>U*Sp-6}V|cLKkG^R7Vgi9Yuu*V85Sq#2e7K z@stY~XmF5kwULg*cDi2E9`{S6yd?lmj{WVh4rfuas=!A{q%i0UyoGrY>kOkRxrN|h zQ&_>HYAc)d%Fa{_|?zP&0l;$ubTs*hxd}b=k>#tYjDynKL5`SgMi%5nFY{cx8HronzUck3$9;F zz8KJTLj+I7G`J4|e5ExI$}41WwvpTg_0o+^?5kso`@9C(yIl>CbuYSG1C_lIOtb8n ziRykh2p^z_WEi<+v{zOrez}hB1=7!ztJZ2O$)BALpq4$L@s*Hz=?c+Th_*0IV{Z~m z`4|3y(r3Ii9s=Nu7l&%1S6Py84W8&=M9jZqy@azQHzn^~haC1<(cB1t`7YPp z;r&L;ajb^7)O7GDZ7|CwPo3JyabJm_M(OMqz{U4mi_8+{K3G<%Ymz0fV}B5(F?o( z9Sin2*VRKIV`Iu@rFl2_Ep6bw2_LC5tTHpd?PuSHIFT;hJ_TA_AAduN)V3WtGL-dg zY%Jdv52m_&>4Ado2b!mGtEJ0(*^v{8-{hECX?s=*o-95RhGbLfe7TZ5`SkG_pUDMl z0qVgMTcKk?W}&9MZ2cRQ%#5^j4rzl)Q4O-R^0YD=TCoce6T`6R@I#KMOU{d?${_W{u9#Pj}B9#a`B!_av}Dg|hzSFk)4$)Zmmr6F$-aUlFe! z`P}sv9Q3z?;(lvk&Ho-e=O=Ay*w(D+e0L^ryl9thXO=ytM`@dY3?5>n5+A?Me%sMp z(h_d#@!?}6*H#@annh@mrT^si12_0~0gyc|(3R~-2o0eNbvbSv(Kxk$!@=jYOJM&K z4KZxlt3=Yztg8X6jI&b*`vW26{vB)Yb_Av}d5JZB5VAlC1Ij@RPATS*XbBJarO)a?*S=+s^QGsZ z*HJp$*j3Xbw~(3L#xov;kl1TgoYS}Y@`{orUC0PRZicfELCK5*>)zbW@tN?5)XmtHqn)m_@+ zd5aD2DAJ=PpphU%8gmMs)yd_wHsKR+CEV&dXoT@v`X2y}BEmXHrn+2rH+7hSZP`X}ID=->^ibf4d53 zc^tXP(kLLk&$m(gkl(YN`#aXtuivq_b#`-0pz@n~=cNBBJR^`_0Vj-=qP`N0UJwG?7348+(+egKsXNX~T*+QmfG%y67s)B;M< z%JVAPQvgQ}Fs@TES{hF?ZaNS!gfmLuB0G!9twPE-Ja1wOxz^%8?rBzr`%rKD{Z4 z0sPqwv+r1H-?6sh=bK(WKlpUJCmXO~dw?+t_gH^}T~NOHaPT|U06j+Ce=fbLymu`h z@&F#<*pFfEgS=YKMhzseR`e0Irj7d!`b@_$6gHum`lFXz0~2tO5yH_X==zqb_+0R1 zkkY%gmq1j5rUbA~AyGQ@R_4Rs+j4ow5Ga-`57_ei_ z1);|xQflfzAw}iv;m}Y%GI@G^ zMac71$xH4_`^T= z-y0J^ZAqxK#e^W21~o4K{ql{MvOJpd8T+c|jc>v8T7#LHwH)@r3;U|8pN#qQZ96)$|X0&$Kw1}9!~lmAsr zpdE+_K>jl(0JNoq{Xpv4HFMz8E1f@F3eLyuhK%g=JPh36e1zrzRgl1l zRTvyL1EtI;!RaQxtQ{(BCO~a{0o))D?EH0@HOYG4AQ@q@%(+JWFOK7j+f`LkhOd)cY$aoyTaneym<1ctH5 z&~xfaGpz+v?(y(C;~tPrnueH4L>c0WrdC0SMoURsW}(NbPRUYR5TxTXm&CX}Wqm%b z9|Sb;c=<~Rfd5$DB<9#k6*fPKPtC6~{PqkQHl(W230v+lw<6>{nk{Yy_7`w*WvV;$s za7z62&M9piYz$G=N@59~8+*oo8kyV)9`7~?8pP{ zK8v+Du32Zk#YN8FYNSXF?ch?@w(Xe2%OZ*DARSxGNK)hbz=R(v$xb1y!(@MJRE#-{1;qr_?FR58TRP zx0qOtFDd%!rh^e>hfIKb%3;Z;R{GAmb^L0DRl~CWEbtJyeUq zp%P{lB`n=yFFz~12Jpp$Rlu$$E(6S$c677rP5&N4e>n?1bhEGf$wzx7K9)g;t*>p}5Z)j2}lkxKa{GnM~ z5`MONFPKKD3tlZ0zilEJ$QyDE^!S;G-wR{2T&cn67y&|}$r~Xusmal|N_Mw_Y6OOA zVDtd3Kuvq15)jN7h99@?<)CE&#RUN9^zKw8?}k8kdPIR;<82=3ymrbEcZwD(`ULD1 z8Mxe3;Ib5%l)*u)S$eeUM6sCKW(cVP5?ZY4VpEs1k#|$e@BRmDl3-f0l2lNQQNWav zat(4enyXJ&WVzYD#M>$P{xjTlWCum|Njpno7>5-|CC*A>fvlB^JlWrs_52|}jNUBvfeLCoz{sr74 znH2#yXvteYa~<1!xiZ52gu8W@!|_?Y>$9xw$MY+w{n*u77C6jV1TT46n_}a+0*zN1 zy~A`E`2}mBhlU{S4wV9E%qdi63VNM_y9lUs>L*frFLo7nE$CI`Nl?JE78!>|JHe$_ zB1Gm_M63fx-U)UASO6fnA_i*pKj~gW(|ZZFO;4p$mivY)3SQC+Ns0lKaiDPh@pyD@ zlR^OuFH&P623me(S?#Vag5z`m>NRkS)_M^gw8#P60-06*K@l5g30Va5g)P3=pb{WVv;2*XwK2f6BiyMJxTH;*mnoUPHAK|o3b(1U)kC)ad| z@WKX)P!zk1QRj&ylk+C`=NqF{1xz_^iA3(6QkaU8mq=ZcrF-vTe&ryuh`7x68|#N# ztyElZLO{1XusE@u;*Sc8b4to>P;j^Tlp~Vt<_=dQ<*^?T0n4y-cpJm`#TQL)W&oR2 z30aQ=98md_%>9#_6s(1xS<}AqIzPGNN>~A28$CFq=qPnh)f3Q4yjdj8V@s7yO__ba zD08PduiQ9V=Hk;1N)D#`=usreTMoYzu=kS0!84YN@&F?ni3dWXa1wt2y{(N>U!*tt zn5IBW+DwT(amle#x<`b&*$Aq@@tUl6&PdWi28h|xyv|F#TTa++9Uvo;L9TfiNmtejSytq4A2f_P-%#n`9?XlYvB*b5%(S6$?u~*L( zF?t4-7G`$5(s;Ln{|l&7>qXDX*oRt0;-kI|RMK_9oSC!Gmb?)gy`dhL{0*2sc@4DG zQ^nh@-B1K@EDzW9*M?c!D9B@8fV;rTy1X0|A!(TuOa!RSpdCORe6Y{&3u}Q4mv|=`nuZPt*(0Ljc=&fi#P0Jf^C(j{*G1ROA-9T+k zWwRXKBH@Xo3E&)nl`00zAw6X_Je^)5GJdkp&wE32r~nDRRS8y+c7?Xfk0x7_m%ej`cx>!2c@RhMH#5W{epCNHJM zox8J90fvoPpdd*m0G;aO>MBTh1K!%)dhUBV+yi*ZcY~6T;YcSn49A2u;j3A|alfM4 zIK4*}bTN!kAMM2C08xM5)^{h}t1lT70S3fQgkZ!q>JPs`Vo_&RTtaCcI^3@Z;R}pF zSWPeaG#;s^{EbYcb4=so7;H2mg3@74C~(s#Ug%x4X2L_xw)<6%w@Q}y(hrK{jwMU# zoM@S42{j~wFRbEE^hY0QyUQGqfw&5o^6&=~CWgw2D~f>>kroJa% zP-G4`JLmE5vQ#`G$AT64!vT0rQhGo1p8xuW?U%PDJ7m*|7<*VRb1Ff})g2m8(o zo_F&!Ff@g4d^-BWF7{g&ShbAlhTpKr+DXrm%>~JfW(Vwte7p&z*D=~|&jEP@#sg4M zcST7G2ym2a;k7A+F-{$cmVKj5FY=eV8T=4;fnvT%s()$AUUpKmvwG(+s*zOTYY}Ey zsUsJG*PLXRfG{PIzD1Y+rC%W$i54-sjvbXjd%lclQ}ezT%2XN>_%4}$46B)5MK!*1 zLe02Gu14Xu;yHdSJH(5TV{?Vo<_VJ0l{+1^C0(!vHZ9#V;g42Eq#pCO4m7s()jQ;F zZ5Z_1_au9ul(s%BzW4ONfX02uF5}+a!_9ZRRf^JM%m@|I8~D`hx+KMQvld}8p`q?P z5W}O&6<$(QG}~ELQO_mN(Dld{Gh)B4=fnhK`3$1HN^{uxP9w2Ie)Aj82j8w- zMVGf^81>`=%kuI^UwLrVgNS5}otkx7}ldv-tUKaWeL z1Og(p6zb{oDl5)FZ{3H<4Mn&2^!RDk@O6v6B5l%%7c(NApRb7eWNN-0daWWmQRD6k zimFjyH6-w@0gLHU?ejM6Jp}?6qeV#0zNsfeUN<~MCS0_Ay4hW9@6J3gm<9}$htUCx z1%ROfz%tZ(VtBTWNX$DaX$fR$pd7R%MQfS>O3j4xhv50;U*;f64X%)?c?^N(6I1@o z-Z6hx4e&qfoxVnw}oPx z0<+K)WXNJIl|70I_FxsiT%fgf94%qac}0Bl;#y?5LQ(RJ*lRUbw=a@fV?Z6w*H^#+u<_=N zOX3#ei1nG0w;m!vyQ;7E$CyQX5v!VtZ%nsJc|tTw4X0R~j%`W23?ThEy>pbOukqRe zxPXy6y8BjRvwgN8a^tyBZK&R8$&a@A@RHGwq@jH$erPlGjnn_ESKo)uY%-)~&~vre(M~t3L|shgG9Nx? zM6=#mTGFX`8~?nsjKw*Umnw7N5&2@pd)b@8p+Y%GH(>==vdfzDG1FV|FlH&wKyJqe z@CFS>Ak=IEIUE39x;jc&-kph+IGuLzX7X@JC^=&u+mP2ySJ$x4nmZ=#bPMse&g6fG z){y@a0Px>#mB(&*7Ch#hzsvGy)`v_zua`)7a$NWtf0%*KV*!9t1)T0=_7_D+T8N1x zPDDdlg~jCA-Hg5B`$vCacrKThi@8k3@Ai32WmpQ9U~yXWRzX3#wJS_=lfaf(vsnGt2w=sj_t8 zvLdp`6a_JhC+Ulok?P?F17(&6L$HurQ9Uw-9s}ElH6h}cZlqhp^>z>_JYQw^Ha?wZ z3kLAzK>1*qW%i^NctTG6ShWq}XVp3v_xWP6)W%Ca5ZJkyAXh|{WA^;V{khD|=QzVk zm@?>xrjbzGko$-U;Ekmi#b~JJo{_6g^g5p}N2S{zA>;z9iDk9O`5b=CoaSl7hYW>X zG^KOEgnds`BGsZ|83w9(O?IEoc<+rp63uOfw>DT@Gefz9cf5E-QhSik4pokOAA!Sz_eZ?KM zx9^LApV7eCKTI15&KcApDc~qr^lhS)&ynn5jRWc?32GVzQtmktWzcm_23_zD{q*}w z9=D8-or!yTG=*B>d-_LAluGdCbJ&exBxU984%BjVNqUqTNww=QMGx|;ueRth-){){ z!SwCZf4ZQr_>Vlk{^{`4u2b3wgxv;W6GNiNdq|lYASh>-6rfrY8jxpciFS=kHAU7P zyQKPqEC#;VnV9Pp^keL;6c1}wUgmj}d01@K!(^nAONNq>+-0O(OlRrTCMK71aZ@Ji zMS4qNKu1?rz4>8D{+N_)z2Qt~qlJWO9Ey#QiV=9+AMHC?fR=VbJC%gQ1*cRnhG%wM zrUtemm=c=;vJ#3lPD7XIy+3dd#Bn$u#{Xit|G(Oj|M+e`GK*6sPAz-B*up>h1#tBK zgRCz%ryR%kj$Z#7M-RG1{9~fZuLgS1VfFRfCF795(r1ES92+g4A5^yAb3Qf?<^=?l zIUKHhp%Wsy%XR0d(5+2GHj(e6<~hwMsAx9H<5c}LX*n{+%{|rf1owqal%|X z*5Kqis&rb2{UBz}_3G&NrqO4|dRc*sHkq%@YkNjf1gQl7k=w94kC{9(xph=aqrBbI z6%_`UoS!UD8eH8UUvGWh0*1&CmcL6078)a+WVIc1%BAB(?a_AxpYg-@KEGQ+ZyboZ zQcVfmv933oKr*P!hJS0>ZdpAYT0(o~&7(x`ZqhX(xTMeFogOcAqR&!n5sn^=QL-X^ z{f|ogQLlx=*0#PLsm|ltJ_S#kdLzQJm}`>4&9ql+na=C(m|-^KSOpX)C=v`d@l3Ti zUR;!0AdRpPfYqr&Zh+qJL5KsNeFvGc5m%7bH4J8i&45=tUF^CX-{0UveO@I|;yu~E zi=}czT6mt;yM#-|p5gkQ`!+87IGwm;lr|&CSdmitJ2)G_3zj$dV>qb5l$}xJo*}{2HOPG(( zy6`H<(WdiasN!y09qc9;?E}1fR3dqn%&>)oocqMFDf{~^ZC8CK4*1)?aKCw;B*17) zvPlczl=t#}h4+m=Po%#O5#lp9FB%zLFQD}j+kKJ2EcJBW1S6jqKZs&KVwdz@AU#}= zX{x8F6~@_)B#0;Z!Kl{B9YmfH12_>Y7Ur(uN~1hsV}x7q|Jo1b_~_5vn)5jO>vHzs z%!AMXoM+k6wCr`6X8yhJin4vDR=9K`Un1`ynm&~0QgE&E3vKjA{`~KX?|vV=ZyR_N z=&QHJ^gkPe*(nnXT`Sb$s$oeG(~R*g!>mA3ykw0eHeV%yhnH-r03~e{!^tMQ%`D=p z)%^ltTUuI#gsjR+;}ks~VOiK$T$E!>S%q8l$~uW-#Y;|{^! z4wszX8#BP#0U5qF`s6zXDc*0`D`JY-m&rQ`lZ+vlw@+vpS5j?FahTSPG)uGc>H{vu z4L!^g!g^(G8QQvTHTX0OIAT=Uu@al;pO|>qRmK{+s3PN=@)iFK*IQ#xr54et2%~zz zPlhbohpS;0V03t)h#r}UVz=`_`kUi9v8mQ+*%mj`a`9{t=yZGrl)y`aFDJ`Ro+B@B zz%MVXaMAOf$he>>g&s`glAJPhf({A?hT>Se;m^6976oNlGb>!ZsM!eyTb`~{^Tmhc zF3!*6MCN3iAt~C8kgzwTFEW_Ueu#gqXTuIdvX@r4=Bz@3S+uBN;k{7GFE4IX5jO#) zQMw`5RH|FGJXi~h`~_G29gQglpMMEys%EaO)R8?gBvaG0=I{Nc($)3|JCA(Y$lSG+ zcGIY@BX7?LfBN^<)cI<#e@P#7$)~RYbjhj_11tGj11AfA5B-ciw}_UdXE;q|O_8&p z0h+Q!SGv7G>X5@i!e;!gr#Q8AqIb8FNkZ;~f=8W!9j^rMyHy;wIm@J|gCH5$AV0b_ zQ@GaOR`d0ix`w^STP5!}pJQ9MnTj9sdXjmt-J*l^8=QOs32WLaIj*q)!~oa9RQJJ! z0JHyRUe#Ca5=+88_3#-l6(q%$O@Z958+4s!p<{fW=;3`~4iU05ZRJZ5%GjMQVd~q| z3p}bM__zw~ONf^Kwg{v%6K!zu!y$)ndLMp5=scEbH01;+&jNJ^T*7rS@XxN2|26<=bSh1e&4Kv4blhEpE>jI8+b7QZ$@TDMg|5(Rwkyi%};%TY#f~D&v9^a zbF#6WyKs)1hnJ6!kDZHO-~z9}d0sx=e+QwX2kv2DWMO1v;pJfC;Qhb+qWuJMGoKlv z|4C1G1$2g+j-H#2)&T;8Ky-}2Z2z6`e|yoL0p@s?iJ66!4Y;7@9Ow)kJ^dL5`hRB) zTpb3S2QhFnp1-Vg^DK|W6Q(QPyvnaqJ~NBms%+(ZFpL#faq@{^VZFdFAb3$iQtGO- zjH;TthNjka{o8j842_IUEUm026v#YzO_t(hi*!aZcpTAR!OUo;(YwH`E zTeyS6qhtID;gtBVU34J&|7zBMx9oqiiyN@(3+})&)>9Q zdg9G%+cNO&iAOEg_3CQkh-ao9;($D|Dy&(BnPa`B#4XqLlY~L@iE~}wM zbNVlQ1UW_rf_spOZmd4pLm!L{eJkr5qX(1^f4wa_`MC5=5fNHszj8~w-pg75_Ipyc zXrloY`{gj_L%!69H7RfmdeI>|bDNHSLMEl`_bkoE_I*UIg+c1zv2;{Mm|;{uBL~j4FTrzj3mejn~Am zv&+beiGA?cWmcKCpdjf%eAFrAc%B9-w>z>T8FQrz_7$iiDn18sksh3-I-&6Pf2g}y zq?;4dsT115@^`mWW=|h9dz^Q!f7Q#rur#z+i`>8EeVP=F^1`P-vyHpHyqXduwI%H~#KvoJ=W-OU#SO;) zR}X6u-v`vrVSkPr-Ro?o!BPi@bphk@3HSjSDb3ONkF|E`0`Knv|4$y$vMYmATzKpVfLp6R=nu<9&aYr!d~`v2E6^r_ES05h(Lqmh6=xW$CgQkW+WEND<3gCS zz7qHiItv9$V(&idypePI<@|ohrNBUp3M9+*iNd!x`A>Hj|r>jIG2N+(_KxaUqlaIbP z3A>RV4wqg%xyN---!42YB$NBgdegumD~s%qY!ibg6Z91V-R<5zq*8M(53XkIP({PX z!R*wlga~=A8@O|s)*p=Hrq-q&UVOyx7oH+Hlh>aWN+6A4ByjZ@R1Aw+;w$Pjj|t(Adx8Jz zuHGk}QZkr+_4KJZf$c0f%6}ZkcUi{wWmc7)%HHN!;zOCYf#z1c7LPC;G?0iLS&e{1 z2j%XI+GIaJl&QnDT#F@CS`dbrO$y#!shEo_(HxEZIGZ}2>$_||Ew+gK2Vq%E2(=mC z%X~1pa^QJ^O~B+)-^I50_T}vCZvWBHnuSxqpfDYtR+0Mz9R#Vk_R`R{jHEzQst^J> zwvW)NK!{^0Nu_W%d{J}dYi5c2zkd9=xALOS$#A3@-Ua&xX+!fEiamTdNAO&2*~#+g zy~?RcnaPgUdrzD^E5(++2-nfOqIOwg{X()nTYP_|m5msejBos=n-l?cWApk13T<0H z_aV%qc&ctTcf=)~i_Buo=c{&Fh2z275ei9aeTuONzKS__yE~XciMhA^d>t|>zrUT) zSGb`5^)KM$C7JM~%~ z5`X?Doaw}UK|^B5;PS1EUy0t%&bni8Ns|ntzyE0NzLhQve0p|Vtz{Ga>ko3FWS*}e zR$xPvkKrHWm^ls9?H=kykU@4buN$D+!})VBg+HIrek~8g+#x4K29K%41q>@TwNLBU z6U~+s2}TQvg~R=ceVmBw*DlUG0>a_`t0ypFojcCn^34O8t_-*wG;iGEJ0f?(cN)kA zHLcQ)sxHv5bNi0u3aTAYyzU`mdplOn7SzkO;0a23dqYeNZMQ?!B;3P4JcZE|3+xLCOb49KT&B7f zO_BX3DikjzmX%pQO|kff{&=3LJhUK;0|7kuOKcBmAo*n)XecTy5R5Dj@BFFtMME|E z%EMn3hzfO#=^RO71>uQ&{rPo{+AW>Bdo<8L#H;A*1PU&0bU~wyV%n8C<3*IwPg1$J_O}>-Y!a)PYd?VOXWATkN(5tt|L`AZ0eB1ny zdCfeTJ(3I`rvC0i4%WKvUY;R!#SyfrqMeIgM5(EL>e-uARTLJl$c7x&n?z!jxp4U; z6(PX5_ss>`s9*~i6SDnJ6R?}NNe(p7&mKruqj@wEYsnR@r#^9Mw5HL)vNiR0t60NW zgfTpANaxMHfthdgb9uJm3T#c>fNf z9*3c_(l467LY_Z{FmAO7P8B&%N>e2`r}<2jQ@b3dW9! zo}DOLpHN(^Oj@%4VPY_%dH*+EFTHMwPMsj{s*Gn(_=w10&GRo6)&{{9kR{hHE+&M? zFr=pG33}>zfJb`#;EP@ruEiv!4Bw-(O&dj$?`*!rxZFX&%7A2I*&^_8RwpDNa>>I^ zLi2E9?y42(p9A{SSGF_|_81KU{4{3?NzSB!meV)n8ZY3#{H7FyqF!3SxM-mEi6(jg zF9pWZKnE}yD9Hk_(hkc-VVRSnfqIctEpw8FAmBHDLX86T7V=ua5Ullo4tb1jqk%;2 z$QFdqPeBcBbD^fXPQ!|qBUX}?_@ZyTIInV45%R~5;8$p)ez)*y-!p$di$~oFWT#xV zsqloBC^lxm>D|rIE!&ygO5S@Hg!?ZGEZLk6`b&uI4rC zzrXr*Dr&_p?Bk@$k2nFV#`+ylFIpJ_u1=wWu8~G`@693YaK7y8bm>33g{*J(!H>1C z5p)b>_7a54LTdO-4O=`+&FUJ0#A{V9Athl=^*do(yCK-D5M3fr#$%i#)b2ZWBBpqYm@w};dPH(l*n4&geWK^=);Y(E*vS=88;(l%OilDf=XUY+xPc5f9o93l49@`lV_-tgj~vxP4`ZruAAjj}LBsD7Xdv{W)}!XK z7fr*v)zCpb5lV9lM^N0UJaX|$wrNTdUyYE~6?4)y16NnGN*7qTiGBgXHMMOwA9vJe zc70c4+N3I$qm9^AlG;AQ8uwna# z)~L6`lv811J2X>XCKe-^)D_o-i;1sB(-Bux(3qI5=qMk9MfjVsbUj9h9wN_}>8!Xu`vs7$>sCkx0o-%A6hUsjf>grm<)W`0& zNM;>nOkJs|Oh;`;o zzw}<|6w>~7+alwcCWv#bNxK_Xi*AE}=8atfm;A>nmYvWS(%2sDidDLtBJJjqL~Ab} z3`eFNK(Cd*$&Yz7{w1$zHrY3?#d8?B@CkGFIJ6+b%!vjvoAF+A{B!*iF@4tYaM6o@ zBUfYO%D5_r&FI6?6;j;=pIH74?;(;X+yn>~A-l1eFbiJqAcVm?cj*unr?{jgznTc+ z0&V9+)2a}VvRRO2_zdbS_XqpVEx~)tBw-3>fsgm)cqKpnnopgJ&(_k_p}Lx^L{g*Z z;hmtS`C5&(s|ZC(%iOhE68DEsMjc-3JzI2_ou+>zTsy5y4q3ho_&_XKBx~XJWJ8n8 zJ)OzXY_G`(^Gye(KJO}wyrA34fZH=oP5Z1e|3kx3KdAHqCNB3pA!;!Yci|PSv}7O#u8>JT147QxyOBAbn6tbo)wsty z4fl5~Drvs!DEg6i-9j86XqUTx2hj@$_|18Ow(qsX{Tle=dpf;-YtukBO@;rZijZBf z(9Zb4%(h&y?-PP&H!sKH`vs1lPqQ<%C_(M%weZi>`l zi?C{jHz*iAj_o>A`t7<9Tjzg>QSaWM;uRKTM{J*|b>~>5Hw&)o^qnp2**2gS6BXk6 zu=8<#NC7u*cRSG{x6+5kC!TU^w&zGsMY(F+p*dH7G^#xdVKp(?-1tAH{txam^+M{3 zcIo{WbkENJBr=ZLZ(sZ^X*&Hro68HH(|A+l;w|RE$6Uqe50Iyde;32Gf|iVrtz58Q z62IH;7J;Ap{<^`{!s2wDZtIROq(&po1?RO(zYMQ`1U&j{5^m5ytoo#H5H%?rx*7Qd z#@f0#|94uyF%ddAA(=&WrB5||cRxH{fR7jkrNpKg{@K);+V3x=vfU21yo2;|O~p@* z7KJK`@3fqh>Mom(?5a9kpKEKIkkyub%B}BphQVm;C5Zl0sh7BDUwazb&%ns%-AO};F1>~ zy%h{$k5K9lJF#_?!BXnt$ZB6V>Qc&T8=}f;zvuhOY+2Dj*N>HF+A3gU+j1K61QLLglL8y2uFGHGjt;y zm)%OeG)@IRv2tumGR7K9&3mvkpVH{eo1wDP_aj`5#PZC+gLJ^e}g1ce6(ZK&t zxnxIOGNmy5YcTg^+4HE3K~+{&1AS-b_y-B z+(!MuEP}~0^N}_x4y~(jyFE3b{&x1S?I#wf6HDQcDyRyus=PE%D!m5{^rR6+Ib%l* zv$_h@0~Y9}S{#8)6>n6Ez0l8cnr&bElPF%3M9-~b<8iO);wdQgVlw4tL5XESmO_ zHnhGd-l4; z51sfmym$Z~z8Kw;{1@h!){d#xc;zYPlN*qi;gz&~Rp~8qA3cI`*lvvd_O{(Qc%keI z`f!`-n$z~9cj=o>y2y-3ivy$wkf>XXNSFRsid*5l6hlrk2Qd7fEBgSIY4$t*jkpi& zg4@zOi2;D~8=0js9k0U~?EyO51lvnqeE{{wo-8PKc*V|vFV?*#iGcHp>%gC$MN#o9_Ct**@krD9?wf(};HbVs1k#0@d1cBlD;HeLmT z8Kr^T&0`dcGSBxGzn5Sk-*561XPWjjUxZa6x%t4Y*N2dec-QUi>0|Ue8psL#CmaZ$ zr0-M>TvP36P;uMP!_5iwn&D$~dlkgsU2^8?;!RB{wIju@L0&`W#lf8ducOi><@~Q* zoW_txP8g}>yL}wyOpcA=Tak|CUs85g-?>_H-{YR!KGfmQa&&{RHs@`eT&I?J6-`NQ zu6yJU8wTjLVcxh|<^*@8O9UGiq#i=xAM=^(jsJ=j5}qv7>sX`3zn3bYp7n_jKw@KL zB2Ou@n*xWCS>4#d7r~(foT8f0p!&HH+c?Y>NO17qf9Ck2A zU#^p8z@&bN^=Ynn%Y|?#8BDM*A-fY|3xhNa8fvP>YQQSLvW~vR1{e(ZR`U#-yAxfh zA~2jjH5$fB1I_m(Q#D|k2sT2c#!rCUhiD`|9<_vKn;i!H?Ujy&A*tKBq^5c({ELedCo$9qrg_|ZVT-L5(pBeeg{ZypFnY_XUKETDa&xW>!l()}nM7zY6 ziND=*sd=Y1*wq<)h#D%`mB>B zeD`1k$saUOg6#-EC~j)+Q-tA%qxcp=b1~vEVRs2mYRvRdG;YYeJ1QT0dO1Q$#z?f{ z*F)4xZRiG!%mbsExdL+jx11AdlG^D!=@CK#L(s>qcV73HZTx~Nap)`AtYZu}-d*$g z!&Gl0VYFk|?3!7Od~VZ1oZe^6Trn&m1F1RU1>!a#cA;OT!*@GNF(z;9vuSpycB@=f z@V(C|sK;%o%-TNQ^CJx?fQr~+V9A0Dr^By6ELk2dA14$E^3wiR>@R=U?=?g%DIo{ktv zpWtweWOr!j^Sm9{;4#GF`)uWs5^r@&KT|1H@xK6{n+R+7t8a*B7Nv@Q$exnklJ z>?c?B#iDrs+a&$=H!sT4YinlvXrR(JqU|M8-yXnmnM+I^V16=!5HZzKuiMqQ#9{D+ zvAnQBg<&S#=u(0!+Xj^3mxZW|(?X^3ye82jKgRGew2;%ySDeKOWlOVs|Kg6tg#@@_@Ii=FxxN!0* zmmD^k%mY~hFqLXC8a=O%oi=LB7_?{z{BU!9jXPfk^&(X^kSgMUgZytZONr?{wAQ+2 z?Oqn_3MGN74?^${{LgeCMZVtn9~lE<`Mi))nLu?pVXBOAKIo&0*#GC4dEhe$HYB!v zVgEHks-&N>lbT%A?WS5=@IL3=2Fm8zQlE7Oi6du0li2n}Y7X2Z{-3zHeh_FKp? zW*{#a0lwsZ5<^u-dcia7UJn0;xV<%83(F6fYg+aQ52MyT)tvY?H$|rI*1MD+)mB#S znM!vLI}W!^Oq@9AxxR(lnaxKZm&OZ4*ep%mWfFG%@#J_LcAKn)3n5gsr`-F^xB_YT z0mJ`8n%AGGMtx(zirV1}(aksMdBD%@xTii$ z_gh~*P-fn@b(F*Lit-Qbsj&=lqkF-DzHPtl_#ynbmNfARR(>hpDel@aT?F>fIzk;#U$8Rn+X`YaF~J z;;{1AQxPq>0g3%{M1+p&F=Kx_au0cwiCr!L>r_CJ^v7r*lnI&V>SxOYmCZHHC{mV( zEpk(d2AckG^1ioQ>ZhDMBq@}NCMRx;DZG?EvVaY_{`@ax)0gui$dxzbTQpE*An*to zag(jD5L!l)Q^0Rvc!ezp(M-Y*$Rvqe)~D~CAdwKmQ}CM_qV6L4)FAvb4fHrZnIZ~5 zjK&x?Y0yAd2ge{g+(2&4?+>p-AvBT1Q}7tMNQ|&e15MV4#}pzi6NDB}Z(vnSQDth1 zoNjQvl0|}Z=KZ8!NjmUWbAO$Q+s8aJKh?m*VDOB!fTqZZSSQJn6|s|DieR!G4w|IeLxz^w5hCoF#m6Ct zls1)rih~v&b83Q|`LON}igBV-1?ifN)pZ_?@`DYl31TsL)uk5_C+tncx;Ifa*)RHthdDr);2 zddz?NdN2JhWWs$U{v%8=eZTL-U)Qx2!q>t^)^7oDd1!k`U386hPJu#>@YTkjTik*- zya=4|9;BP%-zBan{5}r3s4BaNhVYTyo-^UJcohlG+P8AGmJ%Db>kmj-AJzG_c<$*?`q6fc2e z2XnWG^aVoN|L#3dJQw6-d^eU=<*})t?ki1(gCgO#M(D~Tk$}FAEWYTP7^APxph`ZADIv~8{^Y?F)vXBaT$Cl~?`8mL_x3TZ zO2QumPS>x%YQ1*DN)RTvv!56CS?7&i7q+!7vaG#|tIgYb*^xp6$sJtd|J-H%0#Wyw zfV9G?f6BP1mmTcOJNZVX5(kV@l0Q8Y==UV^5tf&{UO6g6+F>cv%z<=)MLpd;jmbLsXmq|m zQ(>6*(jY;aDH7qa*srfY5iT@0?^ZWdt)ee~^nzJT5G=*u&s52WHS3M7khmr*$2ger zUHIE0EX#4Hj@zay$9i**#gs(z4CvrE{uB48Qr9E%V}K- zbzvc!g$KZp-N)S&Kd&`-N6*VXrnt`g_LAkBrG{0xPL7IfQ57d{^OLtbM~}9f9f?BP zLbhlxNsko!>8__K)5BKmX-DN0-$i6RSqbUlS{CGLGg9I8^kI2^Q&p;>)}Md)0_oCH zHTg~y$vm=)N$E5Y^8{wOF+OO0d}?R2cBEmY^U;aGcvanqfHa^y|D!zqMPTHfGT@%(mZc>F06n?C=*$9exMFY9A znX^*+ph!;f+Zt0zA2g;5VM~oEECZpt9$$6eLRUpo7P!t6BJcnBKH|q=YTZ!xwo@)Z zJ@u}|t5&Axn&=txb3u`2*e~(HrQ7`9Hm6)ZKC_Q6jh;#=-U3j(7W#F|C1k5%HHN+4 zw~%}d8C+C7#lb{`88)+Pj-!g?*tjSUH?H3I4s;gxPA=v9N#2%i3s)E?vn>h9 z``*H2n~lq@oY(hedmOnDc5~zALfpwLoXX0AgE`hTR5ylknhL$2lJ*d~3r!qK;JX~# z(}|cCJ55#DwVpAa%73*Zd&I8YVHKIA!0ni{N!cLi+-e`q$~f;qELA&E!DAMf%ap3IyxBEyd$fGEbR@^k)N`SLK?|7i<< z%MX~0v7~4KO5K22&fq@SfFUG{gy`l^Y%{(WB^u`{5?u^)h(sQ%cvxmfbQiJptZM<-vAlmQu7U3~r4VajuOG_EU6l&;+5)8O;Fvt% zH|Rf(ch&cqmM3>5J`FBnk{z|He%L@g>_XG!l-{K`b&5@M${tDKg>fP+%_L2N53}vv z2IoN+<@NL@Vw!{F4(7{_E~H@UKwyhPgyI`Vp=x-uK^K>lRaKO)_>h9h?@#{HJz}IE zN9Y_H=qYkapUMk~SCFr<5U28t|0-YVVpD61jLI=YoYChjaQ<-ybHBro1aw1vn|N6> znPmfCt1(<51S21>TN1n8t%pJ+_fWkXgX0%v72Ct5Mi5W(r?(m;^S!>hO|o9p&bFn` z4|m|IT(QXse*#GM#EsC#U2w`-0@0mxpSgy!pANu>c6FX z1+$p>n>;#MF#ymwlP&Ha^A3G7-jkuJkeu*gf|Oa>9qhBnqF+k#g;7nMkg12`=3BA{ zG!R~wpaJPjjwilI{b_%5XvLItDu5_*`|TZ?@mTYS16FE{N7%lJ%#l+KjB7ldnoq%! z!7*7yP(Ix+J1tPmTDT_w)o!+2;9@3Q)ZiRG+5>VDcjt%NqzhZufCBcsX-!LG=`3U+ z7sHELlqR?aFACKM!UzGdnX@`IWv{;jm&pGJW1ajGK7nFO?(~WXS&eGCW%i&ISzQ*? zd+5ZF4&J})Plg|E;|&NI;eupeEM+ED;Aj^}T;KQUG=(HCNkjI2=o6p@VCn^BV~dc1 zjCuK%|f(eH@eLt-P+}zK63@% zJ`JysMN$3<=SgNn$fk9iyxkci8!cJQXqj5|Bp`n#tr7XqTm(v(H_1GFVhZ-E`mf+o zn1a{NTB_cyuOcTtQ~?<-(izOHqyFpDhNB)Y192;`wD%}ktNVc;JvP1r! z%Z>04I}P!JO*+rEqIZQyDwMWa9aq9aFx&OdT5N!jOGNf^jY4)bn5gj?EhXqQ%tHR* zb%fCH6@fp*-!4Cn;_gDTQ)!@{uH=#}*QCE5Nd4acoBROi%j!Yv)TVOGk@Mty%_)GO z8Abrfh>gC>S;`ClND7P}o1B{Gqe|M6C9ny(mocOM@(-r89!SQR)*TiKRYi-5u`2bR z@NIZ=Xj%2UFw55Uor?WlY)C15cgr`xH2cui874=apXb{_$YTr1V7|86nkA+?*QEOl zUnB|65YJd$J?=eH>mi`=E4aG2{7|kK2z$*F|4UPKSy5$a*Vh|5;u9zjlid#nIjG$z zeyT=Lu32a|PfIMmh{HRq^hfGy=I~|9E~Xm+uN(vepu!>#m#PGduWqG}dX!hgujEl8V(dL3wi{}e4A&nM~WJrA_#=xV>^wJTdvc~CKQ8;*Lg5^jL8 zW_*ca3TF@UU5JUYyp26>1a%nuhpMxmJa878p@A-G(iaA%uddss{;t#;VI4N99!}u@OmJF|tW_Vxd)iV{N zM?E`E(Cb;#R|MWj7+GH`X=M^V7kZKMfD(y>FXDXfB>xOoXar-k-M#^%&zijSH}waH z&RKzjkmrP&y^l`29G9u%#?Mc*iU+9My33vO7e5W}DZF?g=aNCZVv)5XFw8YtLQ!#) zFLj>`S^F%%yVI}R5hK@6q}yN&MRh91ICo6A-v5@sVZ%tuPu69^qL4r=y;6Svr}Ru& z5u;Hn)6gVtU&N|^Il)S)s#$)~q>OcxDNswU1O2~RMu(4WH36Zomz z&9bCh2XgLEcNTW7IK94OT{CYm6M~IWAFdV(kn@{0$XPK?iMN47#lv#gR5m_(6ypks zI?T4NRbkTA25cJ5=<#iG#@29#6 zY6F<=-lN^h<3n>YFO+Hq>4Y860ZN*QGrF*J4Mn(G1o@7P!)!NaQr~qu25?cctn==<%GAMX9g{V}>zA*b|S@XrR-p9%LchE#iNdh2`BxBX__jkh2 z1Ree)4z|Mt-RJmmjHR~li%)kMaL1OENy}M*C$Emw@KQTsBt`NS!gQX-8@0w=L;K02 z4{b1l=jMd=Q}HyRxA}gR*F*-C1-QHQa3mzPPipBT5}?x9)h{%V;KntjASbZ;=jY1( z{EbC&Elp@|*vEM~su~;&qKdn<$Pf3K3cL2URL<6T$-hs;X<$GlJ3pbL2GxhcLVhlN zYW01;N(LR0XW8sEUwGt2%&A5*zZLg3_-xI~&Io!55`GL_p8qfM_~8GL$IHQ7;Q}e4e`ughWoUe=l(4;d zXn65l-On&!Um3=M^R^?H9VwvUCqFUNuyg+vm4mRkSeX~pZER*Rr><;2kl_5I*5{g? z7L!a^D4^`cBU=HIy)xm4BC*UPH=WmIZ zeP!v^q3d@(w)<1&0CU<|`upgY5_Bt!8~8wme5}soJ$$Y@j<2R3BKN?MAu6oygaZ~+)LV8eaK$=9Vio^= znf zeleJ=y`;z^_PkDjWLA^-F<>qvGNua&ntEGB3xNf3#3ue0mBaGK-43qG9SDweIBN+bc$dx;ek3-xZC$iV{brr@_?5(K@IIfFiwJfc zf6~1l5d!VrkG3m%eQa}Z@v&r8lXainOp($rCWLT=c8OSX_%-qa0(1%Uszo*P22M9& z%BIMZv|EucZus&Ox$5SnuEn7hA1)>aXwIv2odB*a-+oPv+A%TJp>)+M^I@g&8YrZPwJOYBvJSGi3KK%Ep8&z%dLP)e?bwy~1v_QX z+F0W9op3{B`>z&t8fb70V2%-J>Oe9f7}_;|N*)4w1c6>kAPGAyky~)2=co$F?>c6S z$2j$vz#?N6`|`FZWtj-*+YoSJ_`kKT{~QKmigCqUbVqWxun<%_hOUBjXZ-TyRhWSBD?DG=|W-%(c7gOH!XRo3N3yO4rfJVIf}+_wRJO-nsTFye=SB zt$F0^-Q~B;tqjQAJLZe!XOX1Z`yaj^`2WFlbdwL@xGb`1!taBxTH;Q#rU!N8 zVHoRiSeVXWb+o-9OMI@nna|XAUn319HloKw(Ac#!M$dL17{1@7=USoyMGc@guMjG* ze)KiVG5c(?vzLo|gm%!mD_4*B0x+L^*z*Jx&g7oI-V2wSGe;gc8m<$_Pb>e#+Jx#h z1JDJXr-S{sKL0-}+JS2A2!Eh@C5B_8cFr2oK*j|X2@u@pEsP4gA=Y&Rm<{g2|6bC5 zIk|BXO#`h85@RS43Xr2<^u*zQ4A8d<6CdB{7}@Cg9+I$&JqNt~F&q~zC-gK(4p4Yb4UM*f_SuLI25 z{kN_E4`AR&|7q|E5q7+Z+^kB4?O8*%muaBUsCmNGI&$_$6rR7D>fyLuj_j2O^5QpD z6!Fqz6teXWW@Tmt_`A92(EY>#PBjMFNGPv>a^3LRre0+I6QF-Vky3r+Og)8>m4FgM za-yCH4fIPH0kD%tijbpE&~aoHjQo}>24GtMs`JB6Emi~oC|>QwNl{)l0k*w@SyTIw z2RHP8P?FsEPkn;-~Xrc@Vef=6E z7lKA%kdr!BDV#W7UX#;su&4j@t*)|F_?40wY7gpM6W>zuIT-mGp4N@ntuQu&e@m~obXLp3uK#Fa-&}0Qv%s8_pa89ZVdz|x zk=}g9u$Llxc-S5y-@i}h3GC!&PT;O7YJmlH;G`jUBTu12uv)_|!x(*7lpd$ot~ofU z>cW+YzjbPbjo5ujy*=n9*GlIhXi<>sf@gXjnXZoTMCtsW9EmaoNsE&Le~A@Q(w}c+ zS$28z;-M(J>;dm|Ory{&d3tD`$9#Ld$Rv08bvk*~L(kYfTpl_M;X*#~dV}I=5-bct z={I4?4bSrVv-z__wzhj2A2JUJobyp})WCrksg>BeuB@VH|Gt2_F*ymPkI5N#sDivF zHUgJOlV7NVn40wjjO%o;->k03F^a42a(Z>+?zFS-c>a@LJTpFAh2i-L88U^8mY~rL z;?D4C5~t>K=*w=&j496#0PAS44VOm_cE=#GdgfF$L$bE((j=K21h@q~;B3p*)7xOI zl}7-a-%Rl*{z9h9OUZ_&;1u(Up;FYqcg$1B)M&@`m|ezzT=fajgXzvjk9ebiPLJ#B zz0A$r!P2iN3+5)LllHn%g5$+`_13$MNMF5I7Cq}Wye0K|uJE1Axki*RwHH&hS4V;% zq;b|UZwji?Y{pzueer^K--tcD^{LllAW$@C`ylIoXswM6C**&Q!9;u-fZ^2$cL1OQ zo&+BcV?LDqaOJk-hjKfjI~8DKlW(xtwvqPXqVH=Iu2C<7WDNPOgC(^cxNV~tzU_W0 zMP>O+1Bvrn0dWPGOO&}toN5u%qJdJPyexN>lTTRnV%GP`ywgij8)Hq{3);3*vRqOm z6TF({;iJlj?IBT9-CJQ%2w!aDVl5iy+ieGp@>A6>hV@uGTE0%aA-C5(Y{f%>j=`{& z$t-gZ$@j4PZxUX-P(0eNlKXLbJ4H*vcRS{6%L{@O&hLOp{<-Lq7Djlkz^y1YpY=diOCqT z%A2KxNvqrwuYn00T(^MN|<0`QT@&h7IfLO|m!4J!qfG z8dwY$6{`P^os8^CESb4I9KYQ-ucM!m*z<~CJfOF`2BEDw=&jNx#-H-1N<}!;Ts$jS zM@3>#r*$7LuNTUuk^AUW>>qo_eOSi&#t8slsK%&xAo!^$)1T~B_W>$%-zplLFb%Hq2eYMD=%Z||2W-8NL&_S-_azcUqN1v3B)Wy8VpM< zTy${SH=dAl9rc$rR2qc)M2RQHIB|rfVNa;6-b*4vcjHDo=lJVz?6^dXXVnjqT=HID z%{$Z=z4Sx$t{|?m1%fa^bs8Ea-!`aA`YWGmu2lQw(z%F>A&wXVaxMsfHHeNZ^c?vbb;%`gz3Hi@qv z_8qp>7Y>lkUBldgAE2l#i;JPNaD39JztNRv)x%|bo(fOtR~>LVGAzfE=0lTPod`u9 z%OZ183d$<`c=D(cPu6%)c$XEQBV;;iKCyU*7y1i)|3Bo;|0aJ*g`6%gbM5FaD8@n4 z+%rrSqtr@b-4s;EVUjk-LFR&k{!?b5Yn6rVVK0G(m$E=z1^ zjSk>DN6kJ~FM3#|wTO*+nrJUTwbxZFUrMWU2PDL>l*K3Cjt}tEqSx+}Ed^M^&W4_9 z_#Bl3YgX8q8Tl7sU-9^BpWu~W7mnQ^$)d57W6)jzhU(+A@jq}i-EX|IoIQLmk!&!C zDEXzE!}sf&B7vJzn=cUe2`i^xEx1rc#^sWIpJrsAgrjT3l7E6lnoKfJ2%0 zJaahrb1)-W$YXK$%=t>ieFcSr?reW)uxe76`I8}bI)@r5^CxD?m+p6tNpJXeB63X9 z$~7M3H-=X2NRLJd$oP%t)#}GZGjONCQoz;g?Y>yla^M@k_vhN3<>tLAr@Jn{+q(~$ z^#BMd9eUFLar>o}khs%Pd<7-l6Y^YppKI%)O_5uH`}L4sFK_t~6_(3R%=FKK6jI~!WPNS@YTq%*T=QbJnW~%5yy+O-WJcOtr&?We@AqM5rDp{hxOZ(`|72-4!OL$w8g>h$m}w%}U`($Pg>MHx&E6$4 zE#HynJM13$-+lMq7t9M;$qK5Yw(=v165B;(``piQg!r3dekC84BZzaRwxY`PG7Ws< zt}Pr^4q1zlCPr|lPT=EK!4}YfBz9SJ#fv(Ca~^v>&~-~qMdk> z`6dli9r#bvbh6A~*F~qYkd}`h5#6tJoTr({6xjVBB7SPfE^n1R@p~n6j%A*1f!a!L%Rc2MO zU3>XeU7T0=ZegN?QrM?QY_5AsZu;1-Ek;7WEw(MbvQZpsw8YFw81g%{Bi|hHyiLkk zh~T{*02Sjoq4lOy86B}OF1l5g@u`&Dk(AJ?!Dgz?TU+q*IJOIhdsy~LO&)JkSY~Ch z$rC)7r))Lg^?5PcV^VW9=4yKtYjS9pPFcb464%K>jfM9atT91ZmN;2L5YR1K`?-rQ z6!zxHE2E`rB%P}9U7B^ackMUrUFN=1t0vGshj5_nV3CVFDuG`D&>ecEj5f#iI*4wB zVC=b2PWo@y5ap?nn-3&eM3F$z(G}R^jvd(x%-!xrm`*g`6dExdl` zWyVx){f1eQB{Au#+qI{!O1-*aOmJDetcHh3rv+m|Q-Ok-#=&Jmwu!1SDU8v#%2cQB zdr6;~(FAlU14=6=R*=EV@Abe`Y47Qw*|b__J7lsDa1g#B7?H>hH1Q^ctP%WN<3+GP z3wjkx>iNIexNE0D?0-N|$O2#D794P!|E;%Bq!=&&&c07p#mQ&mrdn?)L{y;^*I(*Y z%vuJ2)|b(XQT#d0t#&vv^4Pe;q&TVY>XsZk{~H4h_Ru>I9Ow0OLljkwmZqW(gYPdB zOzIQN7lVprwym;0F_;#-qVTV)F=hl7vzVqjUa;|BxSPo3&{H&3gjcpl}sJ)rrIygVwO4$yk(g+H8zsVhAVB(Ms;8Z6BBq3MZ z?5sWrpRSBe<*D7l)wUvMqgoOCV>eYrmK9!k91O_Q)YL?j(5J*}vaxvV1ss-Xp1wuU z5;K=l)0SJ8OY|R3$VvDZQAS*=MoIqc#}(Y1G)V!}?7M7cs!#i>-Ml|z+47rmlY?LK zvw5EwJM+XCdMaDjq^Ylhc__d>#Z{uF5>VL<3>MiOv&|^i^D#7uqL8F7!F=|dvO$~LK5dlyBC)LD%G!DLXV}sccA7VIMljfjh4^ISlQeP9 zl(N1ucgtYHLq(|z*e$>m=RAn}|7 zwUiy$e+Z3~qn}D0%Oj(q1SaSS&jfO2aak(jCa{GgsH+?BYVjUE9H^&B>hd318gATq zbLVNeb+xk*d#Im7nTSEH7cMX9?KMn!Ova1*8TTm#Lr8kWWyGkO>O>>(RGfQJo4avh zgDU;`I9hH6CNJHXa-rpnF$0sn+wN5y=e7}+IFMC|MKTek^buFmv|crJDQ{o)$tGUR zKMi$Cz*0C4%C##xMPjcyB%X70y!7S{zecd5wNj+)MqRp5!Tk%9QzEtUkHsOpiqDeS78>IfTIN%DM`9>i#UubcOTGi-j9Hv_X(>xkLw#@e>EF4{ zFREX@d)<)Y_+khV&*OWkaMV;QnH+GM0p};(UVq+MX4zC)=$Y@~=dL2rp-%dg7-{tf zbe=3d*(`$hC$q|S&pQ6hp62<5Dw$c?lC7N6O_(v{MqVu&yC62+x~BMpA@G|1{MMmX zdR#9qVBKc|)im!i)b9Z}Ki~0BcAllN{5F+dOBZf(qQ)6tZ4M)f0Gs_Put$W+x$C)i zS+wHi4l9exAJ1KmoUpoh=gGshR>&6Wk^9z5u_ERUrAR?ZzMq8zQ?kF3ivt&f%G=|> zjGbK~d$pKT)7VbQc!X=NORHzd8x4fF6|z-YB~Y%T0@-GQ8Rn0*mpy_W4x)8L%b?Wh z%ia{PqVGOl`2=Nry(i?xDq(~C-Q8n|^)!h>yRlfg+a5H;woN=%d95 zM2dk;B%OTc>UvjP)6~cY3d);e_k8<)mETU4^Do#d^j}%{i-)=^JcP2m-!E>H_cVm8 z`m{*bLEI@2QMe)U9t>8(jDNYi56Zf3W)pq-qd`@tN1>W8fhXVs_J* zf3bWi_>t0Jsh{31AkdH1Yft%p*o+oh2G3{_*zpj6OBQXKC5?|P^rC49uy-$5Pp;(j zmQII(JV4oRokh6UhdxtAAm9FCrzyFm*<7k_uEQP0I8*;2$QZ9%~+~GbeX4DFxpdJhfxcMgnOgHsXSDq`Wm|wgvg3`+u-9k8_re&iWVk2<9 zcZz%SQp!kOoXTo@0Ij4u6xeYe?4!eAXUNhx&NlRPGhgkK(QipRCs;<+@poNomwfr( zK7-2Iyw+gfm%IM3YW{x0_Muwp2StOh0zGrdP{0Dx1l}G|{eu@B1RsXAtOQapy<~ae zTL&*4+sy-oWCC+#tG?_kWD(0^5Ih?Q-ch&#?(;t8b9o^wpzqi0vOZ_KYR708$C**N zzWUiuiXL?b0v(jFNn2c3GSA}iEx(e=MWHJ{YH-C~qC#{&R7rumHiPsKK8b6iZ@rMJ z2W|tF#EFS#$*|+zS%DaAVeT#`&Tur>Q z8)4X$MpQ2v$+Y@3Ke$y*u4y9FWVjyxTq;PluNNA6?O*eK zXQH;bVRLn~JBdt%N4;)z48n`FEyw_D_wOk1270DH*uzbt@pqQWn@6m1K8t9J+<^#T3p(-og?!UcHiyG(#P+lq?lw?pdXNhGs-$GqLttO;u9hrP>&75s$q_7q*^- zVlAV?&ypz)X{1^k!yE2&OH$nf`WRqYL-4hh1k_OH!t{$f3v2SYU7xN-%if{y^PWqOwgoHNitQ*{ z3$%3^r_T1xF5E-)n#Hgi3JtzELpML;L7_+=HJwcE z4T$R9XUVO1EYn>WHETjQ`K|x*>Av1^r|VhiabAI?1^z`f;m9I*cLgp{gqXHb@jCO{ z47W*0ZD<+EpYXJ!427~_BF4JHeG?QIi;e^hf3oNWA-B3qtlSsbn{O-(UB0@EeehnB z@9kYeX7nR{>Z?r)u=Brtjs^92_21ksPV}sfJ9b+Pkm93WWPdTHSM|-6XLgeJMY1O$ zQqFLii@RlwI@EkwmO=HtY=I_9EahTBp zguB*`4wSlyOL{CP4>Hf!Y3w^clOBJn;jCH?L(ERkJr>HZZZz%Lad3<{bJl($;a2jd z@h@Cy?6Ys;4H~avsbxC^X3oWD?y_UUg0Lliy0_xZ5hqE{^~_(2H0>_-N=Au$D~(3& zT(9e0*qtaT@m%mYR1=sY`z@ckcPt1^EOuda8Yh~0+l%hFGByjrKL%cY#c}-DZs?tw zEx6irlp-B~#(+Z(RCyobIkIytXNVSy9rD?;9BMwBQ>=APHKtC3X3q`HehE7l=zq^_ zKe0R)?ppIyFs@y|RzFF0f{yRn!TLQ4WOS#wcg?lNCphlc+>cP+oXpM$~zR{8xc3(jbMA%I=@HL zyH==5GMhDYA+Sgc2Z_Dld`!CS^7eR|iSr0q)v zVkpgLGDudl&4Dhn{OGri_xVyykB_DgZ~-st0`AMX`P9rESck=kmQoM@x2pL4-&6#Y zCR<}9M7j~Y=wZ3JJIGHktyL?_bZ&*xJ6)@nqb~UhHu_T9(9~d&mS;c?!;W`|qH1%f z;b++SL{!p3j?XOFeNXAD-4|2%gzYEts53XNdubO?fmokP6<``k)2wQItEb|wQnC9? zK0KX28aw*^mT0k?@dtCIagW-G4UY@Y3fRwyT^RwQN9L*jC@%c}fA$~X!T%91{NMCH z`>$+nsH=V?wDPd25q(j`u^{024kui`A~p4w2{&!hxrtAXJzYz6L;UV_c(r=YNEL-5 zciF-K-%Xv;c`d{8kKWMBFu)A@hTfXXM=Vm*h*9`(tj5Hz=GTSd^!ayuaauP^1hz|m z--~54c$dP?{gUa~joVNiHAX=HfbI{-h4Ib-5a}iE>Q5dDns8+%{nr8vc(0a{U z{=!@RT-k=4GMW+Wk>W>ha+2t5Wc2HEz=c5Fh}XKe1_~G2-N7A1Lvu^F>Mqn5buQ?( z@?3<$jyC&_nk2DxX_uNTTSts2a-Zy9s*}iT^my_^?xzHO%6Y-S%l(Dob=SdG2LLm0 zR*1qyPhlI1Mq(_GF37eZ0+N`5bp8W+x&evO9(t$+kGnbbwQOZUZ(;;U7=Q8r|Y#mQkOq^WYx2tnPdlnXuUz z2sl+*lm{qG_G!>Oa^2x@-{Xk&*!_(YK2gkh{#Ta)YvbUrtQWu=g%{9%&%Bpmuenczj}AZ7{kO~-$J*( z&3;^t1BBW9@%GC%U)Ht*VCe>T2;a7pz>F{HngyebC|3MxD)vXRuZ`u`&pdj?R`88y z;9N@YVy$xrI2uyLRF2cfN`+Pd?qI;iB=^;b11F@gj9||bKi4aC(n*_wJ;qqB6&?^N zCWhEfcz@ccq6OewCegIb^Z|lW(J(^#G&OVa4O}8NT zE1MVX3XXzRCS>L>lJDU;$wHGE?+3hGMW#JH;l1qYH#(T>xoEtfiM60TpmUWLL@;)U z2>>a$Vz|g2cm=EYt1+`H%B`NItM*2Gjuu)TrO%trgm5J>!Y>0jE)L=dKDH~18K)3| zkSEJVwPcA_G-p1lX&U{-vVJryLv#I=uBiFN?Vl=L&>O;%nzx}(OFV@dYhso;7TLb= z^U^qK_o$GJs{uiqYb38tpxabTme8+|7gYEmD7EXG7I4{;l75Am66WMb+B0&ugA4o9@VcnUBt#i-Y$D z_z^fy3g8$<@%VT3Ltps|2<}lX+i0w{=AA~a?CM|3A9^pe7u6FNh?w5&eCdI3B2H0^ z?3NZuw8$OFU+4#4{&!J)2JE>LJ{wWoLP_4k&dcK-SF2v|-|dMwPmV`uBifKvsfi>2 zi@L1P29Cqc2LvvItV(0h>y!Ip8RS8nX3KHI$F6i&F@LItc+IEngV) zl4E24(dc_i8%{254kdQS3-!){H!|-suzZiVN4C0@tTezvaBgRmt|Va}>4q|`YT6TS z%z1m$S@~p;ik619(r~ea;P0vXEox`S4kYfHh|Y%JOf$O!HfDXWD_FSmF+`E?+4bG7 zvYBj5?Kbn(2$2p5Bd~zoKH*E!Ey%95nhRvSIwmAJWw_;$Be@q$x?FF>72-Jr~kSKNUB>$%d* z&kx#`mjx4s^K+`r?6T9~s1&*nbv-nE!R6RRqR7zJAzrM5b@SzJyM$8pwV5ne-_4>A zs*W#|oE>UY<(!0*9>={uEPF`Q$3a_=XAZ92L{bG<>f;9DiUsVPx%Wlv-g65-vAHYr z%sheaK4`NVc}xRgJ$lFHu`2OH&JKRHvD0 zd~hiuY8fd&bZ$q_WQpTtwNGk35;oHjqYh~UKC8V#f{w?>Z1y?Wm?xAUXht(^5F91| zgqC8Xdsja=Z%;w}doJ4d?yHm?+DiRA+8h8O5O64d@-;~c2tS!Li|XPfe@TG#@y4wD z3RdIbG%uc?Dn|FZG`Wlg<)fQlMBY6Q;scT(v^>Og401LT>))ym0P!wV>w>w7k&BN4 zuZ&ljkN#RGliWC07CDpTPjxy*At6hRwc5s-J8{Mvw9C{Ao<&;n9<;;Qh?_P zjTnJ2HD7l{gW+;ZLoDlR65}^Xg}XatH-l0dA{P`iH1y@p-~Dmt${pdS++CW;hX^S$ zJr2@6#B?;lB!$$fdY-F@(iWm~ASAm>7&F1Nc%r<&VB5ri# zW@tcdr~SATi+{Swgzn(geJd&hBYOo2jjf-@$!lG za#8WcrgsP~oiTT+GnAfO|Ez5x??I#r^^~=V!VMApajP&j`gC#ky}8Dr|x^ksZ5zn(`hDx&kf2ePLZ?<@c%)KaOO-rTTa+a zELZs)E1;d+2Nn9Zs=QVvw_f!xX_kLWxBOH6wMy$OG3w-jv_sh3Y%Ww+pz)4b*>Z!K zu3x%_XghxAr^;XWjd<$8Wfyj*jQ%tZuq5qBcMt;&=nj3(?gE#Sf+(j(lvV6Qt%L=( z2o;QAal#f=M4FQQzF07bZv3WM7o_}XyKH$V4n|86CR-6x3S6=vIQ^)rDNoI`sy{d< zUPcYP{jMV$r5KR-BBI~(1k5}Rkh36F^K0!hlpC%PS`}3WRXKX4~3XreIO(147KPa|f(OZ=r}pw*W`r5>W0Qg^Vgz8u@N>Yhl+We_QtRvPoBd zu_fA?sqitStiURv+bN_6l(Do0<_S0ySJr+pfO`A2{W6r^uxW`!DZ?LNOqmJnA&j*k}aX6-H^dP5llirQt>*~uJ(+cf>6!KEL&eh>csWcaF_i_TvWq71(V zEEp)nFqGG`(HsM#A`1_bEwLW*_#b8$WoOnWU%1VwKXj_+U3H?NWziZ={Qd?6)f0Oc zyX+MqsRCc1i-LX2?(B6sd6l6eOIH^&Z_d^$7`Mfp2%3*u!73^qs}sad8{l>%4L2Jo zP9c_j*)9j5E;lufNi@Cot^P2o&ipzrNz=h0`APP|#ktpg*z8EC(;_!wI2Bs!-=V7C zu0}g72YEe}?WWK2yH+-*syw1JM}d)*x0=w566u$w05Oq6)RqLTNWx4hrC+W~7`|=& zCa+de1@RXS{mlwf5gNrmKs~KeolZH3u_@t?#oVZ*0qlK#dETXpU@(?}fZ~Co3rhoCV zd~Gp|=zhOqwCYuIKk31uef+!1rEQHzI*KaWkj@Mc>23^pMV~0D08lhC(jpHRXX%zE zvoYu>wM*%?;f5ozCG(##b=(G}SB}FR-pxO;uZ||=yDvbWqUR9p1ZN=E73l$2%0sq2 z$9i$#Kf1mc<%l2Ork8!%f2;CV#651GH|M%ss5fr?QgOs9v}J>xMla#7qV4j2ZgM8N zp7=HWXweINF7#FSxz^G9RJ-@op}j3MQ|-3Tlq-j*_*1dSv)KP6Lcx>+=^2YP~M!QD`LKwMz)mBmm;Sp`nqLYE#QM+IC<)mv zif#m~FyDrl7{D?FRDfk71~Jm$)&4_#;zvQ0Vh2p810pf*+_{H^0$_sD;^$7Cwd$rnAp) z^PjTgMY?zA>;au_DZo<Uyy$KJw;RDQ) zuZ`$s7%(Jr0N*P?MGko93`i!4bRdL%^{)4CDaZzY%(}x8kMHV9_of?cpDK{shXRf= zoVb6NNJi7D(GZ!2x&;(#FLOZb8TpiiH`N5`Z z(P(t{iHqdZon`YU8S-2XezxjolzDKd^T<{x(s_t_!Oh=7t6fh`EM9ZgU1?!?!^YpMLA6+h;#WBeP7fL+uu$ z@{O-KkJH=wLA~m@p>N7e03+EE8qol54c5ZQp(1UhqE5oA(s-2J{5`oUi)ya8KKM#6 zs%S>7bf~o1%t02zp<7|p>kD9QoRkGPcORi!7AdCPJ@#Bld% z#W}0w-k(v=m6UE$;ho9MYRl)VhY}`JEDk6YEbsojc<{#{7c4csKGGAU?0lMw(xdGuFpK6L!IMW!}TP0y? zy4p|F>yr0GyB;cDO4Ghg^bTY8&cB@>hMd|;L6xJCtB8RbF=eiY!?T^fTcx%$zP zZ&S2MkQ~CqcVx1X&o33`u@J z&@N1yLWu1Z=~8oJ^4D80%@pto1QCFj5!q+Djn$=Lah9KLSN+L>il) zyO1Xd1~I**nt~%yEu1hqvYsRU?n(DUoZNPK^|7V#v&0rem(&_gJ$ zLz>HoI#1M~<V_RoUgcXPI z3ibQ_+mNJ%Tf}QiNd5r#dsX--GMgZb_4i3tp+s}`*UsmNM4hS>SB%FU%HVBb&$n04 z3n?u(WX~DhNd`QhQ<4a=|M7$ao-T~6oWE}IwBfW7_C0&cCo0uZ!?`u!li7vyJzTWq zuxCUq%K252a)3}rqI-i`JEHM#^7hb_8}l}jKRO4@NQ?l&I9x<}+`b`hK9e{**HK{k zq);Sc#!uSi>`&f^h}g?lLN2h?D;)>O?V-qQ36%bJKsQ=pYi3#X;f|`Zc4QEDK||x| zk7rsOxurW>)3fZBB8n}1Q#08NP&;VI9}u02K4)~}HQbth#pFleh%g%~E7(m2CiMZKu~cv{R&BoF)&QKQ6#rzER3Z{D5L!|RLdelhL=jh&7TTZ-Kq%{$#}$X2~LG$RoF zo|#)NEw`5gOef#^oS4o2xQ0(}tcte^gm^RLuV|U>WsWuv;uW@xaIh{Y6I^OZ71rwR zhtX$fOme;Ta>GB7-pO0{!}<;XUSaaqy!(WT^-0d~|Nx<~2Tf zDJl%@0zOw3sS=d?2ju1A?w$5zYTIZIaY#+;foo8p%8bKifR4Qgk+rcDeudRQoz;S{ zswah2w)R_}Y=bU}4I=@(@SX#b;SXqY0kA}MMarD;oUO>S#7c}3(e>+Zp!PWZ>5pT8 zsNRGOGOx3?Uti8jr>k@A#X}{LjA5cPZmbV}{o&$A;_(ZqJWyh(anq>23xTm}#Gqbq( z;i7Em4|pA~6?tL}iqm6MzYkDn!y@3ubt7c0F9DUhncCycy`^q@yA9(;+cneab8ai& zc$UULWpNlE%{_<@@y>?qZ3qjcvpf&k zYJha9y`DcQhU4W6@vsP!Y{4f5GhkiX|Hm>lT@J1#uHmuQ7F$!HGlg zyd{;&w;^s<@P#<$sX%4*t4zw?e?T=y-C!CZ$JE)cP4&XKm({u&TH4It8?o|?Z=AW%$FTj*{+BiR5=IW`n_XLah z>x75t<_^rd05vJp_G`TEGG`Z~4@SQW9FY`Y)|ug;={$a*=$NdJT4gHl^S@tM&t!T> zOiVygaY!0(PdFvMUM`D2nQBAMpfLk!sKZm5Z{vr-7Qr?7}Qss z$)X1ZFKWQ8Nph40n=5#;uGa{9xCc%J2}WFfD&aPn#8J^``<);vNxVYk=$JS`NPP1Q zEQ`nfr6EFxk=hRZ0v8%?q_fAmn~RrB>3l2K0d&5-oFe$ue>g}N-`C|(gI#ERBhx~? z`*I%{536!+2k_JPE63F?6HCY1pV=tsx~%A2&GMG6`y?%<-Rdu?ZN(6mm;v~u807XT zFb9!Ugm7FecCp=qvn|a=&?WC%n#S9-kiN(Fwie(cKjQkoZ987~4(yseuB2S>_yX6* zb8Z9L-BK2~gm^zY+8>Vs)cTywV$CDgP_g;4Z>al86})|YB=|VhiQE^&B82Jy4RTeJ zufs2wMao$Mxozdu(X#9xb@3)yGO<*Cmu_XJ-~QD^QR2{^7+-zt0C6J&T9pV9cVs1U zs~z{cQ4a^fNEE!5lX!UGCcx2%+fuNw$re>&vD9K)HJO*^u-(q~33# zEK0(+gpR_3~AwT(6 zF}jMsfjf*WA?QYba+kXGoat~{7+e(?ABt9CNVXBf4$Td9Q#emU$ej4DDJ|U3Eb+-| zX4mJ=a@DQn#xg&z8qi=`JH#f{$0;C4paF~n@dF6}0JiDzYaK5kG1Xp?&HT8s7E=E; z&#QRaA1gMsDZJFe&ojeX1xysr3Z8*9Pt!IQ835~b6k-_7S`1_ryutv3;lSDqV;1@O zgUG~TTA-v;!sVt<#+(;+}S z0u~D}M5TC$`&PKJFbdD_6M-_{sW$=2_bG!Fd%0C%n(5euxlirP@Aud>70Z-IkY31H zGwi+_MVQErQ_vl!K-QBR8U4!i8#dOw2KJDj?$W>QvX6JX{+Mwf@eO#SPizG}0|-ML zl%M7_pM&4U(`Ert(Q|94M$Q5&D>nhiI9D%E&zqi+IwAL)j(LukfC+eKt9xxR0*Nz# z&Kd!8)$;o;ko`OBtIzD-AlsNR`Lz=>X8+Lqau{cWS*msT^SNs(AwnxGR3{$5@qZ+0 z#EcPT8UjAd9Dv;mzl+xlea>I=kukHp=ACwa(&KiPp7Un3Ts88i*etcYe-%9YH{r8? z#=q!mlyk&2%~JrDzw`xAq!J{id~L-PL0Ra#%K?u1J=5u|WwCeu+TCu2aWaulKw`Dw zorq$ycVw5-^ky!^@FXD-ei!G5iq0B~4N7ypRR22Y>j0~8sE*Rycbfee5LShg8#m3TcD_Dk3O zTa3>6wYJ{Nw{?zn?UOq}a7MgkSBAniF!yQi5g(u#ByI7u*{=*2tc|S4rgfh7(hRBO zJKAuw$>iAHPfey?L>j~k0~2EAF^9>M2GiA^K`_B64)tSd9#}2_uMr49AVF2HeHTFi z58K;c?As3}&6}@fb9bl9rY1db!20kxeeEU5la-PIz?HQZ)7mnR`idlwoXleFyMg^H z5niV~_~WlcI9!hOLKB#Bv?8Y&pU!=pIlx)+y^*798Cpil8l#u;v-jZ8O0&~oV9Kob zitS4A|4U@yDqI^kglg2mEN)A25TADWmZ)z}Ne93G6sd7Kt6kpAm%Lwiux>!s1;&&Y zZj0}ZY_wb61&n<6093mtD&OX`;TNQ`Li>tQg%Uf2iRyU##CJJF?XM;SVl2JNL~{W2 znVEgcQk@A@O}rk;h;-AR>0W+O?eSbHysExra>Hd=viv5eu56s|VtFmq_^~s;BB>wi z|1Z&&xUs4tNOTrIAVwKErJG(VAw=>Rs7(<975gwm>w}(oi}fQt&yj5x&W~D)_&RGAz2M1H7J+6c=vN`n5Of#kNtB$AVH2I>Wbf}N}RL&?fs)q zMo8VWhwFrKFmI!+(W@hy0q%$oZz;i{F=ksH#c;^2nmpRUkIRfNei58pus`qeX7<>N zhDJY8xS<^IAQ4ua#Y7bQxDKTP$b{$)4ta#oi1nE)kB;9Wc z11=#A6D-*4;ma@Cr>ZtO@FD-a7Z1%n@s&zY4nw){(bY!hJEx%(P=I}Rc-Jkjc8TkZ ze$J!^o})uo8V#K<=he6}h~5Fkf05662RIjynaC-UI_10+>GtjTbfL*B@4m)&TF6O+ls=)5R}*c0_E?)tM6^H63yT9N&B5J z$e+P%{11o`>4=V4JO`Iu_F@V!YkRhSLigG{({#+aq3(Na{m%$4whXNc7n06doss@6 z9gphtd^6_aroVy5q z8+dvjlLLkiL>=lUoF+~G5fP;va5&VVGi@2wAvH(8Oo+0VNDHl&06(s&PP#XJCF#kS zC^g9QT;@AJn4HgK(>~?g5RcSWUU5ZkB$9PL^^*7EZvr;kMr>;yib1|QK!S#r;uUU} zk2YSDE3VPccg3G(NL|+u6GTbTa4>kctcfTM$)y1VYO~P4(sJhqFxeoN?CD#=Q~Ch} zw0qW?tjyO+&-D5FbB)e?zChiOOYzS?-74sg#+ux-wiq!nwgvHQf-am&mB|tnNDAaz zr`f-zR&n4cZY$WPLka-ubdk|tU)AyU@g{_|o&{tw#lKgO{L$&X}! zEUrUkP%}GbwZ(wIVn9=&}?xbhYb&XqqFwg(+Le%DY@1ANI^n`q+Tu%1k}K(EYT3%D*Q;|RK40;M3gk-K}q`7!|kvk%!>YSp!y z=&Ot_XDCN^B;M-f^>w%uP8{1D5dUXMlKwxfC(s44ix;36@#!S+O2Jd?0qDfI=pB* z9vW(YGAxc`I(O;d(l~5(ZL)cP0<#C z!?UTWxK` zT`e{@c7xk3Af~WpBe`yM@Jlv?WLYg4(zEd1tB7NER!liyDNHVsYg|w>{6dZ|$hgV? z#^M{nW^ns|lA8Ia{jAqXTS!KGlSj(bJ_O2>vv6gUZE2(C_6hGRKq~ZwL;aQSH@_4Q z5p@obC-jd2>+e1?9)e2-!aN5Y0ARA^E$}Px&LM$cAJ_pe@4Olyc5%lYLO!pL&!eye zLjd|Nl*p2e)p+OAU0zF%owL+J*XC1v%`71lHmyBe2R2 zB(ubosj73MVAi(u0HR6rBenH|XSWm(QRM=>I68vgIO4L~z!zf7ELIP9__j+)DCL3o zymkR2OLz|`jJr9S~z_8+nhiwAr<8eoyzHdv#k$iXYTvG*|nljXG9cTX-r2B8$mqLjpK!Iy{ZD^T171dRSIic|#XR}E0i zS2YN7_z~qfz>M{qOmT zRX^$5o}AqE4}Q2iK~Wu3sx`P)S)QB|)u$IadVa42Iqpv{`|k&Fctd4LK1_sja) zXYUNBrTNJw9uHXut0KN+H&1+q(>W~n3A zsVKXdzl5&OI{}mY&M6R?p>_be_6sn-DjxtnO##5@p%qBH$!e8>3xQr}9E}klgZ_pV z1Iy~uY_bUim+G2*0GUMM#B`!cgkH3IqH*X5W_2 z^$2TwmI`Qasa7D2cIxETs?C6=qMQH}b%eHJ-?bbatJ80%D5T48fKC@tR5U0QYx6B_ zYc@{0(4?1J2eiH~Wrbv^1wCn+L@Pp<5)@>5Ka)*D-@nUCe&A@+k{pcxWt`>%HZ0ZJv{?H?*<{3A7u|IW|O(xFtWJ(ghSH*U?(oDq9`WP7Aahq%4* ze2}UvT&^rey?ZvLt7+N2-nm?|Yf8V@M5t1c&vPmG76TPbgEDXNY8Kg2&me9*@I{N& z7P~6lr@vPgDzTI0u5E(qD{A@r+u76A`aqS3v>g04jixns?vr{1uLfXxN2Jl6o{{(i z+6}ehe@PdPpdubE(?tQv)&oYWvV(!GFl1G$z%N)+gg*n4%Fsm%I5$NSd_rRhoKABF zMKphg92Q)p5ZPNRQl6Y^<-6#T!?aJ~u!0<%s|5}}rG?-sN&o|a+3ODo9<%g2hiR9> zVS@hG0u!~!;zh`Ry_I0y{Y|(uuAoy*2DeaD_WJ}jck_d|tc_K0uJB&iXTq_0IG>aX z^=(cx#t|s`uQHF>kZpZHAvqf8)4l7cNHsQML6;5hgx@Jdm*kY3AL-tYs=Al;$arB< z?1p{BT8Mkne^$IG^>?5%QhB3@M`}fX8j}

OzqSP@3e+R{{~VMnY*auvxve++U+dCR3J^U$ zG?%Ai!nb^`^;K!P(v3f+qV4{GX3b1c-T#BP_l|1n?Yc#Spx7wVdyp zTFICmh3Lc4H2|b5={zUWvr3fDJvWTlnCpoxspg~R7T+4v|B!Hnw z8$?&?mdw$@&o?Ta->?eS%FIme8sHql1)i#>`?l4` zIQd&3!s9?&wH#>bU-|zF@1ffZ+1dl7p07YQudvV(a$3(;0pShEy5})100{Wy=Rn>0xxx{6^~pFB*L>;qB6F+=Q8l zEq^a<!9!<@Iklaa1b&OEqGK1ZcchpbIS>TE7n+svW+B~SG0{%~-8m;d?7m7>e8ymW>Qnd&vAmsk z7at$+V{1uyB@`2~^^$qKmWt04e#g|WbQ8Q`jG)x>H`NH{@?jGtT^PCzv>r8Ghv`g| zyF`AFv#)E2c%i703D1jHo6u!ZKMesqz{F4lY%$bfKHwPF-aKZwp+DwN7Cvau`n8fb zQ|+6ZH-tZ~e|nF9M^e}0qYbul5=+|c6nNLsBF*26_MF29;vJN|0k_s~!If>ZaiEL! z_kn@Da7ZjdaJ-cR(XXeO(ap6}IP@_w%GdST#Q>C&cs%`;73HgINjQ2dIO7u2{a$Dl z`=1#V1foFs7SW)|J==LY;QeuGi4gfcv#1UA4TT-g65EK1qj8bT_8z@8yErzpSbD~+ z{A(FXUd^aiR5)f0eNcO%i0rTX=LxG+haQ{QLB6;~)ncL%mxzmT6l+KS_cl7`>U6L1 z@t%!$lI^xR#W)Dk?brB(n$E1J4MVv2c?PY4Y(|_36aYSj5CQ^)8Nqn@3~)1@Q;4g{ z+azzZOsN2YO=4ozyKd7=Xsj9B7|JVPW!(nQ?SJ?7qIt)49GAD;n{}7?C7hIvYn@(~ z^2fO_NJr}b>3a8E<~!B}(4AXMSUl(f?kN))<2JrWi)`4ZZvpdfw@ZmbfEvt0Ig96; z0|63khf+qm<{WhGt6U@edWYyxyeSsK(wL{B-q}#62ZURBF+kZN-Ul ziY7IWB8FuWCITZCZ1ynZ&DQLJFERaeX+1YDB8zO@0P~*{Nsd^b{cikOO+d*#n8%U0NSHm9N*c z#d*Jsv$@3as1cQUXLHx2Pr!napNJs^5q3IMt7Eylg2(rcU|jE?{W@d-&MFX zFOyCEBv*-}=1{H({?7I-m^Th}UtJ@4#(CTIWh<64Jm(-zRMVvXXkn7DPT<(@ZjIg( zAN_f&LlTB1GBde&IjYWUfYLH%Rp?d$Cgmc8WHb)H`>+3H!R~JvYN8-bWdiV4x-no- zpE10Rh0)(5Lr9*4oyp!Cr60JJZ%? zLgijb0o#R3Nzau$wSFeh+NyDBZ~7o)0V#TxZa@(6MXm44#%#bw0#;!0b9v0lM8fWX z-|5nGd;Q%XY0p=j1c)0sM`^T;2$>D!^bm@ogz2WsctPr5q&AAffvPH~^%dRlKZR8W ziVWlsj#k;gN~BqD$suGZ5N)K}PIT8kkgJ!Q0@f3K<)lzd1^#5T^muH}-ju@%-bl-1 zS!_y1)ZX#scLUaOLsf%)-JEP$78owUeU*PXy7DdY{7Gln8`Ed=JT(nES6q@!jP}Jc zqIOWXwl`KV$vK&I6?pqQ+r!RZ>r##DZv63Qi#m?72iz=Cx5nC+^4R8{^k16xV5?u) z6iq!o27nNB>o2b_<}7^sS#{P>AVnJ-GPhIy6ZQ)sgY1_lqNp!0kbQ$m%SV`Q-y`t_ zMPO=7b(IzuM&SDO-}hr6hxjksGiDk{GXAwA-!J$JvccL?-Kn1;ZUd-kOvl2R2^GN$ zx5g>fW!EP@&#!-Ojz3){<}IC^RFUP9SO5y%dw0=)xhk-8yyO<^??9}b_pZERqj&Y* zz!E^MVfI)AOmoBW7%opf_2i25`}u2iiptlXbqMr`i7en*X}<)gAwUr{V0 z@Tln!5{k%N?5Gt}dYrpLyS1gi^VB9<@Rchl7-Y4xDfd1RIgQwONVfA_O2j5qgo}lY z!J?hI9-HiT4S{z~y}q6aik9uw-H3b`)p_#JMBQDyHDRNr1{(S(yXq*jWz+a&C zzEf07HuSWJHqYw{5QT9R@{IGnYxBR#q$|6f2jE@6vpAzLZFGzKRc`t{vk1U7geUDoF4Gzp$d|xZ^qGu zOivd$J=BlF4YUq}*Xpq>-hf0%csB+&B>$W9tDV$J!t~a%mzVqMYYrn}RECd{(M`>} z)3m9Xn9QXeRyZS>#aT%$(b50$@hdS32K+kph5-HCxz|xtoaY7F`4)_aV>d9!N$Gd| z!S&6vJK830A>!l$=bu}pAffh=j~G3hZ&SUuawYpmLL%m~kFviN1Rqp6b}tg{u$+PQ zwjbJ#w4>spy0W?QpVv1w*R@10>(lMNB%HRHrQ(c5wT)GO0n9?i%>o>-iswgqj*a7e z54Ko(!Y;mWtgX+I!h>R<3r0j`XR+%%X9QR^bjAP`$zxJ^32pT1+C<>(#^C(oxr%+6 z^rX_JAFrP=Xjt%5KR^;%_)UmE^VO81;^W5Ryw{+x; zYNpBZN3E}x_urK6Nlr%G=ld0$iHel~{2YMMtj-~z-!Xd*=EYPBR!4(fMk=>uHc%;x zRg;}Sc+gWOUt2n>S`l_=Pjo5q^(=cM|5&k;4u(%#zI#^Mv@bYI7G#j>Ilc{Xg(%a` zTMFW*0bS|E2jL2-4G*kJs$nIh)6};Vrc&|Pc)cs@4&YW;*MMgk zEY_?pGc}$5G>lGEIB6d@nShuCeYoTPI8f%jG<}3JPg#%wZw>Iv5g1d;H_>X2S+2Z{ z0^xjwk(oVweFv~J(MD`T+MzKypKK|-(c)ig^0uzdwhKhwyS*5+64HAi^~~nh(Y0NI z%_lRH(Uo_FvxgbBc9lin6sy0J&m>S2QRc+hNCXF2%Y3@ifM8Uo5_Jv1Lr>9WX~IN>ICw;f`7$<|3w!av@I_`(JyK&`C#mX%A7t z=q*YtH;o9f3h7(|Fp59_T1g|(fYSbbPIGsM~Hq4jhBR`8=nDwF?l zeUj>H`p~eXfqjw2k4w(?UCdQu5TohMisZ1H^95;q!PfU93qx&$kAIFtA^Am!_l@&C z?|rfzO)^mStJgIokBK?go)MR^HpA8Y;p&#YQ+(wdT54l23F0h6)4Tl0^jU>LH16Pigq%` zb~dAY9klQjpuqc3;1_1kPC{C;)X--+28o3T{hCa(Kw;7SFp0qo|&Cw z2J3ph;SAA!mnRlID)Dn?5DrGJ&i70om(KPvDg!j6S!%J~Wq42{xnq%)VnVI=UYaF~ zR!MxDncXC^`!^$gtIT(K4mc4HFd!6Zh+2vD#BjsXk<%Lnu{Qz6bKtMbH&yKk*yHBBR~CXOl98dW%4Am9Q@D4)H&&FF|qdGaw%=p zpuX;Xk~km&Bt=Hyvx*2Z_?|>gREnJUf~nn~t@H(Vv1FZIlPu+5SKWs9(}l~f{{?a3 zj3&2+P7t1pXDERsaB;HndxeBnNsqG?YLCOyoPS^J=F@*SpnPR9GPrC@Ol^~yo#afd z(bIr2lHz{Q7-^$?I>?zB+}#q74jI_0iGX@$L&Zpj>>Z2u&U8^hlBt?3FIufBdUneS zq~Vde&DIl^yyV%|5#}K@&1i?r>_&f~Yq2JKYxP9sVK*KXKf}R?iF(X388`qY}#>$H3+nF#vd*R5S-wB6{>#$QuOHt^kW+iFj3yNPJ0K{Nh0 zAK*Nz=Nl}VqE?G#w!jItt1p3Z#6yRlI>BDXQCr@&X67fi7Pq))H+u|vYwMHnzuNnI zIXlVah6Lj+!xJ@ftUe*DsAzqGYjVF~(`&n?&Zs^?|LpZsgd(x?!8lgyB9I4S2*NEw zUL$a~3u##!Gu!Apoz`gYfp)kF-#Q3tF zQ@{dXIC1(ex@!1Sub{e1YYh+&?@%~>qmf_c(GB5j#T9s&j8B@qgLSjl?LLxbDujVL zy7;-?k4o?Z@+x7`fM`q+wMBJ-&#%!$7er~jjT03GO>BC^jG0vq$!o8iO8Q>X( zOq)L%Z&nVH$o;flZ{bFSwskwzJ`CX%yoiCu)4#Fq zp&@uI>z^M0aG!3B)Z*84O)}x@J^O@R+Q%ZKfa@s5$Or_vrenAq0d7AQoT$clOlV1< zJo2|ynT&qPZ7to?KfMybX>?Nnz1#q6)rBjgHw^1g%aSiwzD~T-%RavmT(mP@rT>_J zPin3xpkd|G3|0nl32sYNNW|jaB5TxN=$IfSDuC!?Ix}mtV0Jl})x@u$e;~k;PhuAR zq75g-xd#30yID_I?j_y*LZ&VYGBumwTjX^OY{%p=3X;2LA#DrEeh?~majlo`KhK58P*$ZZTx;9dJz2q`u~uH&GHue|A4wd!)c4q-RpRuyefnq zOM8$-dTF{q)fD{ZLU3>%3r!kUuoTq2LgN|FzMZpWDS@muP;|a`6WnanD_N&ZwpK+( z=zWX`3=NVo3-T8=lTH3PA1`Y@o?&tKW_5KnxqJD9g>C@X7X*iS7&?1$*G2d6*1yxf z?7tV-j@t*Eqc2k)C3a$~v7Jb=p^mjDW4x^1H;KreU3Iju+?-NBj~HHq;cAb6&XIfq zXcHbzjOu}~XU*%FR+@b@^a&KuW|L~Ck@|i<$O9bwi0|RXp9zPX{(|V-Wjj+APP@6I z&ok{|@vzvfw_jM*cA7@+pnvYxa?mZnX7QL5nuzG|=uWEI<*D2G>U@dM4)ZIMfisigB zWM9kgDiQZL!|s&w`pVHp*pKov9N~jSG1e((%h2b@vCEKHtqdsH*DCK;L(mM(idRf9 zO7v7k5;4{P(G~!Mlkxbx6q=}w94b!7&_CRX*{sIDm%-;+-&|E$O#9lqLQjc2(51(YWbz1GX^ctP*&p#$;o`*TDLu*oDCTHk-?oCAKY3+vc^p9+vR4?I-li9zn*>lfm6ow z@X0&?_4k5u=~%KnUnM;CXo9OMbEo%i5(7-*m9Z{=LCth0i$!KQXcAh5>?x22FgCfh zf|}CJsxHWC>e?6!J2TE*oa8kGJ)>!m-!7x#x$n-wGHk#86oMSMOR#BBZ}q&F&e)W8 z2G*~LaE@T{Q*kMMHW@X&yzx6U4+)rqwTvuKWpaP)Hv|ni^xk=qCfSVEhRs~R9us{2 zT$_&5vGo(8DQ=>PkloFtb>^dL?g4f4f(~SQc)L*f%ju)EJDVT3<}6NwnSuYL^~+|N zGMKN)Prd#R>*2IXPZBI|aP9^FZ)aJh_snN2ul<9p%ux(az+`+!D^m+ms3fSsZgzTB zb&!O0Pb)E`7l)yq_!b~kmr#dxYx)8s&jHit^GqSfy+)Pv4u3osI<}KmLNRg3gytRFlOc!r zW$-da8=xIoPvedSc6EUfkq~MjL;5Vtv^(48)v^rJ9hZ~&m-pBgKRZt5uQHrq{~a5l z;OymhY{%b!{#>8Fy}Q)qf9?FXtK)Y%Xz(mBHmk=?eOo)E-OZOee&F^@yKR^Gw&#l8 z)BuwAZqGK2YCgC+9dS{mz#+qA07fevWeYGp8JhIM8a&=X2Kkx1S<%!Arg0 zS2^{Lsh-;q@)hnb^YgHcPV5B{!eV?4lC|HJbE?5inkJKRW~Kf@v#4Oh%+yH!J|^bR zCy%9{w&NS>1SrvpFEhh$3u}N8b{mRhX^VFO65k0!aBoQth1HobE$o3KWA{KG;0{oKw|8zc}Y?2A8WNq<2A`1WXb{?+3`efg9d6LS26ZrxIM29c>0FYr9kDt^nr~! z&(HP_s%vYjDZV%i^##Fi0)K2l8wgYAzzD*=O|}V15O8s}9(++^epjPyH43fLG9QKd z)}}Vr85y5yW%$s5&m2pVEgZ)TMX2xBR;)nWwj=C_drS432ZWL(!OFCyMb7#ZnuHJI ziJf5+lLxNV^j6vEjk5B#8{R8;NnX`;0Am-9zhS#o?{K|!R^!5Cn z-JFKv7W|YW9G7x!h7YUqryK(~y|5f_ z9QJKLZcNO)42vG$NbLYCabCLqHZuJt!xl{hgc;7`hMiauvr)C?JPu~RHq;MyN^4Tn z83MQ$PC2cd#%yj+wUkfM%cHX#_kiaEX?xkbT}FMqn`NE~R(DgGELSX-laxvHVDx$%{V(T648 zl@Q10pnkDQMnmW5fsad~8toKl3~NdbC}BIsVhKrHS((4^#! zqFsi0w$siM7QUjDus;eRZX11W)fKNv*6XbUm}a7;v!lGb|&( zf7Xy(Q~7Omv>yxz4;y#;GHf6(VO>mfwR`wZQ|r<`%H||ZG`?dKPyapk`*ryxo+G=g z-g&?}bCtp&+C9Ua3~{Ua2n)9ztKHH*k)MFnxo7*&8GPmbwsYMG_zIsKd~m)Y z?H*Rzb0_4SS>K5(?YtwAzJsPS-YTdb12-vz#d~|pPb}5EC0D)_&k@Y0)M=Zv_e3z+ z0|T5Qu=`!mDuoUJZ3QfRK5hhGDNbxr8>U_H@g_|*V&CvpId`&_2tR&j-KlcdTp?NG zUSu|57E#Zry*Mac38WxGLsn(~@#;`m=Oj1s9ZVKQQo<+zvn2c$Eu{9?1o{^F-+HE( zyMc|#{AVtKY;o`2sP$#%(54Bqoq5#?^|1bylj6FVWmmM`Qye8&lnHeh_ zsm1EK1)Yw?TNM#a4I0M|5>4{%jsCPe5Jw$apNH|Iu1N}{P>ube%5X)pZW+a%$UQ9= zV!M{g>Z>pvP^IwxAaDvHnQ+q7-pVuzKfQEf&uv(1XD7u-U#=}wx!NSOdThwz+PiEK?gBn2W_DQe`zCHn=?L+6GcwSB6lCiqD2sUVw)`%(!{_MTgC zdaW?6f`fnPga3gE0C_QIq#zueh_{UG+PNT~T@0(-7O#um@!q!Do}=bFG4`jClE0L8 zKBg?%5-{Yaz`No}21J)8-xD2Jd=Q3lee#SA-tO%s5vdb2oV(3Poc_F1qV{t^y+2gP z56>YQSm(v55WI0b#H~UZkJ{p{Y!9heGlEE*(HO|KjC=QWm{IHy zQqQuF zPhkMMzB{L2LC_OrpALCo!MFn10x|=JpX3TWW~RE! zw*JL47WJjW1l0@SvqRTZWo)db3!T-)^Fu8cJ$F){Fo#@p0u0xCD(HI_IH~Uryt#2T zB8xp*KDoZG?{8pTIzqkq=xAvtm7+|CWQxB-Euh8p0Skg7Ps|WqGB|Kby{j2H11_Ew zam}$Nb!N`^*^Z~gtk(_WEK#eo*Q5uPcru{6WV<$GeO?DDR*}O0{aN1Gb+lj%eaSR= za_h&NPu^3H&khWtUh;x!o31h@;T-qBC4k66y`)nU2v$TJ;Cn>lBYPPx@5Bp9+id%a zN8YGU3C*(k*2zEk?Uabj;|BhC#}{9vNT{i7-0ubghNMYYeU1=u_bl}AyH;xO(WYha zzOI{F+U*LsG+LoG!*w>Wm$DzvE+ zT)Rf1TZNlXEVF7OJ{qXD6eBF(M2_&s!>fd-kvFutL6Z<*nYRcN^~JzlX+)DY{zQ%e z^LW&0x#UwnVr)@!bMvY{v9GOpL7~xY0)DYCl2{6wLxE#nkeTqlm+U zKYy=1YxvnkQ`-vWEUo-bO86oUbd|9gF$CcI=AEc%JEPVw1hs6H5Zf2fy7iow3lZ~u zkG8DfAPy%=X_@A*@(?Io?*EXc4OU0|-&bj^Bx);mqq*)W|4c>6-%S;AE~e8#sR&3HfN-_v8hiX8tLsU)iY~>H3=e?f8TRYBP4F%neIo z1jw|;X8Pv{lep~2W(c8~a*LehlXttpYw8av<(s|9Wjf&)7cVE!HxRERS_Ol+Kv5_E zARJl&TAx$C``&gY_nb!+oLrS2bAe()+8;BIRvHW~vB^c80J*;&k+$ zK2$k;bwz=%Cfo!P5+?Y~oENVP$oc0*`a)bFv3ggD#wmP)YTLW22}~My(zQ8Qssail zhlko2a2L^J&@$~joQd!C8_eDS7Pq}90dtI|{6X}{OiPuZUHK>j-m=&(u zij65JbM5{D4`ygQJ!6~&<2`djGAgP&4BMlc-36|$t15$A5J+$GVd+qd?Y~+!yst;MsuZ|MZL3+NL?Up*|C%65FWqJ_Ov>$qkJ&z2Xk1VD1VakEY;TV8wg*ZD@ zK=$pan@MR|zR;I$mpJ8|c@NZ8x%*M%H1KqG)-meQ9u~u;CJ>j_Xbj}MvN`v9Eso>p z+zz{Tv1AP^>ds)%sYp&1BOQE2L^Sb2H<&}Lb<~H(@7^jv(+|AowHQd?Zcj zOwz}DYLQ_?KxEvUoK0|0h=TI#h@Qy{6#pIj(P8${LsP}mlk8GXPqpyUfiF@!zfV?D zLR$#GP+MlWdZKQ0Pr=g}uPYaW2My%f8&YqqGGnE@*!z$9mJph-#9sA9u4ei|*z#;A zVQw1Qt=jbG&T3e{%HwS2U(dh!XFl4StQ+}ff0h)-fsgS(|D(uPpC4I&rX2UDV(U{7 zlQap*)9;2Uf%dHS#VBa6T0yT~OywuD+#MYjD7?RcM(9C0P?(}|3~$d47xsLCUNapEPN{EB5B zrh<#{+w3d~3wD;ej!DPI^@ymHmim7OBi73^$pCyS*3<(booNPkCl)9>^wND!%b#W2 z(=D$Yoe*dLjkaN4UH#UgIdWn~B4;_2OA7FW-s}aj1ehuPSJ2^LahlPvp2)bRNZ1}; zGw7t2Wn_v9zmB?Duy+kw9&YX&OUlipt@u^gr<{-)$)Y%*LA2VAWQNPRKlx43Z>sS& zu>YAnp8OU3%BX5*iaXTrtc5@8O1ftUMS+mlL(}-|xx)-o@8YATHzZd+YmpCs-N{_` zkTq#n15=Fjn%C76qb+a&Dl}=Mqn^o<#x4%$dlj;VqDCkr#{-N5wHD$&!b2O=1&}94F=atiLpl5{@pqCnd|9DU?2L0II&sp*mM1jAaO@B6NWzq!P4vh=+40QWJX6YLF zbd7C99^I(h{xwByc+!pJbKcMIW_vrv1F$&}2C7TUmJFfy(c6wjWXx*K&rfoLSzlDD z=M-ifMTb(OFLJE|h2D3%JGoYzdn%eoFf#q_u1KJ)?m2ST-nEL#Vj z#gtn>M~{PI7ojBxklbF^$Kd;+Edmq2??pF$Ha4i&r3c$4(#~fB3{b$l48b zD|p>FS|DT>7$~{2%*@q5l&Byac5AW3Zq{y@ciQ&k<}Z4m$d`mXk+quSfA!@k;7hbu zso3Lp&&{3z-b<|vp1?{$lEn$Vu?i})GUUyL{r)mjF@G;3OHrwmz-+-5fG`D&%?<(r zVTkjt#ar4C@@ctn$Yvg3P5^*o=YUuo4N$8@OGtJRCA90v^+50&0AGbPoy=+luJw54 zRJv;{65siO_bGY88m{a9H}Hmf6cn;XYY8W;gyim8GyktlTG7AZK6d0 zYJ&{zRtaf2ba9vcFUX!$MZz3lzy1ZGb2Vr?9wY^_6!(?_U>n4OqiVNvXU!PWDlU%A zuQRy|?1lQjI>#5!`Ra=N3c>ugR(1xMYS(|O}}ca+JzVeGub!$pXk9h9@dU65exOi$M!31@wZ1Z zH2txW-*__}BmgxTMvqHxhYBXAU$)kk$8nM>gmIm_Nc3*7P}EZvuR2IgwhNMz95d-mE(JiL^cv>hkEl$28N z74zM9)|b>wTx^FX<xvUk-6EcCWIXU0mn=lH#uLaQ=m{e946>4yLzu8GFxqjZY#F z-_fFEM)epmf^4vsdYq2&g|}ON(}t>@*L$*3Tsu^=kIEHxOnG^j-vd6D)`>z*?P;ixhO9Y`iP6yLX+ z(W%EdK`|6ykgX_O8?!&#|A(ii1e}bBLQL^#ke3MRB;=&3M#a_vhSkH?yXG*hm`}X9 zo=F%=3OVhC{34Co%00P3P9!~SCB$|rM2k#zgn6T1*PClS?9^7OZj`1I`Ep?7@>E?} zEpSrZTm0a-Ul9w5+5Q^h!3(jgh>8LazB5H?c^{|d)+Pyc)80NyZbeDQN}n0P zrIi>OhiezAe)b=xTr1If)<*=OxnipT7c0km^jejJnWwYG{M1=&PfSTM=mAm&HBN;k z@woD>wW?zDVFHk2Bm|Y)8P)`$}IQ1 z+&phs@gaAD#}Re-#L3FR)*>)PjhHk_8!gwoo~t1r{EIu}gMYn4dtc_P>+kG%8W(J= zUCYZhHm>`iJbfY`QozKmwDamRf9on_2fD_`hb~Hz{gQw~{|p z{M;K%rN98&&@cez)c^`<&HZ^jQ4;hL{8}FTD;Sb-b#6X900B@K9>O}0;-pPK^)IE+J74sjf4@lErM(OJNt#Z~0KtJ%2Txle=&HhlO$pOVdTj0L@txYLKKRlS+{jZ2CfKZ;-s@bl9xuO_U<%IaJx>?~gUd{*uQm>}V^ z+1sF3yRWim9NW=txBxW7bO>8dvKHD1o6Uxl=u#oGGK zrJ~+Y0{8MzeKK57_RQu-HHrdTpmR`OPjP`4`V$&GR@cpy>u|b0l$grh4M5r z5siiy);UKvn=glY>&#r|&I_ZKQ7>lGn70z^QN!F+MnxGS&52`xi_beZwV zftM9tPF?8qy%a4k%Ip~MgDv=0%GEY_iJvfY=3WVfU7>3fCJ!{_z7iR3ie=xDfyad@ z-FrR{WpCz00AjyD6 zu~7v{zl#BZ8Tw!YxD;?*Nz;_;)GvT?ajspL!zExGLr|z^T~Ogc#4XmGmeD!=w7z=z zCH?@FXh&3)rrdMmX)~gB`vBgV;0dcX5K?g3V~hnTyR+Xm7n?Rung_j)s_RR1Wp+(T zc2pqQgEi640}*A3RevUTwnktMSId({ExCWt&$wuZ?J8oB%q~ ztEp+^l;~fOznMNbU+b`IhY@DimE#&4gyC`vGmcZe{-M(ZJDwl8z7yyTm6rIY-RjLK znV`B8zraku#;feGcsj^_-%m!~15K@2AHTY4Z-44m6vyrMyj0_FEFxH4tk1}i33wAA z4BE>=_HhTj$o2<167YxF?CdXR3@Z5z24~`9=97cL#3bWK6S3ncYYC zPc_L73+ryL1laodvp_Us#xa1gp^U2M7>}>x{V`Xv(%#Dzu4IgvGF3DMw!hgV#I8@v*(@LOPZTSGNU5%w? zuuPCdzxrv7WX`klXWMx|v=^SccD)dQ;TBC~CsmLO2phOXpbsNV*v=1lGKT0Z=q82V zcx40$4dRiD{HFPIZgOq41HzXV4?f>4xZGoyd@v+5cF#%wdb!#8oBE9JoRbmSKpAmi zkH&w9FQF(eQj(#*Ehixq7_zryh8;pY*^T%MQrM;}#<HdgHVG(^{I^t zlq-btlknSQbG(du>&W|!6<+U3k$UAbN6x8i#aAvpsuBk?rPE=i!u~(ol^iXSw#Qt? z^-j$-OWW8k8tS}epyZ1|JF_^C_KNJqyFWRbdr*UjsA#Wd@1*L%(x%)eBBUwL`d|O?W7S=sG@LI+4t(yqUm`qUBag`o&f0hatx?q z^P&s>^N8nKSwDC39`I#2%&C14W53WT6T0wNlLf0ej}Ck{etZ~Ti{i8wpQtT|dU8vl zZOxDYdySPQGrax6P8q1cM@Wi94wOQXQ~1wUP>`)&Ln%4zNa0!eNre*e4A=6oOTx8% zXJO!63AGr`+Zmp=-877fqlXD_sxK&gn|IcNKzUsZP-!4C#{Y4e3Q({XOF}L7{E%3q zL{+KVZl79n7PmS5RY5u_Bln;kE>E;{x~6K2tHp#^(i0)t+A82Z%q_{;1LX4V09j|` z8xW)Z^OX96tuOG%OJu7nqCZrr_l;8w8ph0<(?PxCFP~&ssshCaTCDlR0rSy*JsUQ= zx-h(Ff-)O4=?*{=a@>)7yEVxFJmvQ@Tbw}nIfwqgK4}~#@R@LF-xf5A?@+oEi)P3kf_wzz1-o9!z%W-?m~K5p2i z6qMRUv(C@Y_sdpAYiD~_i9Jp?V!8jwl_K%4Q-KL@fQum1&`o*Lu1qvr`V02dmoILx z_cXEDU;nl0{Akrp-h^I^_)zJy%@q1IV8eC0275%dEh>Z6X@6}UDejg#7=I`0e_7}I z>5-^o_<{(vRFC)JjQo)Z@pR@aj{RkfbLKGPi4-DtgA~>(M16^L%Dvy-IG)GW?C&y> zGuzW&w6;grIREHL(Ua+f^!H)PuC)=Jw@tqSavU*F9+LGsCcC+hkIdEYHqLx72Cu&o zspQ^BF>jR#PLM9TV!HhJe?PyS^{@Hue}fwBF#ud6U`&|AGe$L=Yj#(@DZ8fIK4W*N z-If6gnX=>Tw*U8mvZ;JdEorvtf|2RD6EN+(f@?SqGvj!jSeY$FZ!xxcAR|+Bls)|7 zTT-ybIeuhYbO=HX@Z?dZ{X9m-*6zd~qib;}&b6uwp}49TcZNP@ybyWmm5N;=t6z#z zm~|fwLOxlB#OEdH!p7$h8vOZ<40zUmy)38oB3H{pUn#TeA7-g(lBdig}5cj zUuHc2o_>#2FflMGaDQhjQ?d?p$Gk8Vo1@*1qs!?U2{KKm4Ek0z0~ZA~KCL?sTYlW_FYQ(h-w0OK6&!J( z)?nRtm?)2G2_um@bZ!$1jD&Bf>LD>B1>FfnJ};xAES1|YzMe7_c=007kyz5ZGd)7e zAv@w0$q$cz*8}5Te-xcJpKZ9;H%DJ`HVjI*Ip}luX1^7W07jFt|DjRH&N!Vl(KDUo zzESxX6sohmdE!ZGr54h7;18+qDEvz(`ni7BkYZ?u_4TY7=WuPC{46mhQH887dhq$J z$&c--d#-K1DaU}K{~q21ZoV|2t45-ks((>jPcsp-6U>b7&=UB?h;)z{ma6!JTf6(= zkKEH8?CW=LL)3%J*-e+t1?I_#7B>0lzo5I}C($o%CiDJMx(XQBF}%B&aeyg?;NC9^ z>aY+yyBsby67JMfYtQ64>sXtSOtvU>uGb6LK4i4%DnrHZ+L|(*vy685+|v5=cd*;> z9x0nl#6jc0Ur-%TMmN6IS&B)%c)fWK&b9kU(;HTE~mrp|62Hvh7dRc3?j5Pb| zLEvYoA6xmzPdo8g zDSWZdR|t0+s5Lpx72_eUn;GrDs$VCd7Cx+M+Z6-PSdCR``~9fGF=UgOLQY-dg*O|& zBXWTvkc1*Y_Qn$oezpV1LD^Y06Z(tbVAbN`8>+ z;^n6Za13Tw@rTc8s%{WwISMau_j(N#8b7NqCuzs&eAoavI46fV6-3PKA6xf6vwF3A ztn%y5-Ik@0^@Xjdw;_7fKo#Of##(&;3vzS((C(@GJGXLe%x*!}wBhn@@9T8z`_s1o zsi2WZK`MEKdo1x5uyVX}{mcD1iHxNSs#P{)bPU_{{q=&8NA3p(k4iesXA71kfq^)G zvSepgBY5hGJNm-L0Kg22E_~YcaqkA4?dIvT2SYH4s`3R`lvT_40e@`MxPnV~Vq!eA zt%+cwGC06QHCacjC?!kU-$dNGVrTVNaa6!z=-or1#4?3;V6aibYzLTG@Gm7#&}=G1 zQk@$6h41Na>bw?waVYem^~^XDKVAM)PmTg7f_vmdJ93Old`s5C6k@!xdhd)qD_u$9 zumCBk9R|Bze!%_?-b|N~C-bjk;Hu~DzWfDAFvIRb{oXcN{l6U)>;2cDSg^rAgJRZ~ zpZqThI*jRv@xP$zi8m+a6wXN<#?m=}BR&*Vx7=%Su6f4ZC{W;SIL7ixkLBxA%x5V8 z5Y`R=@Yd~eTo4dh*(&9vBUr!PGsF{FWX9o-R%D-l;g`T@2=b<}${bVzL>oNq+};WM z2DVy2PnrltslJT}TxLvo!!$PAH+I3TQzhx*FujRrlTTohGxzC6v^fbx&4vdNe7m4v zCvx^u_YV~XE_3j-Son$i#P7w3)g1Fo(O3Ku=2%qArvG8C>JKL?D~oTPr)``rt?7fa z7U>Ze5#x|A3suW<+&F%+^0F&1CFKxNHelO2o}aTY29X|YcTMhUwLu};MFbO{djkEB z$Y8Rk-53&AfaDaNfE%zRsoDuDThPWQD~z{&d~((o2W66;>vZ6lH4NH%7=JA20Eg&P z&ioJd-aD$Pug@0_f;53BNH0-oN|i2BA}RtRVxyOcbP!N!0YU;Oy$J{?3IPF8DWRjZ z(2ETz(rZvD0!aiyAR)hZ`#f{!&NKI2>(0!*ch-Gpy??l}WSyLI_Q^hbf6J$k8{#VJ zysbbmdax^5e%!>=22iz|Te3OsCJsd3|&mP6@k^D*I^@ zz00hlGFPIpBYu==H=`5{6zgii9zdiyAc+Kc`^+H&@|S0e!b;*BbxrT0ri^qyo-(=q zGv?f2<$RAdKl3r{lK^ZrbghuwFppa=C-_MqDIdnVMBL+R6I0ird@DPaD+gx3e4RE< zWV)~PEnPF|hy*+5J*LI2%Ro7K5`b%e(Tq|2`|q0FL7uN1tqvFTXjNbp_SKMIcDD0y z^>Dm4SW7+=h-|&l)N?lKs=Pa;aX$;Kx$+mJt|1oV0gV!IdrmNO6h*##Hxzj2=^d56 zDqRI@Qz@@O-7A7W?#+Ksx@WZP3dR;(hW-G)#MXtMtXUB32AIN}tEnNiyb?;z+wr%w!%vX_^9kJGb9B z39E@C(#Q1e)8$+FuxVFAd62l~llf2(9{b@Yc!`uss%Npm!v5tsV{%EX?`KLzVBmY_ z@HUR)?$>Ozd@gY@bzW+|ovs_)Y1>ObD&N&uA6d960*lf;?&>U8K8p_+9WLKvigGhv z%6#9mW+-MP^`P*~{(+Yf$AJy{D1T$RcE$OVS;o#Ph5FiZ#ZO<$o;jGJXKo8R2LHZE z(z^IEf|Kgq4Xg3%3J3MA_yt^I7DIefUt(f~adEGAsywEb#zJ=PR}Cpy3KWw?ZT)Qh z@iIbRglx1nMTT7mll>*qoA3i4iyDZn55=VE0||VmDn`4m_3tZrp1U(f9CLcw75)U` zS`B$%-hEw<087T!l(!}l49VwJS7!ykxfmNg{b73}xSzLL_^|1F7bC7ur!V>M9RXlJ zJWUQeSz<}HtSUp@_X9rI;_q(w=<1Z5PoFW6{TPwnEh*QdE&D0NI`52-#`eMg1@*6M zGdAtvhYas?n(^HOURbwAu8FBXSBCJ! zfxjS*ExZZAE)R^VmN5VL_f)ETY7Bl$#uKr#1e7}*;|&F!`XzdEfkL1zN&^rM)Gq%8 z!Nd1M;R|{CB0v`FSuth~%V-IeKI#xF6($i3Itygd7~|1rc?SJ2$o4XTt01YMpm^R5 z^oG%xz3t^E*Mr2;)|{|Aw0K$+vmu! z+TR757-KI74affs1VQ@pM>GAOM+ioO`IjR}G!z?n_n&iMeJw0nA4+QJnD%rO9WEH(Ejz1?~zc?-S5v#Mq9ggp(ELJN_5ha0mXgzhCO5d3d!k{9ERS zkgPEkb=3lf^lbxJS}DL}14mw0nkuz$UOBZ+bl6E_EJoSQvz%CPzVE$na%xjv^WNfX z4Z`(Rfux9M_rekw(Sc?Xy|#7U;pc}$$3-8CEsJ9$?YZV^5FH=mZB2BU^3|&P;dic@ z|3F-i9gw^6sz5qStRiC>%^%)4zzE~ip8*lGUNm|I)2a5yXZV(Aoq8YrJ|eI}JK;u)X@8DYXW`may) zi~ay?%#2SO=eCO|Ui zpkQt-O)pq0-p6#pqVc{Ubj|C?ULVD5PFroH($#?R_MYq>8-q!f3f9zrbq{5{|9ny6 z-!6Lp?-zZCn}Pk?FFN+JCE@SCOE-F1Yi*cRU{25Y zr>AlXzL0}HiuwDA!0+|n2igh!$AN;llj??zhW-8VUVgv;!3w7}rvJa)!gdtmgT&u| zQ3uKte3!U)o$~R|$N%){;zv0!C&Nx*5TxFP;3?2sUBa&z{nO{a>mg12nR`Is$=hZc znLyyyGOWek7*P_Ex;IsD$7Hb%4dRx6oRz-FoAWzSy4+!u&&(Z-mKlLjETf|zD%8f< z#L)b?SN0wxUY5KyL71zAt}TVpbm@Y>1B*TAMiu6iU*rC15auJj+mLk3Y_HdzSAzTx zX<2P;y@{dBOt6T&`L7{vZ?VmNe#)dOk3vDN`y9IZ6c$_9yv(>^^(QAf^JhKgv{JkK zG$Cs6By2ZsyJK8oF4iCjnC z1gCvD5IK^8IE`|mrUoz49+ub$AN%_9?xXLbF|eN5*+gnyXz)BKy?Y?jqp{jm>DB|7 zgubdH>PoYZvYDr1vj+2zo0;CP*kbRmEaT&sN>Tvn6uU82fVqvS*}D!LWd>Fd#ZF76 zhs+G<#Lzp! z#uq}uNgVsgf7GAg|JLu7zFw(stb0@6(vb3VCQe41_15AmLr~S}z>~)IA!`_7$C!bk zz1LN_!Js3Xm?XNIpC2LlvW|yeR zA+2s}glwMX{k8YWCXQ;%HF?Q3KESMzyq^GXas-WW zO!czd(_W&`n3CF&Q#Y$!4ZY0V=UWb$H>MD#OllkQ)ZR;_94jjsPndj}KmsLv8=ag? zV%eRMvY&OirWfSaZGomip2|zUniVXMD>x1ie9f=aWQNAj<>!CbopVGNLrHWso;jRbT3P*QR}+OHOds zg>Sj>@Mo_<3G^6zcHaw=Gr4QXH#4FEUFr(}Jm+>ERYFn($Q#AWLCfr*L*yAFV zOL~0>etc5|62VkY|2m`OUAnFkt3E+^E`A ze&uKRc5b3>(2RA(nl`LI;Bfg>R8{2ua|fPyXvQ7E{X#REo_(D|n)B>S|DTozy#8s! zV0una=2@9l81${pwfv^6pmftE4Zdu!X7K$;--%XM>JO6pEh@Z%Dc}h_lb@t}2JA?m*tgK3*3d=2Kkd52p{a>&4;x6h6zj)-+l@oI#g< z`f~54(PvBzV(t5+?Ig9PyMAt^mv?-n2D&ZYmCj5@C(`xI;lV47Co2@(R4dMwmRjs7 z#RnN)l9THLi^jqqnAv~0U;KaLcX!zsoZ>V$Z)`O_hOStQR5p2NNyaCXq{}PUbq#g1 z+<(F~Yg_4_mUHWtu?9&CnXP7HbZuG?qkB)hrw*2Qf*L!YE1?#Q9GasC$3LADiFs4g$S+e`)}dCpn0AEBVE;l_p}Pz z=xUWAODz!GUX|^qX1pw2?)zs@M^A~K zapO));_>fBJ2EEl{DI2JaMBg!D73<;uL#k)adfev`Tgx+GxZ~m=Z3UORTA&(7mZ>8 zvG5>Wo$5zp0-H;BRL9;D;o@2J^Eb1J6nBKFDP4Ih_(SEk&XU1EbaeGay zdVNlAS0cs3?xVC!(6NfPdtLKMJTZ9XRxw65`XDuz23ZAW6?8u(K+=pGlMrqhDcdgn zeD%Ry-Y?p`lkAIJM;=QzGXG@NeQ{VN^(H96-KS>J9KokQ^1~!$!@GD0Uw^m!(dV?I za5r8S1m%KpuXwcw^C+M%{UTW4K_yPMOJ9jv2O3xj`f|gPBT5Ro2J>&$E6NpFg1pFG z4ErMmX$b#J7u~bpAK%kX9cQN0x009E#zGbm$=JgIVRlu7L&;~wZ`!60n8v;AKBVC6 zk<9V2Y9-IR8$X|e`JF;c1{JIAGR#T^=K@gq&cRnfJ5wjMKrgS^jLZufAogh*CR;N~ zqk5OZkhP@a@p&%R69#F|dV+oJFX5GKA^RR*x7XFWCN1jU6s~IK)IWRBG~Zm6>lS0N zZFS=Uw4lPfdrpKQfn>Idy-YSr1!(n_8?M~^DY!Db$uILS4!n_!IfgYrWa2Bcd*Dat zhxXI|f_P5lYX18Cykn?S>1jG@<>1Jy>-#6nBL~tnRlW>_ZsEuM7#!QAkBhx)J8WH; z1k1_TBwZWA?nVV;PUek2-(;jh+Qvb#kaRV9{IoHLs%7H_R9v!j$Fk}u{`M@foCiao8GBgsc zP3I#IJZcX1641f(dNw9?tjD8vlilz2i(P;zu{?0$N-=|=d(hwTwT7{4VZ43RSG}_Lyy+bu ztbGUfRy4ph`Dss5VqN4dwv(zM>P&kUuTgiY!9~<6UB&RlIW8OIvza>%0*lrSDciZN zt8u18DT>g~rpt^_x-4N}A{^sBV|0a#h-nUcgK}+-A-Iw?nlAY$ZX~KiTX0Xtrf&Et z3qP>w>;0JeN^-wc*ZmEqU7DC%7xpG_T!TE{A847`I!Mv9cz$p%#0k!q}Asu)<7|Bfc!Qr~CTtlV%{52Jf5^-sYj zuhC83lfIH!9A#p!XG!|q8)bxcRc#yzx$sD;f}k4AoD={jUHl8OtAzs<<8e@?-7`H1 zLB|gMi^L2-Oa8Nj@c(yThlxz2ALXM+f|jF~BD^zChIF-@2S5-z73Q3C2uATrcc#T; zpGMR^kWB7tyDf10{^O6oTU$s72B#=F)!=!X1n4pibY%A_`c`ZZw_X>fA8+UF_Y(Q> zE%uthwAwo{0^as@J~RpVrpR8+zE9)sBq@oz!PcijFm;7vMGYr3M|QG677CgQV!zu@ z-!twGF@JOyGoL?ugj5krS~UBLf_cAM?huv72;19FnMq}lovxknd|G{%$%Jv#U+~sZ)kxpI!>$IrGIZ zTm!U6YDmxLaUkOXZxj&|b2clP;wJ{<@XB$gASMeiThFrQFXef?PsOTe&qU@K|9m$v zZbu2E#*+LfTI7MqW+$*TucaPcwGzpgv<|PI#i+Q}rkojgb~NdsLeYaxp``<@6JR*d z{aCykA|Am8(r@Ji^g(hkpv_jJEXoJo=taj)mA~42RDZ-!cvCVh?ZIm2Pp2f8d4Goi zIv;%ADh2L7H$l7(jErl|*Tj8&f(T?q^w_~KP96moK3|(~(xORADVf_Xphvev<70FK zc=J*e#skKVvL^jn7BNc6Jyw^lGi8^J?0d&*@w+IzEXH`;kNL&@_6o!Tz8$~^oGJQW z{m|lct)XT~GGF_qy{SeF5HA2MM&h>LJDEbqo3f_gA z*a3s@f*x%rw6N|hjwKG{Ouj1dQ~Vs=K;%jm<(K<3q$A*Y;4!kS2uonnsYn7cQ>s5`cjd6bDf7Kw41v1)@? zD#xQa49g~GsW{u3_jzI63iVju$E9+oB!jbb-wodvKBHLc?y;#nfuQ(M7hcnu$>wW2 z0X#xh`Gg`vVBx)m(iP@u`>h9Car0F{^$7HI1f49iyb| zr){7m=$2z!!Q}N%-VZc;jnP!+C!-6p%@1ui8B9ylk)7pX6x z)mHi(#%o;?N`q(p=4%{&NK=GZPBFDSCK0SxBp58fPWtgEEibXBPLB3v7PWq zAWjp3=AkCiG?%(^LfN+fza(E|OrSi&xk%C|g5b2=b{RJ9+9V)j#5$cuA(hPBOnym8?)Up$Z)aXEbQk8v!WUArb{#Vke&UVaQuAHM`kQ^_FAjU92-B z4tPxSm}p;<{{o)}SO0yLA%GcoTlx!fvD^Sam_SJ)-GP+B=m1@hsB^u`8+nhG+-7=PKhLR*Y!^F#J*`q9v?7c z+|-<&-`>J~N~Ovhb-%M)Wb44kN=P?%4$>^_V;gfPg=K;EXNK&4zWyPUI`t#zS1+O_=#QxP0eeraz@AUEcE~(MxM0+|MgsS~f zjocfX`gkk{@@vN?7}{m^6H7lb>e4XM3OAK6yWd-CTcaihVRqm($%hsMz>*ni#{ z$PfQU{7sZH!9feSIt|tBi>&wsB;Od7pVE24!r_$0TnGsfz5&(v4W;uBZWZq*YdF1T z*B_s3i5NzG`xwITwR0~FIpIg;S>5uZ%3`MQ3yElc0P^zN#MdC)pEc=QVP!LSn(j`e zjQ5=B2DAEK!Iqf*i~OIh6I+)Ja2BEj_w_oGPVcNJiAc$Q)T~K~wHVQ#@e!Qpa8ysG zHKe(qj3Inz{VDX6gWjeC-t|@iBesuPhkI35> zpHDFN>2l=&u6a03lWw&CQuiQcUz1NxlDch7%p^5f z?>C|R$nxi(_t9+mpIPdzr?y~H7;L00YtVPJO8 zTm(i)!!Hg4Qn0wf`kE0bPu5t<>-@ZFZH2EsFGBb_L^pP0C4aE+HVv$5fH*~4a7~$J z!5Gs&J-D?05@o55;P$MJ3B%Ocny8o)XnHMAPb(y`>h`un9>(-c?wgbFF|~87NWaTp z)x9mMht!*_%gWXtr=N&XXHVexzHm-#wD|wC@%sPn`2RooeAmVT_tiS~ZtcCQc|GYV z;`)x&`{N3rD))10=^SP?Va^yyfZz>p3>53 zI(wfU)T`;=;9G1dN1f`#5n@S`zb5UF@sgW%#)4_j>#nd)JI&yQjxC9ELwx=*bG!9T z!&@9`p7R&vMsvgPnv=uO^vvZdBcW}#I}n&Hq`@#GotQu-KdjH9%V-siCi##7#H-&ifmWD?^&&>mozs`zk!S< z%=B(!z*4av@J_rj8dwhRY;@=;E=5ePSsfLk&0IVBQIDjqn%D*Jx zQ=3&5A7#?D33{>!WBjOQb~u0EmAy6RlqXCre_ONp6N|uuYNXV>_7rhzDPBEWpBFT1 zZR=>HKaQzx1b-SLPk9tN_#e3Ie;7e3d8|j7iLN{WdN8p- zt#iRG&Gb@EPYHLa@oDo96>SX{hV|rtL6#k$^8UzdhAk>Gcb_=^3|>`t#`lwRYO|zs z!|Pwa&WXG7AKc!q&vw2a7IvS%IJenHeT?s&F~N|frbgmG1cwv}^43xG4{JGyRd^@$A!yS{|_>-}mCT38PD|kkH;t5(~ zx%JF`C4&p4HB_r;)P*^`6@GTgz7{l+uZrf`_$n3&n>_L;TM!Dk134pEj=E3q+dXd& zryQ&WZa}qx&yhP!|1z#xaaWs>YLAT6MUUDM{4^C&t;DVeJ-BBS?uTFov_giHmY*rB(<X#&z3T8ipuP?y~E{#?@T|qJ`|)t?89eze@cC28$@%H8)6wJkU_(aq@EgQ z+;W3yqv-nCz#Q3a(IC2)-$I4N#x5%5Fj_Q@w=}YIENsL5| zN9BPcSt)`lZErt(zpJyEJQc&vTB|XTw1?{jg0jK!fytrsv)(xYL3?^L!#@!&k!^v57ZT>C)aJ7z-M$u#p`|<;QH?W2f)u$SHNl2w7cR*A-271}&&ox+ z#N%B@-sv$vKv(>#ScexxHW`s9nE`4(ff!_3c`p+_Fyq;oWAyj)W1aZQ-Zeo|3BhO$ zn2HIZE|I2?_%6orNA?;&3qsD;)zzAPpE5XXa+JmTW$N@2+YboTfVx7HL#o+=3iKkZ z7bZbPBVs6;hg^%02t;5_T|?$|h^430gj}|ou@xlCh2^lyIf?QG+|(2WO%9Gz+%i)m zWF_n+cjetOx&L#jhxpp45mHcr$vq=yt6=O>#sr=Pa4V3tZpLU^`! zEoi~iDT+E-uwC5$3h6xcl5*T>_NhzHJI=x%&)(@P$ov^4om_ZQ$Z0&~LG%0=hHwgy z&~AX2O4p+t=n2&6h1bR%Z%i5Rcd#Fynt57eIQ0?zQ{|oid;VVS@60Qu15=xn(BbW| zAsH$zf4|%wM8E0As%qG|w@=Bv4&yj)Qg1>n@T1cVor~SJQ}i=xHlyt9p?>M|R@~1F zl#(!jT}R|#tBE}W2SbR=RGBb!Ltl0}W3 zjaeo_KdlTVjwGI=x-S6N23~53MZ=)FD5TsU6JVTj?91!-Z5y8o$>poNAhGZSew5l| zIO83Vj#&w>g9Pg<{#gl-0zRDL!GueRB_GQt4KR1gB~`*go~WzVf77>Bt-L)@d;8}* zAIUcO!3xkt#EV%|S66=#*1x2@o)t^kkg1~`uTN5V8ztVW^62hv5o)5>i-aF{rW~T) zBui3UNexN*l1QIs1OaonLrG-wT63=LFlB39h^$g87|GJkWyD zIZnI>E(Rm|>CHSs)Po`YE=EPYzCM_F{Yb%?>96(TmyCtwM+o@S`r=gD0;UZ=Nn2D0 zM5jlhH_5DT%JfFlaNePIUO`oMAwzdvq8}bk%DbLCD9ZU9ZGU@TZyqr*HxKV7rp|Ix zJ4vwKJy?wImYCV=W&NX3(stvUQPK@uG1F(&F3mOVK4fkeJ_hrk7IxbzM+L~Ycz2zx zONlLN3U%}A+_fxoIgNM04arky zm|W52Rq zOWAt^sKZQaaK2Veq~Lr@N^aO9hR>SnHE``oyZ3!mw{zAx)mx`o+{HGDD-P9_t}J2? z0eAsMU7$%Kv438tfLW9#S?RrCvaZv@p-UrMxi?PcIxs%jEIwkD%KFXq_|)RD@=)_N zMcO$V`nCO(W;Mc$+rGeJ=P0k|8lmnPFF4Q*!s1Wj_<4ChM z$*8k2b|T>Of&Tj1HOTFUZ{veh)zcq$g+$(h7}ygZo^>oI8_gT7V`wI;0>5QS2rW7{ zhiY+Z#LhdCGiM*poDWd}G4B;2s}VTKn0lA6pA>MZZfdFj?J6`drPVJt5*r=`ABs?~ z^P@^Dug$zc2AQcsS!Y**6^dj^JH=p4dnZ=mZgFXda18C%5y>uJVPTBs+nwSsHE77+)_ zOl1lKU3}Gt?q@bXioe~yo_!5a5dtTh6B!(?A zCGm*(EO?pOIWa9dKaLMy`7&MQbO+sC4F%gy(IeAWmFlmTZKIqYup)sQKt>)8y!i*^99D)Wg4K z1y2ZRMoa0Kc2!3HR@-- zoS2ySr8(NL&cCJ7@iya>spX9dh*Ol2E}t0{2whC}Qs`gjsXgijAyKcW`vYEeq*O`))q*^` zx-s0AcV>ug5ayA0cl5rR;n|+khjt&xRh=_F7;%i3)q4}p;IN{d1_B&0#fzzYNJ>A- ztPmM??YH{p1Jq{}V`wW8?mHJDf=R%3)&N<`Jugq?$8)XD zzPJ~+rw{&)f!@S3w}ch9bXjGQ%~{^)^1eoXPkt!>MwsPDJ4-Mw3GNLYPo2lc;$2e3 zF-~;-!CHq4ojKfJ3Y|)ArsU>0ZpZbty7un%U%1=JruewGl{*MVx`I87KxR-RsrU5@ zbfyRV#DApsOY)*#<788$m#SaBO$9W(ZSeInH_q{ zV%PZwa(cb)Qdnh0V=L%zN0poT!`3b=US~VfA6TM5U;IwHD+~BK9Oq!#jL(3Q`iF~f zP^77aIzwKv8QIWP)>s>5h?B~rI}Pq0za&SM%#1s&LVp_UG4TxFvVq`-&u74yQC170 z&k?N4V~)&Zsry+~-^#Y-OQUVhNwZXamW;Wws1wvsXoW*}1l4C@s)!xNYnhe;Uyr3l zC85dfp3r^DXGh{Wm^h|g3)C}1H``hzNm||O%ntPB z`DB4YixB{{_nLDI$~KXdb&2Ry^mD#(dmtFr%*H(&6=ErRo)3?njw+j5>hO z{sOFL{U%MVU0;82d*-IIu{!mKihVV1)-~Z=MO*N(`wjys&B6I7HBvbcmHek%Il}Ti zzER5Pu=_3h`Czx})Ui&WwO~HJ)Yb@X*E1z#cjBPqRJNX39%SH2U%D2gitnP7#l8N+ zoWcgP6|D0&h1)f}DRI=QU~(seL;4MM?%MB^;!=;jFh_OyI_?gy(}t&*E0smXf&lUM zGIEj9NmU`uUH)1JL>Vp&ZvFCkFr>48#y8}TpR%jWk(V`(oCf0{^C@+M$Y9DtAlx?) z8|`t0jWO>_Zrn7kLRuu+I3YhNN#oAwPxLo6-(F^WpEH_LMY8qj5~}QIo9ha zGE5I4V0b4(2K)&^0%RXWs~t@WsVw5rtpxS&Tv5g>kbD%G24{Sf5FpFakLnPzJHm?I zW1?HdbIcFJxI~|E7muXXdU}hMzG&>FjP*P)w&%S7U_ME7EfD2$qU^~EXbBRw%R%zi zXtC6VJD*4;gw~+YSFwAr)iAK=s9N=1MWg{zMiZ$d6kOO=bXs^f z#bi;U08IAu7c=O-Jn^T=ugs55XfxOQD1m;SED&h=M3p6#IQR&5!;ftFXjvsiDyBCL zC`d(|OS@xv@}9wN)o6=Wd#ffur*)b0OnT1>jouC17g!0t5MFY%<|3o;K}MhMkr4?V zZqy1*1KkNf1nvuAR7W<7+Cm5+ORQ^5KZjY5tvR>6d)JtGp^q7edtMNOyrVUxelo#f z@vdQfG#Rj88lwD3%7*XX`9Y9by)-M+;ia?}c2|zujCtNMnT$flueKkqlJ;OWZO8x4 zF$W!q@fsN8@x)j&{slQzM4sDEQ~?PHcdqQ3HjqthKacS$FJh&+j4P-z z(VT^%?nZ2#M@KW$md`@~xii{aB9MLrjq$tYF zuEcrwH=J0z!t*{04Eg~7QmfMbJAD?UVE0X`pB**#rLDIB@rU^VollkT&dB{fQ7zBh zelSv;j5vmAcP%4si~Cd1wL=xIHQ!{v`4MX0M<(u2|iEBn(+%w--iRlc>r6hHbwP!+(Vh5N@D`cn}aq#-9Z19R4S(Kk=LODetJ zcKZQ)FDNRuTeT|KgL)n?B-JjZCZp6AaEAv#-!fs_+XAInnR#dWuxpYxZC6ul<0N(_ zA6g1sC(`t|?|H&W^(Y-m+2W_oskQz447^_^0FhcXP0ZS@YTk3OH5dO@wp?wft0XRU zURBuoo`G1D{N^NF7$|!=lMa(oP-2tFhkZ0>k1^S6y3U_yh>RsaViVe1hryvizHz4c zCfRv`^%;Sc41cH#51mYn(Gb>R3)6g7c!wEszVbK1mvuCF@h9lZb8f;X5d^?f0byK( zgg!Yh`|$HygSGJ+KK$7a;_C^TPBRv9cl4NWSIUS-sKI9B;Mi?NsC7;1wV^(>@Gj$&k0%6be=|qQ0v~_dS z*u6VV&usYHZ(jX|pU;DX^~7B-`1%M4e6fiSY?iI~MAH^p?u19%K_C``>q|~aet{Q~ zm|wVj1&qeu`z7GGLm#ix&e5eEOgm*PVjTRhMBlk*(sS`^)0A2;`+2^;zBMMwXvSMJ zvKHlf`Vt|zeD0ytw`O@=N12q1zhx@9eOChJRdb(;PP<-ZJ)=j(O=OuzVQRA1dKGsb zqCzKeo#JOHp0CIP<@eIpvP`ZE+Y~0KB(EjtBM847l)IsElhE#g@!sA%6;k)o)Rv-i zyKV93vhm5F=h~5$u#OLHr99on>j*5C2mgZ;tq-sw&p=T+6G(B!QUCwl^#9Oq`pZ3p zr@;N-x7tLFW^!3oUyCqM4%udX5930)k<63m_i;#_i)87nVnX;K_++Sy!j<}Ww@Z+{ zZw}Py1Dr_T!v!pj)ef^DQ>cKw0taIh6mRo@ghpy%O~{zVTL$1>3=Ncx$Jw%K>>p>c9GygJT^{k$##4UFToK zB;sq`Q=6p;)^#gz0(J;>?M_3~mt*U3^k+r#nth{E_6OjjyzjO1q2W}2nl}ncts)qM z))FRe?^0pew0NaWpG$pu5MvGPRY6(Ys4%Y!5v21oQyw-@&1rhxp{$9EGNifGZHor) zLt)xE^8G%^9c7r9^y9GOxirD$bR4w_t0`pF!ouf_Y_9hf6ez_`$=NZ6u& znr6v7=I9FgWOa0xze$Nb2baR7^Nsu8rTk+K6#bzOu+g>0bkz!}* z`mp$0oap<>Ggk4|T%)OU0Wb0MOV(5-o{am7d8IOMUP~8uDOeDm);%`5q0OSn4xFd- z$3RfH?oA5oM({!-0#f5_l?n)RDhm$!!trw_mI6FwG#hG#N8jorXc^x$ z-&Gli2P}dffK4)8FJ0wa(m#RHArZS%Sy%OslHHz3)GX{@JTYVaVI%RRk&U1C33#$uYaFKkINjn{lh#0!wogBCL;`p zk_DB6EuUymJC7R2^w(3h7(L^dt(4W)&!nX`>;a?^A*h86H70FoI;xF1o%T9g{qa=T zBWa$Y;)Iktsrj%VEIDjGII>j_i5|W{-icixOJsTnC_B~X*+_DnzI1Lk`N@)Z<*yVE z=0zM;!HE8BE(X5lR{0lX$n@=w^28ivh-yPto6n0Shz^K(gvu1Smt1W8oKpVU&`0CR za)LBhHNSMQyLV&^i*p`Z0@&T%3av3NEu8A2*LAJj-s%DKGn{Sn{zEh~-DwyFC-@zu z>(w-mZm82dm6XbTEAD>o43RrzTxlFRPBJ}`kz#HO*&U|Xk3iQEATN<1&-JYHaHK}) zD&Gkx*SVg?Sw7NpXlE=f)b2gDq=eNxo;#p6-+knl2LK z1wLh{LfS=SC*=ZFm{fC}tkDqJdYJm$+WSF3vgp;JfQj$;r=*8F_p6RP{HT8#qIZ?) zJNk^*V=Aa#!U_9mXpF6ShxPVTAHT8A99@&62BO#NIdtRG^V@)9?{<`4YCY%rkI<)VtJZpqGs2Zx($`HSZ3! z5ABVuJ80Ngl{O=AE~Wb9KTqW!_(~id?E4+&G{N=1AepG+_lv0Vi(~Yo!vxKE+6Axk zijo%+OflRZjdh#0HXq(P|GuSZ6_cFf6Op{umELw3bE8>!enxbG7#)D;riON_f95ld z^eJ0gbaPG6SkAAKVn~g>px@n3Ydyjk6ujn0rL_lzeko=_-?B}5@X`B$VD&Sn0Jm!< z$E_c$BENrS>xDN2y{Bmd)utECrt<@_p@I)F3unmu)wWZ1RNv8A@4kE+0TcH;>-Ipy z6s?}0-?!#f#G&n&)XAN-O|a(HQ89}|Zlon#sP#p9cZj$2$McE{S`F;Oxv#sUTHB1o zRJ4U~C9M+Z9{fQxzvtPW+=bLw(3oY#xhv&{OU0PR|Ey2rGy#$|o(ELZ*}0f1J}xY^ zV-M2hNb*#GOmim zZ5wS6%<9+MADbc!ZHu0I%H6M1*h9;#)<-=|N_seu3f_}bPK++<0(tBlNxu|RffB8H zXECf?@#5*EpLUjvK}2!hv8ksJYblq=HeAs*jw<7~Hiq+7eltM&GRsl)xQ{g9WmW%G zMeot|Pp|VO?&b67ox!1iGuu)p&`DPFS(sz@u+$eHRP0xo@Fc|z-(T$&s}Xz9E48D} zG&uIZwg~zWw(p+rW%`1cI?*t~le9?5X zE_6&d#A{A4^M6d|AW6XlWvEzKYym>T%#UIM1UeBaFUR?OXQuR5BX`2Cv3-Q`z`d|B zm|9|DvmX#0-HB?JT~OwuhF$YEHIwbC?mheEp>NV*Y;6AO=P(w@&q*iUXFm&}`f$Q`nzURkjNnChVZ1Z`A-0zF zbz@0N^C9Qb)ei+c#auPE_KlIzPz9c(c?g8dSo{r|lhKQ31L;5$WkmPTe4?VPrOWRx zCFp`P9skzs?7f%@^|m_D53B_P_AkjlQ{Ldjo;q?Q z@vxom;@~9{N&a69O_FkKs}$93r?d6cVA=LMFF9zyIs9|NA!O$LHi$B6Jw`^gCpM1{&-awidCrSukne zf=$IK6qAPRa3956y0Fd3Y~iGL4f?O-_Nxu8zggUpd);Os1PQguLk?4r;F0hVV6pT- z0-V!kwN>G(E^ck+hTCHkzaM?BsgTo_lWW$#Y%E_{joR@OJ4PVHR9nVyDBn(5GBe|@ z{cLt~W7y(yzq?gC^;U1@5fBX8Cx`O_%_772ufO4V~wszZG14Z5keRPVM1Td;7JP^Q1O z9yctU^_)A%kmwhkFnMiIYyVL+{(zXPt&Q~fr~JoNCm!~Tww4bA)X`H=X}g zY3!0|f41}f+c`6KN%-&2C30;`g$K^8AdIO%!_Da7mYoC4U}$a2LFQYK-S`S+h2@B{ zz1%SfG(VqPj$S}bBy;|WBG;YBGd{t#%do>JHO;2{ONqagUVrL29&wsqCaj<~!18M5 zUW=nEIhEo`b)}s%D>eg$#Flwd&rNLvzL&?oXtMJc1jY8aC=dDQlChpJc4QPlt!o%p8oFQcaM1&oLX*}IYJw~<2DP2=UV<*6q> zgw5GzBhKK*i43y~^E>+qwg^UguVcH7<55y;_B;lVLL|PfjhPSDmRhfstzUnZCFFeV zbwrg^L_Uv+M(H^TS=wz>5E;5QM>4-oUILIOSmO8LrFh?Um-ilqElugK{Og1&El;v9 z#>h(g>8k}6fw#GCptICZ7&x3V+fF;$N$u<){1Qz^?!LOMCAE<%x?$P3`-Gi7Q}b_FqM$4yyI5Ngqq#9Yhf=Ed{-5@)G#u)- zZx53t#e}jYQ<5!=ERl?nZlUF-1yPJbNVX(n-wo~}gs8?AEkbB0JA)BQqOlt@vZY~$ zOcVc^-mCZ9`+T{d<9$9o$8mqZj{kaHzw7rquk-w!kHFezOy^l`PZP~93G;zVj+o2$ zr0~s)z-9(hU_WbkTTda_Dr7`zy->{hC2rvKeBq$+8M=ke^|APyJ@0RI_GZ{Vc=j_HqYCsy7)U&r zXXOD%&YQA$UXmlmkNVSOWfa9DY5yi-G(}V~(|%uT%VK?M{xk-0oHmX=F~bNxdt9S> ztNWOAz?y8*wAg*z%=Hz?b#KZpL+pn{azpz z$ObpdwBdjn>}vM&U$7_D_E}MF>OfpVHiiQi1R{!ydQ>${xBEZS~s z_UbBFhr+%d>~HZz#Mid$Y2L;mwc2Nt*L&xMjd%Jly}k0_3hjRCrqYEQQ8mtS=CDW) zUK^6QoV9sm;Rm*cN>)pYF+N6nI9fPZxo&!nV(E78-E3o%^!DX<`#OAfYYDebu3Lc4 zkK-%TQSBJv$t45}ZpK1?{lj_K61W=dT7N&t=-Df-yG4}zfpeBXq(WHkL!NASSX~MR zi9?-Y$=|E!t|+}j4w75F{e+d3Zm;x65UxMh3?RtZ0N#g4LCV$7dep?*x>Lthvr&wr zy=4m@Q}Y`L_GUtF&`rRIQW}z!>Zj+VDRE+0e~~w8iDJq0O>+LRkG?Acdih9fIGMeh6IaCRq`o%jq{d<-h}*Ct$DdvIe&*?zU8s`jVH8didN5+U zrs$cHVcMf3*}&iOCoMsfZdqiWs$}ybNqeUl4F0MT&FS1c3K?<>^p?C)NebexSV|y; zGpIS*bXCz9eUT*b`~%EuF=Sz`J0d|6II&*1dn>q}Yn zxGP?}o}@$70dKTA&mBK>&t-?04(~9eITX1KBumI;2w6t{@|7Z|AD?qi{+jIEP$9wc zgW&|Sg4K|H*j^b1xMN&We| zXsrGLm1MH<04vi4LZfk!e<#Hu$a5s8t%adKhnx2;DkvoOc7=XZ7PYW*a7X#a7mi)1 zI@@>lJAC=0P#2bY3GwqV6#z0L;|N~*q;1Q;)?%B|^dGG&@bFM3w-i6Kf*{e+>XZd% zl8`wF>AZkJ`7(OmLKlMG98{#kfSKGyMja3yGCE6nQHnjz{grVqQ+0rfctZ7 zC^E^PX;_*UkDw9Z~?G7}dn1BHb6YHH~hYBalci0@Ey8aMgo zX^5p2x3%ztahqi41FU>}BU&t%?k901({5;gww-sW*5!J%XSWd6@4IdHy>G}I$#@`RO;u!N3 zfvrkfe4ZbkJ_s2+*Pp5hqlFn>txoY05vHvv+N3Fu%wGF4Bl96xfGY-6%92!eI46t# z7Ib5S3~M4B=g#YQWEZ1n>EdC1WDO2oQ-7gd@@~t5^xvdix{t)D$jz>5pn_A-CAW6@Q;v%nLi-_~iMqC2W zXrA`lYLH@VAXUwi4A4p$oH#8vfX`XumzTo4O{cSuC(=v}WuE_@f?n zW6xwDwkkhW&kqO<%YnP{Uv%_(WkPun_n1Cf=zL2~PfZ?r^}%r84fR~bEPpHbt<$-a zG1y25^?Omo{xIT_V-=t##!@2P{J(w&T#$`&6e5*K&IhSd9NSu#9^nlN2PX zSW0#!cN;TTQgH?m)0roF72~>0UBrY63K01%H!8<_25C|`G@x9Al$t*_hOsc0hYD+n z13u%7g(}JZ2d}!H=pHh+o!B~IIW5ORaMYg01)+pF{KbG6_2p?&2;XB1v;{T*q-_1%yk-4-hBC+XdW~CE zBKqX|UKMnBp|&*;UOzp#agd}SJpk=07+oMBKfV0!r10Lj!F!vql+2aO^CBrQ`HaXX z9|cQr!HnlLtOBlq?Z*nLBcWX{3^aQANH~vL6;D_R^wAAOl~fmw`{GE^e6zJFKjc*5 zw5X99p2!jAQWtd_z=B(nc&NB`0%o9m|ErdV9mC2yTRiWpjF}AX8*^%prHve3oe+PI zVvKIy43|t}hq3D7!J=B=9UX>_mG*+R?;9FRVRBZASq_AY@65#?8UCz3c?a&yVA%sM z$R1XiW6niZFsbSAFPL3!-TMeHC5mp}q=6-SMfToi?R9)Rbwp*_pl$N{xC4_)>fJ@r zOv3pQ)#jTs4EF5Vvi$W9`U2ckL4Oksp@y45J>RAQohjyIPkHZgoNv!#7qJaQY#HY~ zRd56MSD#+7Qx6eHGEVtPq76fZ)?_MM60>oWSV_T%PtUQB5I_?4=MxF>VJg+BYsTx3ocL*;Rj1Nek6)osb}8hZ9X0zyLC0r zR+Z(eFlA7Qn0v8qdMn}nGHkz;9MnEK<9o-ct|74Vx5Lm|?gsPW91U$DOzH42*JL*_v}dJGq*8`Qku6@l`e&#v@B^^cKDSEI#YW5r;b?jVQ)8rLV>3a=9sZ{HI? zGy>3qSqbFVx}dvBZ+Yav56W<}QO(eu+eXq!M8VobRf?6Jxvj=SsJyCc|3cY^!Fv{q zvrhqTdl9}XL3uim3~9n~6Rl01Mv(0=xk$trZ~t3Pg?vv)F?9f|KZT zLiG3rKM{vksSjiN?t*kr3#vzk_O4{ZFEa-l##(M9wGdmTGegZkH#@6B7+Tq#nEd-q z1^qnZgNqRe_7M(>)`G9^kJanP=bd?)xL$HMXt%qVTZ8bD;#L*SxmWf!BvhNceLyLs z!c5I!QZV?B3wEDyI!AS%-c?u%>cDs$QE*t>Ch^ADjn!o&0{eN;)K8T68OuCVhD{`F zWhvjS#MM$;L){z4&`sZb0)0Y!wjXfK)(*DFEg0Jd)9pW534+-e@KzRm7TF&v9StfN zO-wsapPBNmbkZyDbI+KSlGlEj$t?-NS1eDQ&3K95%l~%6V7l(xLuN5Mn4*t9Pg{vE zW-{0%$jl&h?B)JB<>~PNjf$Q8eC;tQJ)EXZaY%x*RMA_`>20;M-xw+gslWSj&7oh* zQ1C>|V4vZPW@a=ReBZO1x1bZBqI?izx-{uF>%`!XoFY+!a|ZR4^3pd1%7pITz{c>G zipg%*Tr7KE#5E{3Saa0pp)Aa3Ft5uCOqPg*h{u2q8^rP1#4N5k0H4vseA??rS3_u` zntiSEF-oga>8)t^Avn>Ilgm_T&6gpf#I7soFuxnk&f`IAsgv1W?#I2=+^&*bVIe7) zru+ueJ&c|IhVbcc#>gn>aiVBZ$#N&XA~l&)>Ga1sM-ti{yzLG-n^nV1{WA`#y2vI5 zJUX;q-Vla+$)LvyX9z3Wq?6?mF4$H i6RrMxJJ|fc&b$7v?(-it@IT+Y1bAa#H=>Gtp!7gb4 diff --git a/tests/wally-riscv-arch-test/spec/TestFormatSpec.adoc b/tests/wally-riscv-arch-test/spec/TestFormatSpec.adoc deleted file mode 100644 index ec67dabae..000000000 --- a/tests/wally-riscv-arch-test/spec/TestFormatSpec.adoc +++ /dev/null @@ -1,606 +0,0 @@ -= RISC-V Architecture Test Format Specification = -RISC-V Foundation Architecture Test SIG -Issue 1.2.6 Draft -:toc: -:icons: font -:numbered: -:source-highlighter: rouge - -//// -SPDX-License-Identifier: CC-BY-4.0 - -Document conventions: -- one line per paragraph (don't fill lines - this makes changes clearer) -- Wikipedia heading conventions (First word only capitalized) -- US spelling throughout. -- Run "make spell" before committing changes. -- Build the HTML and commit it with any changed source. -- Do not commit the PDF! -//// - -== Introduction -=== About - -This document contains the RISC-V <> structure and <> format specification which shall be used as a reference document for those who write or are going to write tests for the RISC-V architectural test pool and for those who are going to use the <> in their own architectural test framework. - -* It includes, as example, source code listing and detailed description of one <> - -Framework specification which includes description of how the <> are built and used for the appropriate RISC-V configurations is given in the complementary Framework Specification document. This document is made freely available under a <>. - - -=== Intended audience - -This document is intended for design and verification engineers who wish to develop new architectural tests and also for those who wish to write or adapt their own test framework. - -=== Future work - -This is a draft document; it partially documents what exists, and partially documents the longer-term goal. -As such, this document still under review and its content will change. -Its primary aim is to get a long-term stable version of the spec and to give test authors sufficient lead time to prepare test authoring tools and strategies. - -=== Contributors - -This document has been created by the following people (in alphabetical order of surname). - - -* Allen Baum -* Jeremy Bennett -* Radek Hajek -* Premysl Vaclavik - -=== Document history -[cols="<1,<2,<3,<4",options="header,pagewidth",] -|================================================================================ -| _Revision_ | _Date_ | _Author_ | _Modification_ - -| 1.2.6 Draft | 24 September 2020 | Neel Gala | Replaced Compliance with Architecture/Architectural. Minor beautification in macro definitions. - -| 1.2.5 Draft | 22 Jan 2020 | - -Allen Baum | - - * removed references to test pool reference doc, mentioned that the framework will generate it - * clarified that macros defined in a test may be used in a test - * minor clarifications, consistency changes, added page breaks - -| 1.2.4 Draft | 08 Jan 2020 | - -Allen Baum | - - * typos fixed - * added RVTEST_BASEUPD macro - * added explanations for each macro - * clarified restrictions on #ifdefs - * added comment that test cases with identical conditions should be combined into a single case - * documented that test case first parameter should match the #ifdef parameter that precedes it - -| 1.2.3 Draft | 02 Dec 2019 | - -Allen Baum | - - * modified macro names to conformn to riscof naming convention of model specific vs. pre-defined - * add more complete list of macros, their uses, parameters, and whether they are required or optional - * minor structural changes (moving sentences, renumbering) and typo fixes - * clarified impact of debug macros - * clarified how SIGUPD and BASEUPD must be used, fixed parameter description - -| 1.2.2 Draft | 21 Nov 2019 | - -Allen Baum | - - * remove section about test taxonomy, binary tests, emulated ops - * clarify/fix boundary between test target and framework responsibilities - (split test target into test target and test shell) - * remove To Be discussed items that have been discussed - * remove default case condition; if conditions are unchaged, part of same case - * minor grammatical changes related to the above - -| 1.2.1 Draft | 19 Nov 2019 | - -Allen Baum | - - * spec/TestFormatSpec.adoc: changed the format of the signature to fixed 32b data size only extracted from COMPLIANCE_DATA_BEGIN/END range. - * made test suite subdirectories upper case, with sub-extensions camel case - * updated example to match most recent riscof implement macros - * fix format so Appendix is now in TOC - * moved note about multiple test cases in a test closer to definition - * fixed cut/paste error in example of test pool - * more gramatical fixes, clarifications added - * added To Be Discussed items regarding emulated instruction and binary tests - * added graphic of test suite/test_pool/test/test_case hierarchy - - -| 1.2.1 Draft | 12 Oct 2019 | - -Allen Baum | - -minor grammar, wording, syntax corrections, added detail and clarification from suggestions by Paul Donahue - -| 1.2 Draft | 12 Sep 2019 | - -Allen Baum | - -minor grammar, wording, syntax corrections, added detail and clarification -Added detail regarding the 2 approaches for test selection: central database, or embedded conditions embedded in macros -Added detail of proposed standard macros RVTEST_SIGBASE, RVTEST_SIGUPD, RVTEST_CASE -More explanation of spec status in initial _future work_ paragraph (i.e. goal, not yet accomplished) -Removed many "to Be Discussed items and made them official -Removed options, made POR for test selection and standard macros RVTEST_SIGBASE, RVTEST_SIGUPD, RVTEST_CASE -Removed prohibition on absolute addresses -Clarified which test suites a test should be in where they are dependent on multiple extensions -Clarified use of includes and macros (and documented exsiting deviations) -Clarified use of YAML files -Added detail to description and uses of common compliance test pool reference document - -| 1.1 Draft | 15 Feb 2019 | - -Radek Hajek | - -Appendix A: example assertions update - -| 1.0 Draft | 10 Dec 2018 | - -Radek Hajek, Premysl Vaclavik | - -First version of the document under this file name. Document may contain some segments of the README.adoc from the compatibility reasons. - -|================================================================================ -<<< -== Foreword -The architectural test pool shall become a complete set of architectural tests which will allow developers to build an architectural test suite for any legal RISC-V configuration. The architectural tests will be very likely written by various authors and therefore it is very important to define the architectural test pool structure and architectural test form, which will be obligatory for all tests. Unification of tests will guarantee optimal architectural test pool management and also better quality and readability of the tests. Last but not least, it will simplify the process of adding new tests into the existing architectural test pool and the formal revision process. - -== Vocabulary -=== The architectural test -The architectural test is a nonfunctional testing technique which is done to validate whether the system developed meets the prescribed standard or not. In this particular case the golden reference is the RISC-V ISA standard. - -For purpose of this document we understand that the architectural test is a single test which represents the minimum test code that can be compiled and run. It is written in assembler code and its product is a <>. A architectural test may consist of several <>. - -=== The RISC-V architectural test pool -The RISC-V architectural test pool consists of all approved <> that can be assembled by the test framework, forming the <>. The RISC-V architectural test pool must be test target independent (so, should correctly run on any compliant target). Note that this nonfunctional testing is not a substitute for verification or device test. - -=== The RISC-V architectural test suite -The RISC-V architectural test suite is a group of tests selected from the <> to test adherence for the specific RISC-V configuration. Test results are obtained in the form of a <>. Selection of tests is performed based on the target's asserted configuration, and the spec, Execution Environment or platform requirements. Compliant processor or processor models shall exhibit the same test suite signature as the golden reference test suite signature for the specific configuration being tested. - -=== The test case -A _test case_ is part of the architectural test that tests just one feature of the specification. - ----- -Note: a single test can contain multiple test cases, each of which can have its own test inclusion condition (as defined by the cond_str parameter of the RVTEST_CASE macro. ----- - -[#img-testStruct] -.Test Suite, Test_Pool, Test, Test_Case relationship -image::./testpool.jpg[testStruct] - -=== The test case signature -The _test case signature_ is represented by single or multiple values. Values are written to memory at the address starting at the address specified by the RVMODEL_DATA_BEGIN and ending at RVMODEL_DATA_END. Signatures can be generated most easily using the RVTEST_SIGUPD macro. - -=== The test signature -The <> is a characteristic value which is generated by the architectural test run. The <> may consist of several <>, prefixed with a separate line containing the name of the test and a unique value indicating its version (e.g. git checkin hash). The test target is responsible for extracting values from memory and properly formatting them, using metadata provided to it by the framework using the RVMODEL_DATA_BEGIN and RVMODEL_DATA_END macros. Test case signature values are written one per line, starting with the most-significant byte on the left-hand side with the format where the length of value will be 32 bits (so 8 characters), regardless of the actual value length computed by the test. Furthermore, the signature should always begin at a 16-byte (128-bit) boundary and the size of the signature should be a multiple of 16-bytes (i.e. it should also end at a 16-byte boundary). - -=== The test suite signature -The _test suite signature_ is defined as a set of <> valid for given <>. It represents the test signature of the particular RISC-V configuration selected for the architectural test. - -=== The target shell -The <> is the software and hardware environment around the <> that enables it to communicate with the framework, including assembling and linking tests, loading tests into memory, executing tests, and extracting the signature. The input to the <> is a .S <> file, and the output is a <>. - -=== The test target -The <> can be either a RISC-V Instruction Set Simulator (ISS), a RISC-V emulator, a RISC-V RTL model running on an HDL simulator, a RISC-V FPGA implementation or a physical chip. Each of the target types offers specific features and represents specific interface challenges. It is a role of the <> to handle different targets while using the same <> as a test source. - -=== The RISC-V processor (device) configuration -The RISC-V ISA specification allows many optional instructions, registers, and other features. Production directed targets typically have a fixed subset of available options. A simulator, on the other hand, may implement all known options which may be constrained to mimic the behavior of the RISC-V processor with the particular configuration. It is a role of the Architectural Test Framework to build and use the <> suitable for the selected RISC-V configuration. - - -=== The architectural test framework -The <> selects and configures the <> from the <> for the selected <> based on both the specific architectural choices made by an implementation and those required by the Execution Environment It causes the <> to build, execute, and report a signature. The <> then compares reported signatures, inserts test part names and version numbers and summarizes differences (or lack of them) into a RISC-V test report. The primary role of the well-defined <> structure is to provide the tests in a form suitable for the Architectural Test Framework selection engine. - -<<< -== Architectural test pool -=== Test pool structure - -The structure of <> in the <> shall be based on defined RISC-V extensions and privileged mode selection. This will provide a good overview of which parts of the ISA specification are already covered in the <>, and which tests are suitable for certain configurations. The architectural test pool has this structure: - ----- -architectural-tests-suite (root) -|-- _/, where - is [ RV32I | RV64I | RV32E ] - is [ M | MU | MS | MSU ], where - M Machine mode tests - tests execute in M-mode only - MU Machine/User mode tests - tests execute in both M- & U-modes (S-mode may exist) - MS Machine/Supv mode tests - tests execute in both M- & S-modes (not U-mode) - MSU All mode tests - tests execute in all of M-, S-, & U-Modes - are the lettered extension [A | B | C | M ...] or subextension [Zifencei | Zam | ...] when the tests involve extensions, or more general names when tests cut across extension definitionss (e.g. Priv, Interrupt, Vm). The feature string consists of an initial capital letter, followed by any further letters in lower case. - ----- - -Note that this structure is for organizational purposes, not functional purposes, although full test names will take advantage of it. - -Tests that will be executed in different modes, even if the results are identical, should be replicated in each mode directory, e.g. RV32I_M/, RV32I_MS/, and RV32I_MU/. These tests are typically those involving trapping behavior, e.g load, store, and privilged ops. - -=== Test naming - -The naming convention of a single test: - -<__test objective__>-<__test number__>.S - -* __test objective__ – an aspect that the test is focused on. A test objective may be an instruction for ISA tests (ADD, SUB, ...), or a characteristic covering multiple instructions, e.g. exception event (misaligned fetch, misalign load/store) and others. - -* __test number__ – number of the test. It is expected that multiple tests may be specified for one test objective. We recommend to break down complex tests into a set of small tests. A simple rule of thumb is one simple test objective = one simple test. The code becomes more readable and the test of the objective can be improved just by adding <>. The typical example are instruction tests for the F extension. - -* A test name shall not include an ISA category as part of its name (i.e. the directory, subdirectory names). + -Experience has shown that including ISA category in the test name leads to very long test names. Instead, we have introduced the <> where the full name is composed of the test path in the <> and the simple test name. + -Since full names can be reconstructed easily it is not necessary to include the path in test names. - -=== Assembly macros and test labels - -There are both pre-defined and model-specific macros which shall be used in every test to guarantee -their portability. In addition, there are both pre-defined and model specific macros that are not required, -but may be used in tests for either convenience or debugging purposes. - -==== *Required, Pre-defined Macros* - -These macros are be defined in the file **compilance_test.h** by the author of the test. A -significant amount of the framework shall depend on the existence of these macros. - - `RVTEST_ISA(isa_str)`:: - - - defines the Test Virtual Machine (TVM, the ISA being tested) + - - empty macro to specify the isa required for compilation of the test. + - - this is mandated to be present at the start of the test. - - - `RVTEST_CODE_BEGIN`:: - - start of code (test) section - - macro to indicate test code start add and where test startup routine is inserted. + - - no part of the test-code section should precede this macro - - this macro includes an initialization routine which pre-loads all the GPRs with unique values - (not `0xdeadbeef`). Register t0 and t1 are initialized to point to the labels : - `rvtest_code_begin` and `rvtest_code_end` respectively. - - the macros contains a label `rvtest_code_begin` after the above initilization routine to mark - the begining of the actual test. - - `RVTEST_CODE_END`:: - - end of code (test) section + - - macro to indicate test code end. + - - no part of the test-code section should follow after this macro. - - the macro enforces a 16-byte boundary alignment - - the macro also inlcudes the label `rvtest_code_end` which marks the end of the actual test. - - if trap handling is enabled, this macro contains the entire trap handler code required by the - test. - - `RVTEST_DATA_BEGIN`:: - - marks the begining of the test data section + - - used to provided initialized data regions to be used by the test + - - this region starts at a 16-byte boundary + - - the start of this is macro can be addressed using the label: `rvtest_data_begin` - - when trap handling is enabled, this macro also includes the following labels : - . trapreg_sv: This region is used to save the temporary registers used in the trap-handler - code - . tramptbl_sv: This region is used to save the contents of the test-target's initial - code-section which is overwritten with the necessary trampoline table. - . mtvec_save: a double-word region to save the test-target specific mtvec register - . mscratch_save: a double-word region to save the test-target specific mscratch register - - `RVTEST_DATA_END`:: - - this macros marks the end of the test input data section. - - the start of this macro can be addressed using the label: `rvtest_data_end` - - `RVTEST_CASE(CaseName, CondStr)`:: - - execute this case only if condition in cond_str are met + - - caseName is arbitrary string + - - condStr is evaluated to determine if the test-case is enabled and sets name variable + - - condStr can also define compile time macros required for the test-case to be enabled. + - - the test-case must be delimited with an #ifdef CaseName/#endif pair + - - the format of CondStr can be found in https://riscof.readthedocs.io/en/latest/cond_spec.html#cond-spec - -==== *Required, Model-defined Macros* - -These macros are be defined by the owner of the test target in the file **model_test.h**. -These macros are required to define the signature regions and also the logic required to halt/exit -the test. - - `RVMODEL_DATA_BEGIN`:: - - This macro marks the start of signature regions. The test-target should use this macro to create a label to indicate the begining of the signature region. For example : `.globl begin_signature; begin_signature`. This macro must also begin at a 16-byte boundary and must not include anything else. - - `RVMODEL_DATA_END`:: - - This macros marks the end of the signature-region. The test-target must declare any labels required to indicate the end of the signature region. For example : `.globl end_signature; end_signature`. This label must be at a 16-byte boundary. The entire signature region must be included within the RVMODEL_DATA_BEGIN macro and the start of the RVMODEL_DATA_END macro. The RVMODEL_DATA_END macro can also contain other target specific data regions and initializations but only after the end of the signature. - - `RVMODEL_HALT`:: - - This macros must define the test-target halt mechanism. This macro is called when the test is - to be terminated either due to completion or dur to unsupported behavior. This macro could - also include routines to dump the signature region to a file on the host system which can be - used for comparison. - -==== *Optional, Pre-defined Macros* - - `RVTEST_SIGBASE(BaseReg,Val)`:: - - defines the base register used to update signature values + - - Register BaseReg is loaded with value Val + - - hidden_offset is initialized to zero - - `RVTEST_SIGUPD(BaseReg, SigReg [, Offset])`:: - - if Offset is present in the arguments, hidden_offset if set to Offset + - - Sigreg is stored at hidden_offset[BaseReg] - - hidden_offset is post incremented so repeated uses store signature values sequentially - - `RVTEST_BASEUPD(BaseReg[oldBase[,newOff]])`:: - - [moves &] updates BaseReg past stored signature + - - Register BaseReg is loaded with the oldReg+newOff+hidden_offset + - - BaseReg is used if oldBase isn't specified; 0 is used if newOff isn't specified + - - hidden_offset is re-initialized to 0 afterwards - - `RVTEST_SIGUPD_F(BaseReg, SigReg, FlagReg [, Offset])`:: - - This macro is used for RV32F and RV64D (where XLEN==FLEN). - - if Offset is present in the arguments, hidden_offset if set to Offset+(XLEN*2) + - - SigReg is stored at hidden_offset[BaseReg] - - FlagReg is stored at hidden_offset+XLEN[BaseReg] - - hidden_offset is post incremented so repeated uses store signature values sequentially - -==== *Optional, Model-defined Macros* - - `RVMODEL_BOOT`:: - - contains boot code for the test-target; may include emulation code or trap stub. If the - test-target enforces alignment or value restrictions on the mtvec csr, it is required that - this macro sets the value of mtvec to a region which is readable and writable by the machine - mode. May include code to copy the data sections from boot device to ram. Or any other code - that needs to be run prior to running the tests. - - `RVMODEL_IO_INIT`:: - - initializes IO for debug output - - this must be invoked if any of the other RV_MODEL_IO_* macros are used - -// `RVMODEL_IO_CHECK` -// - checks IO for debug output -// - - - `RVMODEL_IO_ASSERT_GPR_EQ(ScrReg, Reg, Value)`:: - - debug assertion that GPR should have value + - - outputs a debug message if Reg!=Value + - - ScrReg is a scratch register used by the output routine; its final value cannot be guaranteed - - Can be used to help debug what tests have passed/failed - - `RVMODEL_IO_WRITE_STR(ScrReg, String)`:: - - output debug string, using a scratch register + - - outputs the message String - - ScrReg is a scratch register used by the output routine; its final value cannot be guaranteed - - `RVMODEL_SET_MSW_INT`:: - - This macro needs to include a routine to set the machine software interrupt. - - Currently the test forces an empty macro if a target does not declare this. Future tests may - change this. - - `RVMODEL_CLEAR_MSW_INT`:: - - This macro needs to include a routine to clear the machine software interrupt. - - Currently the test forces an empty macro if a target does not declare this. Future tests may - change this. - - `RVMODEL_CLEAR_MTIMER_INT`:: - - This macro needs to include a routine to clear the machine timer interrupt. - - Currently the test forces an empty macro if a target does not declare this. Future tests may - change this. - - `RVMODEL_CLEAR_MEXT_INT`:: - - This macro needs to include a routine to clear the machine external interrupt. - - Currently the test forces an empty macro if a target does not declare this. Future tests may - change this. - -=== Required labels - -The test must define a `rvtest_entry_point` label to indicate the location to be used by the linker -as the entry point in the test. Generally, this would be before the `RVMODEL_BOOT` macro and should -belong to the `text.init` section. - -=== The test structure of an architectural test - -All tests shall use a signature approach. Each test shall be written in the same style, with defined mandatory items. -The test structure of an architectural test shall have the following sections in the order as follows: - -. Header + license (including a specification link, a brief test description and RVTEST_ISA macro)). -. Includes of header files (see Common Header Files section). -. Test Virtual Machine (TVM) specification, -. Test code between “RVTEST_CODE_BEGIN” and “RVTEST_CODE_END”. -. Input data section, marked with "RVTEST_DATA_BEGIN" and "RVTEST_DATA_END". -. Output data section between “RVMODEL_DATA_BEGIN” and “RVMODEL_DATA_END”. - - -Note:: Note that there is no requirement that the code or scratch data sections must be contiguous -in memory, or that they be located before or after data or code sections -(configured by embedded directives recognized by the linker) - -==== Common test format rules - -There are the following common rules that shall be applied to each <>: - -. Always use “//” as commentary. “#” should be used only for includes and defines. -. As part of the initialization code, all GPRs are preloaded with unique predefined values (which is -not `0xdeadbeef`). However, t0 is initialized with `rvtest_code_begin` and t1 is initialized with -`rvtest_data_begin`. -. The signature section of every test is pre-loaded with the word `0xdeadbeef` -. The signature region should always begin at a 16-byte boundary -. A test shall be divided into logical blocks (<>) according to the test goals. Test cases are enclosed in an `#ifdef <__CaseName__>, #endif` pair and begin with the RVTEST_CASE(CaseName,CondStr) macro that specifies the test case name, and a string that defines the conditions under which that <> can be selected for assembly and execution. Those conditions will be collected and used to generate the database which in turn is used to select tests for inclusion in the test suite for this target. -. Tests should use the RVTEST_SIGBASE(BaseReg,Val) macro to define the GPR used as a pointer to the output signature area, and its initial value. It can be used multiple times within a test to reassign the output area or change the base register. This value will be used by the invocations of the RVTEST_SIGUPD macro. -. Tests should use the RVTEST_SIGUPD(BaseReg, SigReg, ScratchReg, Value) macro to store signature values using (only) the base register defined in the most recently encountered RVTEST_SIGBASE(BaseReg,Val) macro. Repeated uses will automatically have an increasing offset that is managed by the macro. -.. Uses of RVTEST_SIGUPD shall always be preceded sometime in the test case by RVTEST_SIGBASE. + -.. Tests that use SIGUPD inside a loop or in any section of code that will be repeated (e.g. traps) must use the BASEUPD macro between each loop iteration or repeated code to ensure static values of the base and offset don't overwrite older values. -. When macros are needed for debug purposes, only macros from _model_test.h_ shall be used. - Note that using this feature shall not affect the signature results of the test run. -. Test shall not include other tests (e.g. #include “../add.S”) to prevent non-complete tests, compilation issues, and problems with code maintenance. -. Tests and test cases shall be skipped if not required for a specific model test configuration based on test conditions defined in the RVTEST_CASE macro. Tests that are selected may be further configured using variables (e.g. XLEN) which are passed into the tests and used to compile them. In either case, those conditions and variables are derived from the YAML specification of the device and execution environment that are passed into the framework. The flow is to run an architectural test suite built by the <> from the <> to determine which tests and test cases to run. -. Tests shall not depend on tool specific features. For example, tests shall avoid usage of internal GCC macros (e..g. ____risc_xlen__), specific syntax (char 'a' instead of 'a) or simulator features (e.g. tohost) etc. -. A test will end by either jumping to or implicitly reaching the `RVTEST_CODE_END` macro (i.e. -rvtest_code_end label). The `RVTEST_CODE_END` macro is always followed by the `RVMODEL_HALT` macro. -. Macros defined outside of a test shall only be defined in specific predefined header files (see <> below), and once they are in use, they may be modified only if the function of all affected tests remains unchanged. -It is acceptable that macros use may lead to operand repetition (register X is used every time). -- The aim of this restriction is to have test code more readable and to avoid side effects which may occur when different contributors will include new <> or updates of existing ones in the <>. -This measure results from the negative experience, where the <> could be used just for one target while the architectural test code changes were necessary to have it also running for other targets. -. All contents of the signature region must always be initialized to `0xdeadbeef`. -. The result of no operation should be stored in the signature even though not register has -been altered. -. Pseudo ops other than `li` and `la` which can map to multiple standard instruction sequences - should not be used. -. The actual test-section of the assembly must always start with the `RVTEST_CODE_BEGIN` which contains a routine to initialize the registers to specific values. - -==== Common Header Files - -Each test shall include only the following header files: - -. _model_test.h_ – defines target-specific macros, both required and optional: (e.g. RVMODEL_xxx) -. _arch_test.h_ – defines pre-defined test macros both required and optional: (e.g. RVTEST_xxx) - -The inclusion of the _arch_test.h_ should always occur after the _model_test.h_ file. - -Important points to be noted regarding header files : - -. Adding new header files is forbidden in the test. It may lead to macro redefinition and compilation issues. -. Macros maybe defined and used inside a test, as they will not be defined and used outside that specific test. -// . Assertions will generate code that reports assertion failures (and optionally successes?) only if enabled by the framework. -// . In addition, the framework may collect the assertion values and save them as a signature output file if enabled by the framework. - -==== Framework Requirements - -The framework will import files that describe - -- the implemented, target-specific configuration parameters in YAML format - -- the required, platform-specific configuration parameters in YAML format - -The framework will generate intermediate files, including a Test Database YAML file that selects tests from the test pool to generate a test suite for the target. - -The framework will also invoke the <> as appropriate to cause tests to be built, loaded, executed, and results reported. - -The YAML files define both the values of those conditions and values that can be used by the framework to configure tests (e.g. format of WARL CSR fields). -Tests should not have #if, #ifdef, etc. for conditional assembly except those that surround RVMODEL_CASE macros -Instead, each of those should be a separate <> whose conditions are defined in - the common reference document entry for that test and test case number. - - -<<< -[appendix] - -== Example ISA test _add-01.S_ - -.1) Header to inlcude comments - ----- -#This assembly file tests the add instruction of the RISC-V I extension for the add covergroup. - ----- - -.2) Includes of header files - ----- -#include "model_test.h" -#include "arch_test.h" ----- - -.3) Set the TVM of the test - ----- - -RVTEST_ISA("RV32I") ----- - -.4) Test target specifc boot-code - ----- -RVMODEL_BOOT ----- - -.5) Start of GPR intialization routine and test code - ----- -RVTEST_CODE_BEGIN ----- - -.6) Define the RVTEST_CASE string and conditions - ----- -#ifdef TEST_CASE_1 - -// this test is meant for devices implementing rv32I extension and requires enabling the compile -// macro TEST_CASE_1. This test will contribute to the "add" coverage label. - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*);def TEST_CASE_1=True;",add) ----- - -.7) Initialize pointer to the signature region - ----- -RVTEST_SIGBASE( x16,signature_x16_1) // x16 will point to signature_x16_1 label in the signature region ----- - -.8) Define the test cases - ----- -TEST_RR_OP(add, x9, x4, x6, 0x80000005, 0x80000000, 0x00000005, x16, 0, x24) -TEST_RR_OP(add, x5, x5, x14, 0xfffffeff, 0x00000000, 0xfffffeff, x16, 4, x24) -... -... - ----- - -.9) Change signature base register - ----- -// this will change the signature base register to x3. x3 will not point to signature_x3_0 in -// the signature region -RVTEST_SIGBASE( x3,signature_x3_0) - -// continue with new test cases .. -TEST_RR_OP(add, x4, x24, x27, 0x55555955, 0x00000400, 0x55555555, x3, 0, x5) -... -... - ----- - -.10) End the test and halt the test-target - ----- -RVTEST_CODE_END -RVMODEL_HALT ----- - -.11) Create test input data section - ----- -RVTEST_DATA_BEGIN -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END ----- - -.12) Create pre-loaded signature region - ----- - -RVMODEL_DATA_BEGIN -.align 4 - -signature_x16_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x16_1: - .fill 16*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 86*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END ----- - diff --git a/tests/wally-riscv-arch-test/spec/testpool.jpg b/tests/wally-riscv-arch-test/spec/testpool.jpg deleted file mode 100644 index 228d0f43a01e482adb6a512ede36cf18f8ff2037..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 75124 zcmeFYcU)8J)+ZhWM35?7suU3rP^3tW$`J$(AW}jRA_5}Bfb;+f5fzXwAfU7yq}NE7 z8tF|yI)s|gdxroanVfs?yz|bT`TSuLk2sHgymlpg>YM}PCBm)C1mIXPEPSvv=}=Z>=WZcsUx-D|nqvbW>_>Ok0QJ9~(u zm+*5(Cudg;k?lH+h_JJRh6qT};FiH_EytJ6kNiCxP5cc_?foJ4Dh?t*O$K$CDh&D> z>gZ)B41>OM^;Csvi2O~tD&_A#-#1c@a$pVPRo) z4~G}3Pkz(>$K{m&G(`R}PG4VNS>HRdZXQl@w^dYBI~_59}OY473u+RNF^RrpW6cF*0sy);Bbp00ms2L2`Ce|y(oHeC$=BlB@|rKCH)l)Q#K z3D5#iU%2q+i*nFVUbL5JX=!L^8R+OPUSecmWW3C9`7#sp6;>u@Hs;HhS-Du**f}^k zIT=~FdAK-uu5fU2{HcVBnsN;dEj=wQJqOceCXRpkApZccU80)3P)$vB4RC>tikgjz z+zJo^0H|mwx!s@X-9HYh3zRWlq`O4VaG4@d$qKkYMNNHyhWgJ@Q=}1;&jB=Sv{$a( zzJHP3*p5!bokKn-Hsg}$gVH9>Cj&cTcb@RjuD1 zYU@1G)iW_QGY45%TG=}|zHoZ!?BeO=?c)pc^MCg~I3)B#Sa@9gr-a0$&tHATi630fgG2wa+mp$8t3h zlO`m`<4~e(e<<=mlVDE<&~cFgL>r-m z7X*$BxLl5#J!fg;Ap@G)Fk}F?&i|^#v=!N3gZQaY30wmE$>g>RQGutmAdq<^^V6xH z>->+~3a!gK7v`kioQTB%F4Ug3XG?vm$meP>i-^pW3!b`B6#ifAXPO`~;B6eTs~UC2 zXhjA{O7HKtkO5`KZ9*I?=E;2tIO&L9*)_3rzJ%{@q(vF?7n^vG+YnnuAF@N5Jk1i~ zBc@4d#u?(kzj(*NcC_jzjWO%!cG7pv<-v>2=QGA!Aen#@f)g(F%0 zdVSNS&QY2o1fk}4t+mJRE1BdTo+wZC6);+N(l1^2)rq^u_hwX0ptHARz*RC}@GI;t`Z#oj zB9FM71q*1la@$zX=y#lxMsck8rn4qP^^J$T3VF?Eja$ArChsn=x9;BJF>e2LJN`$! z&NnwEf*g)JEdId|k)10kf5pd_E4eDlUS#e1GqY`|+eMpW(em0xJ^ou#CKAe$Jzorc z>ys;|Pth#~N>$ln3CS@Ji<1ub)t&H4nFw6}T23O8^CJsmE=rx;;EAgyP2Z=byDkD;ZH ze9igVXI^nNz{M1inx5Z0uwH_Sp7o9G?NZqnuk}x#n3n@!U;1yiK+ed3&|Z9$)sXcF z^hJfiWuqZiIhn!ngg7+^PyPtLz&*wIC1``@t5gg#QGKuiC`dH)gUZw!&#NKS9@PwO zdTleW;*Vx#?zJNi=RmZuYU7w~QF?5psb$QITR}JiKUpk~`Rnm}% zPCET{vfl>Dk=V@6MmoS;=9`Y3TK%n&&!@878!CeTkY|c57kRt*`G&e{2hWdlM9I@N zVuecDtnB&G@E9#*xU4ES5mtQ^wsZWh{$W6-t;L$h6!sPfCK{O`uJu~~-Rxi)5LZZ) zBm?eEJCV*Gk^vpk41TgAMWTx0FVJJ?&OwVccUKt=YSL9qGwOh86uCVNCVIpWT*v?h zvXMi!8&1ZDULnRrm_X_}x@TS3oYw_okHQwB zQg2_mds|kN@0N9{gS(A0CA5Nx22y|5NQZU(P6l*xeu5=kmh9$_8=U60#ij?p3UA<- zxoMKmRiGjk5AR8wy;7Cbm@;c@L}aJK2PniQ`kuiJnZCo4nll;# zhrCheY?PQ?!~|>GcVwo`j*OnnaNpX&uBUrW26`q5hmGxg1n$<`5Zx@z2zYI3*phgDP<0s@0Auu;+aIg| z<3M2PGpD1oVR4zpvj!jPCFg?2 z4tmb5ACFuc@PbG@%Bo9N`dA+|z{Z_;msj&`C?O!<w-mE$u9V1xio;A~w(@CXYBW%Y@moXjr?C7wVRH{v^?>??N4`AC^hK!%G98seE zNA3@l^#eSo8EXVBPvo908YL~nWHgfj7q30^)3NxA7YSw23CSUwwB1U)$bgCA9*Db4 z1qOSR370i44ZGWk?yVDICh84u;OfeU)XjV$@}c1{nJpVJlV62YZ#CKwB)wG~I{!qg zhRp@|k)rNsMF9WCjM!N5A}o7EktbVQYUTLK#V2=#BX^upI|&f*0S_hT`H@7~u};BG z%$@sw*;7EA)F@`jSL{sUC|{kCErbNZ7({pr4;g|{ z_I%A&RblxA?#DY-<@rkCz3}9y;?g!t9nu9RC(jsB4kicPomI@y^8sCmwteVITkEOEC;-ijaFe^!#c< z7OQS8VJmLcuqgXR&d>aj)aP+_l&^dH z10^|E3?KuLQ9?WEgc#C05ayULrabZzDWY&R0{jw6lxP&CxX8%%IY|N89DoO>D*= zv-3KCim*UUPQI+p(j1=aKZV+#Jvf5&-_R=W^O*F++$DxC+Gc`pN4>YRH4ZNu+S7om zr{?E0hvQZM!i0|{fee_-LhV79NiAHMZau;z4%q6(Wfk}n#cjpHzJ9AJNj8`>DCTj3 z!B>U-!kZRuA6Ws{USGP0IKR`L+U*vbW@jB$It!O+E{v=*Dd&^@m)$=g*v7efOB{XV40K|r;Tn1;Y- zK=T0^@0Ma6Bs5>k@3qfKrsszjVP6t|yKzw|=l+v>ShL+Lku}*bt433+*Qz!u_OF@b zB$tiOAV>Od?D`&=7oIsyPOdi!RO~E$gj@4-k2X127YVKqC=iz8&HBXm!`MVzL>ls5qx}JHPoG7;DZH1G$rQRlQpkY* zN&@l=|7YM5GUkLo_x*toK!}ZyLb_rQIR4VsA4yqBPBLRyb#w|6tNbu(){_smZUa7| z`_X^OBS!{of58w&oD566d(OJu8|$na(*gttD~^Jb=iyI|d}7)fPC!cCqe~6-#Rx<8 zHY;S%v(X#__Q&5*hEzVf!tnT@^gNcQN0ew<7ARy;=xogN9DkX+ItuM1Day0ZBny~W z|1lRGFjm|jwP2KBi`~S`z(zBFjvT1DF~E>NK+0UR6aMx18J$?yYc(k}x1M(Fe;#}3 zx=hD8kjAGw=!N3w@XInRn^Y_{7`wC;ey;T0_Dfgi+9iHATN#({p_*3d>qEK8HfPpa zWw){t<_pMxH;x1aipenFR@Hje4%v*C*B)8@#KK{be>ctPG-x&p&A>v^L zSY0>QGzC(LbtX+pP2Cyr{M>hyjx>yZh87Yc?aC!BL~khXd^EQ88|HXS^$_saoM3dD zbvrGsv)r2W0(8y(b|aN;o6-R>0)%}I%; z-X~EdnIAN!G-u@2oOV}ZzF;U zRJ-NcaXnx{XwDk<9q{zS>?TCs+K#QhSP@T$AnwjL9`l7c7F$Ri<~$kb(g6Csd_-=M#Yh`J(nH23So~ zp4pP_G^7V|*qCHZi~xblmp9!Jc~;;l6nlTb%T4iy)^zFMbS}s7Hu{?GIE-Y6aZ`ag zrks1z*dDKjVzr)t{DM^LF81el;33{M3AZkeetf!R_vWqyKZ_nj=u@^Mw0NhX?v;ls zPjv|fNxZWB7U&=sBeVOiMN?u|IizI!s=$lBy))y6QK+lj=dS~gl>09eqa&(gW&0wWT%V~N?sdwT=Uuk4(Gdd-;1F+)BRG} z%94h@R!W}NN9}+g?LHc$`UMzncbCL4yBK5|6h!Ep(cFn}7QcJqAZ1PKe_+yg+xC6I z1EspDWn;JJlzpn6B@b35-L<(;SzcPs;40^q%i6+gA50tLxgwQbi7V`7H%)IN7FLIu zYsq|i`*PwE%`L}y9>uuU`y*bpB@L?1fu~=mmA+@ z8PB+O2UX`BNpZl-!5`Fott7i)1FMnU)~SPCk_KyN<2vmsqTOfe=4O<+LDh7I!&dsk zZ2cIcn?;#hQQ5a{Pf~}U)PqOPD{2nmsJ!E%t!8uRoJmXJyVYolGRjNF&S(h&2P zU(bv}fJ!foe@HL2y)|XM&ljqKuQkQ&u07Si^=;UG=TF}_6R za*t)Bob$$j%#v(ITh2Vw+#7Y*<0GteZ8Xe#)pE-XSDdi*zNC0E;L5p{cZ*u*yury{ z3scBMLZ?9KwL8)+6-*F%0aKv z3_J^wPW*i7>-V!;#x?B^SYdsdCI{$Wj`aOZMzM&{6VYYUPcGeLK<{a}DWTbEH~Q0J zg}wX5FYaz)d$3?zXHg23;K4!r$swVu?~bXuKAN{)ZeBw|Z#QO=i*MnJ?bf;3R&gYw z_;2d;n)Yw$W`1b2xj%UOBx(+8KBH9KFx1`enyBn8i)wH~#dg88HUh;6(0qc^*^_>~ z;jt$%k3Y=*ycUk5ab$2)zi=m2hztNZ?c`h*qN{8*!mleQ3usd-C}C@@uoc!+&X?um zZ_#`jzdJvxI27)=n6EQY?Hhny!y>kcC60PyktfCb-;!!86FP#3`VQFMM3g;0_Wh`i z;qWJoF6We2@{{w+i~y=4XmX*oW*?qATJ zRGsgt-qj4q<68j;1-l}fmv@zk$JG>E>hjZD-8;X`BcWq~%YmW_ZTN*;TX^q{HgLt0 zNKlv9$Nwx!fyt5V$o>H2*-j;LVmxp*tmm*G_YdOr-JD$f(#XY3F}EXk?xfNS^FG7_ zGQbOzG1iaIZ%=T3peDDcp?b$NC#yMpLHVx+#?@nv;;VLg$3oN<8YO>uV_2=^KFC;M z1?YWsncBO2^d)`*eJ;)+#@%geo>mNNRBWUjU|g$84|r;x3xeL8NpKserj~*^yknG= zOHvynZWor>@YwRH zOmEs45#<%p=Zr(hIaW&Du`UJIdSYC^GO<3^KUA_Ds4Us?tGFu!y(#*#(bd`opPG^WEf$=bzNj^r*yWmipz*)^C1(n|=R0d%bPawF6HY$auCPbRB6 zo@DUAjZP~m1vfnwYrT>@Q|aj}Kumma1NZjT=i^7MuFqJ6sfK292EBUR_}ApSj#L!( zuZmPyndQgw#Ca^=yufH5xsNUI`1EuQ43!yjBssKQ&%Eq*oG3={7N{&K7pso%JK|=! z*rV@$4In$WrF@~lGn%TMNguJ(ROChPc6IB%H)p|gnS$_x`%O25eDnbbX<&&<#q=?$ zF5wU%nEUWQr-AH$PXlALn22H=pmguQ5GfV9gKTRa!q_9?#s%YCaqrps|4)$%6_G-JRI98oD0ubY{% z>8HNB767^5P|JTX%SlAINw>*G!gV?F+H8bOzcXgNzR&kvp`T#gs7i6*u~sla5k&?> zptDXZSr)(^>a!4&6V!~*PW7J=Ukm*KvjYKANHVLj8CgPUjx*P3@lxfyw1Morpuxtm zuQOu}FW=L&+#N;PkgGBhm(~|T3d{nO9LRv?>D{q&=0*_;JX`d4X6~OfnjN|b?QbGF zZAWwWf%WSo7P#qd_I6u8jr9}^W1Bt$^=MZSNis=`9i9@Fy8nt*hez@3A($IA6s-E` zL?kiBezIp#Qu}Ei?jby5otA@Y0dvWg0nHLsK6|8JmKZzASQ_}6>Uq~HF7gXd+z+&8f8W+qJLxY7_x4E{Cc3<7(I$h1W zzMwt%OLbEiJ}xS7CpjO9Kk-)Me7kN`nvAovpk4oM#%E-;Z^Mb-i6b*4(#pkfeQ!hA zvhqdjEUBM@@z|W+Cj%;_$EBCuDOKr}lfXxZw`QB~KlEFE{MTWkRC^dAM?A@(o(vd& zK6auD=7UQRqVPRN6Y==<`*_3)uEE7$U%%a6yOLj_o$l=z!L)Y)KqE^908K7d;7kBD zgMp{BL}PXJ-&5V0`!k7OR=_)jnw&2&bY9XitP{Ecg%A=)ycN_%+CXpVcymi2$Wrv; z^b`3E%co9t_9{wnwz(LF)nun;6gvUgR12XLs%u#BlJDMm+nbd)++>+&6 z2>Z9E<2NHXb`ce!$@irN#pq-rWmN&v#e^v6F1j7b57)&Ny9|Mc;c@}^yE3v0brVkS zbRnMp5wC)NNMBLj`r&o*%|qVPCTcJuXI%};Z7>;73`%d;JbUukx&eu<-z1vsxZz5| z@?dlpqj1R!6HN9dLzNLo#)-8k*HuQ^SgcB^LKp`Mf&byi6ghvPF=mImSyi@fm;33i z>^t0EvRQPjd(MPKNB-36jKS!}RK+EO&YPm`qj$CKQzNg=S=16y>?4+NV82sfQn`76 ztJ{Y2tXqHe39+AR*bBq3GFwRshRl5~`D3SZmn-q;qiu`AlZQ1pCxdb-VX7Xg?@q3& zhrm*^rDa#>V{c7a8-$nYYe`veffA2oOdF7XMK$v1YDf(f;zxhs5!lD{Ba6B}n;8)2 z4HF`Tr~!!qMCp!baCoX|roluSnoUbq=LtOVZ?KDUPx8^;w^2gxoVJSQ zwK9p}5Xu!sgs170@npR1*aPCMkxur&? z96}1=WI`IMPT3eGOqXhHxjtHr1*3d0W$2C+0ogNysvVyOw_NaN?AD;<$n)>Fy z;Yx$LymY*G(N*2IKfBxoJ6Y+f&4LqRSa`LI*7omvDJg6R301Vm;PUI$?6L^8J@>v? ziVbcaUPd+73NA?Ka8fL(nLihOnjSFu;b6tBG61EiEB>ra zgt4#VYZaX#lMjVXp}{zB{teBb&^Wv{5z;KmX1591bS39Qo8VULBm$x4IY3 zQR;}kMC^HmYHpJKs&UBm*DjoLPP2()XC)LEgPsge4~s+f-Xum!*GmQ848@>x}{IlOy#-TI3O0P0Lr_xTw!eFybmaCrA% znLBpUYqRv{>htv4^Tll{Qm+tSiKaXO+?p=7lT+=pT0Aigb^+}d4RY#!EUzn1ylZwm z2U-_^_j!NFur(dIn5>_xHPmF1rYQHa(uqPaDwG$)UB+IuO&7<;nVp|+e7%vxn!gzH z`#kkj_>Wz;mXz6xE$p@~sy3}<9FtHdFY!->QQc?m$B(wRG$5<53Bi|)v+!H^%BmD! z_3lqo*(%d7Cw`__z9-!exv%8DxT%Jbs#RCXSBg?9kP+Ho?UTv+G{5*U{}t!@fYIGH z|10^Iu-l$#4;vy#TsxW>_;IvEb=+uQ`%lU54UMTP9TpIm<6!?#YpcM?B1aR+_ z%!peuRz0E5bP#53N{W0os?SDeHn>)Mu$q0g7U6{rPx4fOF2a({qOfAPRLLKw$y$sN zS32$O`1t8W-x0?iV+9__dr66KBu(nI_Wvd6#GVoK9TrN5@M z%I=mGZg%$3)A90lSP>_c4J``tkyfJX9^J8-8wFi94|83Q0t7*4vT*uQO=_6E<=|l& z9I`iUOAlR$%9`MidPB>wyr@=vg-$>P4M9`WYg_oUWlQ+P}?RKcw z2mC|Jib-XLmQ2Q$riFcTAntqPUF5G5O8W6e2}5*=*?==`Z%~p`l4E_Kc-zC?iC6!8 z10A9=cL&RuQVc3`Aeu1qH12Zf#pKZ*EOseN6(?|{o6|G1ecR$}O$oPoE2_2Q7c ztE!;L!0~UhV%^8SYiRFR&+MeG&FSv*vuYkuZApi7T>0d7aj_T76gqF5hS-| z{jarKycty^gQFu~$1gv!{Pg9GSWZ)i;7LiU2}(v!v$w8pX3TtO8@cEyWnS;p>z4Mu zVWg-;ods`wee_ku8PC%2^)gkTtc)%F-zV)7XNB%QqXG$VMEj4RKQ!L{R=4va`{L(Q z@6iZPC#XQZK_U8!E69o7xms#&S^Z#HqcBn7#hUi6v!q*3@d46@bPQ#BIv6oxJAIBU z)q?suY- z`z5=J{fF@z*y@>>eB4jRn{~Xw?VwNv$v$~VZ6q4%wPHcwor5~zs+)V+TV{kuqN|Ir zH!;qQce-wUtSITG*5sqIG+?LFME2BdPKTn@ z6uq8@jycJ+oHdJoVTt%RRYKB~VoDddFxh_|B7^*tuuG}3X0JN4>`-_3_l~t-XxFZy zf`MhwVp-RND&OzyJyMVMQFoSKX)|ljc!HscO+A1bhxzT|dMr2}hqtl_^-52J$G-?k zIpgWa%Y_UO$`H??YG%U~x^P`L$i>vm-^1m;%vU@A%t)BfzIW+fCr$be40-f?rzCEc zLD3)pG@)_MniE8N=%Llg-lLH73om(Y5VVN^)aE>acNqC8{Vv|9GqzE??Kjg|9YE{u(i43?H{vY=FIXzo&J@&R^iK0LD7b~(pb1omUzOH z5#x2!3Et0zei(Nx`LtH2l8e6Y$pCu-(EyL;y*Czky?lVEkoer%`9RUY+_L1(dsf~m zs7+B@%SRBOXY!(D;eyAaweKKts@A#MoD84_7f55bxArhI9y+-9sEcF(1jqt=VDLc0 z)R`nQq-5J#N0YawB@$PoX2^d*{`LKZv5f?n%A(P$yx~eT-}#Z+4%@2Y|7zokkUJBQpzFS#7ur^fg zRQ6Jd-PuYo&C%UmeClX)phdj3#Aea)@#Q;$6p}5zs~4OfUZ$JQX<3jUez)-YWc9PJ zd7Xb@()>47C+|oMlG!tq2Ey{1>e7nzBjjd)`Cjq7Gao=V{tZ34&*tKMJ4tXyBD`J# ziVNr%s@Fd9u<4OSsU$F!*L5t$zL9^rEPT{1z3t)VbW`Z&qMQHOkuxc@f8H+v(&nX_ z-*^qy^JGU8g`0N5C8nYKb5=LAS5HSXGN&pJi)*GUITJ>hdpqnL)evh_V6H~t)ke;5 zzYdH-s{#eWXO23I)8`EaO0MX4ZQio;qrP+9gIcN0rURYr@5XX}Wv$NksJ1%5|LM|E z0#||RWN9ai3}_XU-IZ&lSEp>3-SX<@q9(TaLiH7v19iwYIz_I=VK(p~h^B5$Vi@`jmAze2V?lSv|TNvSy@ z0lR+|<>$^CTIDr+Gd;R*%zEM&#NCSIBSP>o-aY-1X}1)uNL)drPm@L%wWcfq1~iBAXI;99$dHs6Nkl$AZY z>qHo-?gr~Fd{m`=+VP=LfDqAa4)HErjkCPhC(67Px+MJZ7QF@)I->K%RzWG=OMeK3btq1~9LgIG-jICHltN!{r&-Vq*_!1S{i;4Tinq zj}xn;Iq@`dM_1ih zO;_@E!3Lsx(oZ8e(4>{4V6?SQ!O_X<%-PZNP?P1SJh7_0@XM2^^JO|G2j(fJ*r<-GaW-C&{sPZti)({T@9jsQlZ;NqZ=pylG1X7TQxZp<<@aea zEFC{vy^RRDrrUqdkiHE;OwA0^m@}Lv+ZO*Rq!_ zupPJ6nzNfHLvH*`DSfNLKOc_9TX6dNfWv*YAtfYTP9R1kK zwBUT(Eb>txEX$_Ol(`{b1oZ*y8k0+qcpTl)GT5Juh@RL`d?X_?$}_pxbAqdHzo|>Z zMzBv_in8p^dV<2vY=d&rt7@yJ_1(iG;0>-}-pV&WvhfRW+r4 zqTbb{W=Aa&45UnTPtiTdAIsaoxeP(M?_$mr0_AzNZNDEnGti}!G0;20_solEQ`0|A zyoQq&GOFx#R|0M)SskywhJuK?f%?S$WON6wH!!HiU*dGU`}=vBrmBq-b(1-zRXv@{ zmnr6KOUrU7aClZ=SuBQQ#b;uZ`D0Yf*}CGI*1MPJaBvKf1~zh+ltiq{A_Hho%d#*P zp&egp;SVb)oMMR;C#Bcu*T-Rrqj!Y8=|Cx3p$&q62c`BJv%)b7fyan_ys#jXY@s&f zo+uC(Nc5o-#g{wH-lH@W<0aS69uWP&Ck1-5+pxb~G@{AcMB!`6fQ2wyVe@qz%EJ|4 zV%T#ztjT;Ua3456g&ebC9!IW7Ni7Ifx^)ED$K!=qY|f0bX(@wvR3zR+aN5E2ljw(7 zSb^#BXOuNAsyBPoPgsORjiz605Fk!kXG@SyJ~50{oY!kgPTFs#U)-5KQaz8`v+yJX z5L{?g^hKl?86ZTsbog?2i^1PC0xY-Zwx?8tnagMtc^{Nd6p459yIDEDKuN8B2aneB z2@!5|gj~E>ILE?kaavhfq|Bh1`xCrqD;~(+ZbDEzK_>M7(X#sj^Z{F7TQZv#jryJ9 z6>s5J>!qr(?b#VMBmo`WRQvVC!tiYc7HlTvp%9-4xev(~%Dt@X+A8e{`W0jS=G~>A zG;e^1zyyiG!S-K8XnN5Bg&0=nK;h87!9!^cJ=sRbtW_zJi@{6KCp>;*B)WBIgq9=Z z|E+O}?%J*=ZUe|k;)9T`q%Utc7g+O{FZb-L=hDb)PDSDp4CPh5O9GDk2}Vvkz#rRl z>u#(lR$Zva*b}v{?K>xL;C0kj3iL{OHF{t7FsDmCSE;NlCZH_!)$;k@mORS0mHk@S ziwx<~fp~8tMTc*c%r8(d$rB9T_Xi6Htggeq3jKUkWN_`_OX5U#)rA`iP>rS%Fp1Z< z9XUIM+!4nRlF$5k+pnhGcmS%^g)XkaJe$luO(j%vlX%~?XH@$o`)q?Ns*nd)7vPRN zn}GtEs4E0aGgdU{r*d*ZR`Ed$U&;zqNr7LZU{Q@^yTK_>58V@nJ!g172c=@t+?kW)({&d z9|w2GOFJ)AEnOi`G)HdKJ*;sSOI}IuFQDKC1U;zIy!?EL5*ctv=}3J4b;$LOtm?cQ z8DQH$25c1RB+bC-PA8L)0b3L&@d%V3s)*sVv3_dgC@}T$n(6*nP<(otbo34|xTHd- zmiyOa@Ch6xV;X(3iPG!Nv5bxeQkzHUeNs6ushbW+_AUoYrx@emaV1)5IQOs~cF;J& zvNB7>>1^8M`03ZvmCczBJJU*pITO24+}_;naPmR{+r%M=(iBtP z`JbBwsCcz5T%r+dFE1e~XU#foUUkfBJ%*X0`Z?Ki8N!D^sxR@vOgDwf3(u!+FWibE?TaZ1S?Ttx=h!3}rK;^d~^E}fKQfU`UtKw;rsw_w@M zI;W&MA?vsJjh;)JN;&lhNdI#awM~|zGQujZ_^XY5%goIv|0s~*9)FBI)qPa4zaXWc zqGcR?rI#B{;<0%pdw;Y zn0sI5+T&!xO>=6tcRqyzY6qkSqhBk}^Y9|sdZ3!}|0gEy(mmm~@=P$6jn%VTO=s$` zlveI8d}e->?}C9waqG1UYO2qYo^KTVr2nx7E4e!E2C+(Tg?NCM5iCXB`(2JFw!oXF zHKekPVv?ZtVu0oBPSrAIz^0=s_`Y9&Tdq})r?0ws)qw2k^)JmLEKiI8CVHpB>JlB8 zYVKw?>b|4I(}l*H!((^LcBX(3O1K@n_ZyOM4)YBs1KfZgG)3gN-w`=;2`=M1)s4d6 zAz>d5*>ox-kJN$@A)ppmB*Y}I#{L{J`(^J-HQU@?-q~J#tvF`-v`(~B-Dti)49V&n z!$H&;b(NXjwpjiBrc5Vk)#A;RW@Q%^CfahUSKpJV*vD8D5TDquc$&i^(d;<9 zl^K8p1HTa3fnocM*n-T5dy;Bm)nom{;(hMs>Z{_bH7UAp9<*GRWku%;jn*>wG5y|H zWn)+c610-9(;Hpe&ModG>@&6U$X;u;yZbe_qTOUMa`9)jcNe#ipWD{=ljGqVXgHCW zU997+l;DwQP_-J*R<-f$bg2MlC1A)T=UTL+U%Z@8ce!0fXgT?$_EWh;nn@u&vOGX3 zxt6eaMBt#_5x=1hVWKx$F=Lw@XlSO%$#uxxudK= zXhjLTYwy%t>ika0)GXWk=4aC89nypPGv*CLL#}n{a+elQsvs!4TVFV8+&z-LrcaBa`qMWyuff^N6= z-%3*SRjFwZSXwwq8%^%sHnd#kERY^tK`VZCMgL*Wv4Z_SJQ)V$S4#Kk+#$e z`9b+=?^my~-J2pi%74hCW>|iN9|=(?m8J)Z#c~d|Y6QPK?V6N+cfZzd1TpN=Gfgx& zbt(0F+M1o_HbH3dSm>L>6jXePCQx}pg$$)j?`pZimlXeV^!mSk>Ku*Q2EL$B zkRho;++%G(yAY8`1x&f?G%04`t~@uD)&;{0>AEHS=6vh?>4!BA*~dcGEfe@I@$d#Y z=gpPA1T@5R(k6X$679Ldu!j!ER2;V;-$jXIKB((ZyY&1RRMK$Zksx@u z{5)N;!cM+fD@1O6i+HXC4Sx?1_M0e4(;x^yb#M z8R7v@Ku^Wdb3Tp-w|R;juksk-`;3jr0Cr~` zgmP&L&T#-cXj$QKnYxkxP0JGXIojUZY{{YLLfNU&P4hoS<{Nvf$85H14pN*bd<3#FliyBm( z*}K;54R^n$>y7qz2PS;WF=`!e=&0K(xSNIa9)VxC{9uHS!5qd4hTPl3K7iMlK?YHQFM z(om&xmz}Ql?$)&y6CCK3$5Mhatk|AjcOB@9Gr|vSxN0$2x9}U6j1N30I0f9I)okc| zpn%Wdbg0m287($pGdC-!>o%x5tJ-P~FK7MVtiiweCbhEp&eE3>N$$~GQ>pd=az8aA zRfN)B!Q|RVK*AFf5^D_KnkpjMYtWnP%rOyI%eG&>R)01Y@p<5Mv4RUT9cFr8BlSH-KL`2Kmx00?2Lr$FO44 zTrpGoP&UD@%1C&7=_z6(-GiIKbMU(WU-RjUxAf%|za`W-PcFsAz)P1f$gVvr6{y0d zpD!?;5EE9gR4J|hS*l26xe`Hkw8WN(Re?6=Xn# zJIb2Ggxjc^Oq!XrIU~jl!h&B9;x?q`E9?P)JNko^;xnb`YX) z(#qv71XtY+PW4vzxVye?hC;qDx|Fvx+nkyyR9S?E5mmPu)V}P9;;k&p+h6CN^CvjN#|Q8xG$GykXXGtHh++)#*xyj1A}O zD7Mhtuy?t}HiFUALLYhxr3ikOB$lr9-ktrUc0$_DuMQ|a07epBk$mIIdKqCTei~Hu z)Srz)XTm^Zm`lKy+f;D3>W+}gPo$xTKd~AsdvbOiPg?_b1ST%aH3UkeFWl+LSsMhs zBLdMsNRgd)--fvBSB$Qo5{1_Mp`5!NWB(dw9ZFH}@~-@Trh_Q1P0C*}oB zb&}2>6M`__c~83lCw23K8%FHLPjX5o67*uT=~U{(;J{s@qX?Hy|C2x7m-TCc0uj=<(ZP?t2Jj{b&vKa><#Ro@e{t57nKD1H1G?<{1mB zt}u^CJuGqUEfzW)(%Qwsz4_(X=E~`q;hKU)5;s=2^SYEOhalKDpqq?e|NBf8x@dcz*V!0iCWM zN*HS&FPg+B$!wq@*dx#e2gq-ZGEwHBFkm_O_uFe_mo^<<*WA>$&Ral8_w)wl?I>o( z_76QcjAkpzNc8O9P){J{QEksZl@V-?fo}&lsq8d$b{67K)qerO&nuC9F1{dv68C zw|l`{qtwyoHc1NEAvx>o60fuizE)JZ^gP^JOZBpQx>0q?oPl?=7lzti(X{!gLam|t zTB^Lj4+{I0`Q^WH_TE8Fzgycciin_qAV>!l0j2j2`6&Vd(tBtkHN?B@EYmn>sPLSqhA%RnbQ7{J(Eb_nF~qAmbpqNbIj=FaL4WRay#3*)@gR>t`^CPoFEbdGn^h


zqUHKT5LE@h!;8r4_km>vy5(K@DZbXkZ^T(}@8lFfxBl*?kKhEfTE@b5PWJxn4)H|o zK5~VKy^3OdShnJ#tSo97V8}L}nd4_J7N`?eQjU%COmF4Y9I?0eW5|ApJ{XB8J*3&( zaRS;=9f!1aQ*k4l`y0qHA`cpB^ip)%j9_vrS<8MkS9{Y-elSSSf>P+(FlX16F%xAh zPnm#_SA!aLyawHYA3xoJH#m&$2nGuyoPX{pNOkd^@%t$!k|x`W9FdO$x8ho3q4iUI zbRSzv`MUk9ZA!WQfCrvCrSUZ@O`7h(YY(`1BMKVo>QwtP^?k}FO#^qQu4{dnydIAc z!UAn%iw|6jo^neQy=R$SSSsDGo2p?7+1aHv z+`2Uc4LmW20h{A>Lc44l)1PTmtz9asScno%|1#C|Ab6$z!Xc%HL$JnPM6Tb+}x!$+`?d zih`YMLgn4V6ET;C#dF8;TX01I`W_6W>8ByE&18LnW_(qrf`t>%lNAxL?o}P+Gp1wt zCeZ6YI6rij9jWPmi8?H4g4)$r^*CF{hl$ zKNl|CK2DeEM8!fOQ{I-F4eT!X;bmlZ1SVYxjjwWQp~oz|O!G*CU3mXPX;b5V(Ze`@ z5dnZwOdXx!PU6}of1{Ggv#56ExOlQfV!-3xx<=%@pZ5OEo%P?qrrXSob$tAG_4Vnp zehxZ$DIjAWI_`gx_anJX}{#JEU_ z)4lwqb0xadh|M-7BDxVM{OvdrUK63ye9aeG*ZtGr^=vnkBRl!z5>G|)-F8YSElkT^ z%}ZlZ#lmJ9p#ijLWdDJF?3`u8q8Kq$7esM@5%XEF>U;Sslao=BL7eNGskeq{VEEOO zSUMFY2HM?=wQss_v5Z5LNtw02VXyp;yKG(1FMXyZgbNO5RsubfeKf`3JdVez^V4&z zPzIgi=zJ||0b^+;)pL#F)^q?`lLB*xIyx$Fs2MnQW4TiG)G<)&IAh(OKQuK1$0ve#1s zWmWmG_fUJ4alS)>fnxwsU7br6sTj5;-w-ICB1`tE%Z@>HjR%NS0KJw}Pv0FM+ucV_ zSm!<)a^J6tsB)AdXPJ5TI-mSx1M+&@Eutyw$Hrmv9=vk8NAW+q1^&fjPJMgMED=iqo=Sltfh+&PuaZ`qbnD{4 z`uf#^zcq&LsN?9qg_s4|1n2Qtmhxi7O}$dN+ z#74Dqx!f)V0WcvMx&UPUo;Xvs%_aNF^Mh7D*_Dy^DuvTlClh$dOo`=5uc3InJP}Kx zR#^}aPB0Xk(qh|bTq6Gb=G#21HPE3TuOxX6*o>^{xd24rnO30g9Zd+4&S74<*FyQ% z#eOnzkfEU#gO>{WXJfU+5aw#5!w7Ua;fi)|IEpwu02?FI{U+!ppL+df4YX>Mr;($Wn(tAyaPfe3?hv&Fm;`yLp~~j0lQ2JUpt7_Bs0b&|Hdho!p4! ztCZYnm&4Sbsp2&ueeehC0bQNw#45uiRiu4U)uDAK^xb1(neLV2*^||ssX`_e5TueEU&Efe%-=XWchN;-^Mm%gukp*mJ{ygXo$FtDkfIV%H(=w1~QTPp6Py z{~#o(KewTV3O;P9^mKJT8g2a z*w83713JwWGkX|~v1k2frL|#T{ZCWyIR)4a&bW~Hw4-}H_vOBl(p%*`7S1Xep|h>< zVy4NZ3U3wEas}#u*Jqt3kOjN6O>pmRd(Z6BumpjD1=q9kw*M$NZBQ(H?QSVTY{{YS zqNVD`{J7ycH&!X@PvhJ5Iy*p5el{gd{M!dVBCQoN5+@l*gpP#;<0RdzFy2v$Z>p37 z!MwtqRhVAxWIa5Fl-DBLG#uJwF2h?aC5-*Pf<_9{%)P8ymuG%kGg>{v2hp<;DkCkF z@4MhmZ?qhL7!|5-*o#*!H8j{&E4fI5;M${d38MiisxNq!;5Rbgs93z81fGlhW+W|O z%RMVgYQMciQ0Qu+9P&KBh!#mb7v4C%5WfsGNa*2TYqAr#zfgDwRg!-s*dlrAfX`Vg zlPv5Er2WHaV7F){?@i{@o+VK@Fp=^zJ|kLU8!<`sXN53^*mA-Ng9FwakfL6JV`O?8 z52$~yFZiN{w|s0_rn8s~irm~LW2Wco7UwPSK-fkWJ1uI= zdwB;-d?oo>z(@Lz>yWC&f#l0q(nk=juukPnUQMyAwT!Cc7T9MP0%+>UWHw#v&2AU| z@nw>W9!lyCW-e{@;2G;9^|zWX?Uh-V^^W=bdejHWrfP?-@ZJ?z`?#SO5Z)56A;Y^JKm4Ei^dAga%w0*Bcm_QF zQzECBH(2W%uwhTZQshQjC)Gw854_N4Ib6x$16H#z91f#SUN7t5I6bzpf0#G2nqvU4^wqqn|Tzq zR_JiuO>E{n!hRC4*cjxB%5RbDuPx4 zF}&;oMq{TZ7uH|a?Ab~dTSCqdl5~bf=VFT)i|3Y`Beko8zZeSTES_3Wd#N{`99u@l zHISsNjZmj6PW}1NIeJAB`80+7WzW;~4|zrzXO4z5H|p7jwVI0*5Wy~)QQ)TL4WZe^VuU|1`Hvtc3!oBY(+Y;aKmq;woveW0C z(9j3;?pRWlIV?2^$C#t!Yu`cQUb93KCrGPMDKJpMe_fhIX5Q?6!@K3~|5Lj39bdj) z>da*9n^M2y(?!$Cn@6G08aVmr;Lb$OfkJ&mHf!U2f_`mtRm(gmaQnZ8AF|||$oPkj z5(*qum9>>La~c&EcFHR$PgWaTge@gub_^x86y!5%{>Sbyz$!0|*=C8_077MUKcceb z`Cze?sJ~V@oJrgcCiY=rmARWYLnY`B5b4Lt|F6o7AcCAai0?5RaMw$83{vJ<^ZmoY z001`U&j_T#O@SQF#@bx_)>227vMRi}50=X8nNl-<{8;~$;(dl1ym`df#n7<85w@EC zJrJnBJ6!DuK9Z;hqEwuAE=8v3PF1$7{zt5ai2?^kJT;uJ>AIG4;UJ3k&xZ3qfKq$i zJkYCQQ;y>M;e|1!OtdMLUifAznMr>Q1om^JUsCQIdm~BV%_d+ju>LC0;OiAh$(F|^ zI0BEWtN;u*F}^mA7&5^%aGWpPC8kQ5(9U7?9VjL+ur@Rx)(Yuurw6YOyL$dfOoT;c zBPX_ls1HAK#m#r#3+IwG(hjw9$IqQ##Sb)_zZ|%Cn6*0Pb)u4*X>(e|r0KDiY)}Ps z_W_J$hsRYuYY?)r`r7Yy?+k9#5-2mFIr!qoD?*LC(^}CJZ@P-=nQf933lq~~`rR$Y z{U;7j$9L~^DdY3mhnD1HoO;ChB}D6R?}FXC4T>n<9E(u>)oM9U2#T*Su8l_S2&g+vKv;Uf?DkgE zT0Ka*m9CRoy+)i&An6eUi%7c_Xw=dcyw`|(9W{DLZbumChkEuNeNBKb2*n)>kJ{(K zw1Y;(GnYAwf_Pgm@uX~`loe3N@80Jr*^P+qo(h7}%M!Ani)spdV7HfkQyZ}o2hRW6 zc~Ip6&SbIA4v2LPWSOj%7c_62WHuBL@3s2VTvez$$c*4X25NQs4C`r0w~u$DJn|z_ z70My%X&^fU*eCa@qa&MgZBUEU?t4iR35$W8zkKqPal6N&^x~4Js@e@r;`m4am69&w zD^@DG7+usJ*`gU1uK{ zj=14HB$8khUQJQpCRk&t0ceGJf?L?={yKnoFNg~HIe+im@_mai-;z;!qJQXDN}VtJ zeyBhy+27W?*DT_iAmDj*>Sn^RF`jMkxL;wJUOhz;dHM4!Ft&<3>Vhhh&lrUAE&W|v ziA+CsBcp!B==H=?ie>UaK11>C63Tn>N^0NCGWDh&uX55!R0n9VQ$U?{F@g1<+?~hW zCprxu%G+6uT#1qf9+DDeYdIbf3=nqCk-nbA3+k&r7GjLg?tkYDYSS5D|80~UJ%lzU zugHxo9N;b*JE++)@gD&bCu}k%Gky^IR-a36U$lgpZEU{c7|f4cNmx%{l75(MV-=j| zc}EY7(!9#;0Kx5OwKPtMe;Jg`geUP2vtA2QJDS9br-^9GM!LgmS{b4Ji!{A2GIiT57O_# zA%#k{g)g7;TGIjrdiFf?Cyi>#7hbomragXRwfMFd zkgRSwc+Ecj^nZLr{~s^-6LOOz_tTQjJ=3W#1jPLq2o5pt!A0DpQP0^mI|<@kE&h5r z2kZSs>n4}73ARmZw5_s{V{9QcX{w4&DRhefe^GnM8vXDG-mbD#K+P@HW_W>4+=xys zWA6o`Id?=(77TK_@}M8$^LjdJCP&10+s2uPin06ci0_s6JFGYwV2JfB!A?_AV@Ix; zRqLb1SHzp^QvJhTADB1AfA>bj_Mo~}t+jT?qBRFh59idauh^+HV~qqI=k{{`q$AoO z?q(@}iHy;L;r^;6P+`qIjn|uQQ+V*-aMAvECgrDHUL+ zuIK5~i)nNfiS^s2cU+`5d{O$YryLsnrElTPFTprQy0WSnC`#K5x7jVXQzjqW_>_VBIt z{uDGITR=~WVL|yqzwZ|Lm*$*!ioB~Va`k`&7B&(xG@o%0& zURK^LF_P#F%m@Nlh(7ADob&zMQm1taw!3`A9;n%Y-n%sV)AZ`wU!sq$%I4B4$}pMZ zUzaUggQerr3cq~T?EWrK4p+uGGyRj6u&_YH;+CZi8N`+Ma8Ru|upV|<_7VLDi2hX{( zq;dULwNUk9U`NLz`|h{Glb4oR^_Cg713TWk0n}@jG>#a2Z{VX=4c#;6ys1UD9VZsG zftIb{**+FZ`7rlD8m#M2b1ad+A~vneG2Y5maJGcM6c*6cJ+89kk4g5Yl+tw{f}T3c z(UDiSPsAe$q!by57a>WS#M8|A{lkkMW}1 z{Pp>kvLw4*W7XvA%bG(?(kGM=4m|+qLs!!Y{})(S^j^CHLkp&BxY08JVHzD4AZ*lI zOJY#byLGF_O-s&jM0}7(2mboohvNEv0Rnioq3mF{)H=(i-kj&ml`fwiTtbP?mQnIW3A};Z-$#FU2y>e1nXnEJIpJv^puAf%y3*2>qK;?H0I8-BO-Y|82Kh?b7_gcb6i> z7aaii@O1u$OyS8ZStMi7PRrb z4aF&A6_RQ8jHz_?==nmfU7rJ^kUI*#KiOsF@K3pH-e)sMp6m)$FIf`hrvNQ zeVYm?B5g6mPpJUQwNPHs`R$t6!d^IqG~{dH6(NMVdO6L~B8bswTRd-+)jEF~;=+D0 z4elz8DmK8czr6?ukc*!XIb_IXa{MK8sI?CV`!*ligBwP^oW=5}Gp8Mty?4uRho|h{ z8Wx>EI{M2-s9?0B_D>-}!1%W5@rdOhZ(%HOHKs}c4iYCBTpubH#okr)9g?lpmor>_@8Z@?eWuxeAX_~L{$oASsU6Eq z5ZnJ;P{gaYr1v2>s_OidWPzTMG4HP)ck{wAJ?%jc{Q=|tfP&p}_$;#c&LsaF>(HO> z#XD&_$a)rc^NG%hu(uP=CK4@w+_UtOqEp}a;EP3P_-k_LulA%9ZF^kq_2R(u)}ATi zp3;UyAqN&I)N}3@@h6r!mpzXsro*%_f(;{Q)&+>SI5eT&FZcKQjAJbhnb_YCdYax{ zi_YI3-oIbNlY~DF5(~`5vDN#Hga+QLOHJb(-8Zk=g^Z@}?Oi6qdj$2a4guukyduH> zpMifWSgSKDr8)TA3Zws3;PP|}+4^cfmHH46{1^~MhzQCons$k>Oh(Z5)6 z%8ZBN9oDEr3amzBqOPcZw;tO8Xb9F&5z6{jdEszt1eKXDV0Z)})v-tzR&sS_ zUErrJU}Y{4Z$=+=QvI@^xQz)>zRn*0Af)A`xa;?qD8WSeVK^7v9LjjP-|$9PF7r^_ zmC@j%n9ZdV+$pwA{)XeF>Ex*|?=pZ&I*1NB)+Fjt&MYdFD39rDC6?~K*E4aFhhC0{ zc+ToBz0F=a=)2Dpyb|Nt%i_IfnK}>$(izEC|MGJk!IM1SlvVGcZkK*~0w@4sS);mL zXrU8=-8`{vlOA*0YSaWv*+MB)su6S~5V?Jo&U;3D3Ega6r6mwe2?nnuuLo~7+Nwh? zB;wphNhdG~%Y3ag z_eD+&SG8|3aR2Il7yGfD1p#waJ_x%K*=;8Mz4R1yrZrpp%K457=&HLk|J|s?&V@_l z+gq??U6rA5<%H#6NXm9@_^kWNJ*hN^B#_p>&<9j`w@=l91Mg zCMK?xADY_59zl>LKg%h4%uQ!;W=eYNWq^!>t9WWu%^r0#2FaX@>;tcG2Hv2_d<}#| zeTE$0#2rFlkdsfOS;nB~*EQGLPp81a)?>3K0%G5s>o3t5jgcZIe!VuHALqUnOG!n& z0NhErn3z|ht9I=iU~nT^Dq@EFZz4NaTxRyyX1=()O=$R@09rS#LkC@bycGTUF3pPi zWP#@!@fI+Cjn>&LYmD=!77nNk@AuVdPgzTm`N5Bj3d(v(+YDtv%f-u8@OCO1C~=Rs z0vARjVN@)OXWIHXO7n*_Z=8@8kE`_0i?uyja zeQ?zdU;&&iXvGcN6GYrF2=IV-8iL4mS6;C_bFtLQRI>FXj zug z-{S&=Hg1w|Pu917No;_=#!G!`LNPyyr7spOH=`P^#|WmxEGOaO)%hc;d$i+yR8Ca( zBttzcvC6oYKX-`l7@H`9d$bb8Eq8!ZxIXS7u93aak`h||nE&0V?f?2)HwG4V;P1Rj z;oN~*#%vYMYS`T$$PJOxs{sqyrYQFvownBxs^ za4`@G)GDgK^k!eZM2qbSRtdHtP6(RG^hn$5BF8yj$2s%GZ<(B(8jK2Q3`6g7hv?}i zr;}CG@*D+X0d<(6mw9od)pg7Qr3`I6Y@M#AqtIvrVzE-gl^{W~V7gtbNIcM~XC$j39X z50UPfs|KGK`*!s6vCZq2_py%WJ?a>zT5FJtsVA}~hlfKH_I*V{#7$NH8pZ#SjXA~5 zBex8pRD9@6KIN2{bUoO54+=qQsHl!T$)1}wEzGSkIozObkJ-Pw<-BT^nKWb6NHx>b z6`?Nk6{8YDnaO#qv2{Lj_~!fY(6w+Q%er)#zlF8B;09c(Z@IGdbm+zEpD#^6$It8| zvGH$`I)LXnc|)!cZ~kvb#3S~CX%E6Q?{fsvWOr8;Plwj8Efd@-8OW8eRojEnHLJ}pxL z5VBm(N{uI4HV!L4o6r1Ck8LMF9zE`kR~dkd*a^EbAXwq)ID>du$;B6$jzGEJ%V+^) zWf;&oJ#!JaI3bsQ=|uWSYj!f1wlOcsB9;mx7*&eX`}I2M_p}VM>V%jjLV4wz{3UVx zmU-%DIUX@y_G&ZkE06Wf5nPqU03LATh`XRjDsg7g63Gmhc`fpnsCLf9GSu{veMpLjlf+^CvcRDz%e@2n2IJ+uv0{b@h5WWs0`M+H#3 z0f-NBB)>0Ox2NaSFwbvpxEav9^bV^e&(zDZs#G&DkVd8Qd_&mGc{L-aWeY4p(Os~v< z2o&dzNdz9PZ{6QyWb+R1T600?tL49FmrIP^^vI=?HhD2%I9(alJVe&?s>sY?6hyNt z=?2o4PT{3bixI9LHqnzJ-E{irFu+lRwDYPbtPDulAs{GLI0FIkZ8=0!DOShCTU+K0 zP$+9BOOg!m11qbX{a{1FU27b=;?_Ea%6+npdDW^4k^E!?a3>Qsq)U|vEVGnTq{7(G zxj4{U6z~uC?Xp>%7A719=MSrm692+CCWj1`-JvrGY9NxMvND!yCFOC=+fhk&OioVi z-Hrpr#hMt!`n()azRa3C@fPCuB&@=kIpQy~t8I$Kb=F0_uH+4Z3T?3aj$%k-#Frm4 zP$Ek#9e+_Q8O;kYSqcLDoYq`3AKZ|5s8cB$Z~(Q<#%AXYwuc?oirc>xC_`QYk>2*Z zQ9z4gzn_*J0dVsXD?c(W*=W!Mx2GJW52RUW08DY`=j*yn>4%3t0%dUb=T(D$mXx_U zzh|p**B76=Y@Mf=O(U=7k=KlV!0eG(cLmcW)cCc<;=!aT^L3WMA#bs48bsiyY;HE` zi|qhK0*eeB^iWP~%~Y#$cj+mC{a_ZdVQ!)Nj7EkgZw2Pt4+YXWDwnKe=2n5seMNnt zgBnb_IhwiJDLWELXXfwx{{OrwcUX>Lp5riCzpO+uDwq8K^`2@>-d~XB&-%}YdvqJC zs#~;EwM~b6lL~roO|l4WoSi8kVZiRpr!UoBa$TJ4AbQ&k95sbQ^XB3PYHBBH8p7yu z5_+&nYy36IZs#%{+|!Kg>-qEo1xlr4_ik4-2bJ4|l6&7BKb%XG1 zb-8I-dxFnROiKB2vrl2aqve)W;=J41+4f9_b@ga{S8j{buDLYZi5K z{u7%Kuu8mI=&!|nu4j6miwr`pHhQaeKqQokuwRI~z9c$6Zb_;U3nnyb53c4lR^Jx{ z5356??-)@QJ)dvA_34Ryjf|__12~E1LiwE8eDm~T5Jfn~Gry_9bi=2wQf9LP4tgO; z_J>B}faZ7>S3C#a7K%E~Y^Gl%APWMGx{{p@W7+yzo99o-dB+s)5pLxg1sbSy3pajL z;VybcOQOGh>)KCe{i)TbKg51w8G86Tyq&|veh*qoaPh^f{(xywU+V2F{2#piE(slO zH%R;6x<-uJ*lR92=&?Q+fsr+(7)}o=>izO*FRGNK1LbGmuSj*OVFu5S+gp+%Dl82K zL4EtD*CUy0EbOSt{z=_wltr;V^o;K2b`WnE?X6ArM|^7&p7ZM0R+Ve3_p$)t{|MbW zSHMrjg8V4H7 z#t7+QkyoS)n74u4Q2JH)Z=*VNG*tO7k=giBne}A#2n{HFZQUexi}zM!9jAX~-se$! zSr>1A+k5N0<9exp=b5J7C<`#bLhp4bu%v>NRL$>Y9Pq*x|7pwZdV6EDG44+nSqfZwmwuouSC1mS zUgjp0F67tbI4$WHS0{1j77(|d6u@;Ee9Q8xb%D5=8?E%U2P7YRC^W?k{*XB|<=gxv z;zT5H_yfn?uN&pnZ1Fg+b@pQN2we;+0;iF;Xe>WqGQtyh;IuA`>qr=R)yul~puL&J zi?ZgiYQC;W?A(O@*p`j7q4ifgEZ>rZQy9>bFS}*qTO;p5WqlfYy@MT6kDsX5T6jGZ z`SyBb@05LH#{y=_ySij_la&q->Q*|<;9Im1SYU()Jn^MA#c87#J3=KlAIrt58HOkO=;r&`-t2X)YEjxIJ&rPMSWRrJr!Dp?an zakj_homuZX`fkPO>qY?#oDTP26g?a;2kW4F`s@prj2&v}g$Dv4j{Ec+yqjTnY=f%; zz()lwBsihzGAA)kG(OgOHS%;LeW1H^O$mHy2c4R>3$1-^DkbNdX;rQuDPC$yB36Es za*>kIDmp$EkaCsXP4loUqp)ni2<%+g4h63Uh5f-k%H3UJK;O;6@L={gWpHD=BWyXg zt|9RP!n2w#w6>o}Nu>+?{nlX*l&(3TB+I{3-17G<=YY!2wzs=oOql*?8QM9+K6ZYa)UR>kkQ<+mrZ?_wVapM&E~7I)xIl;`N7nqkR~COdYv# zkr7g9sO;)yYuya|sq>GVV$47VM0zYEPi<>CU$H+0jv3?RfH5%z6FC_b8m1uEU3~{?pU<@%i%6TRvwbvQfCdL@}-wBVV9gI z3%E!6wck(VD+606u@PB=n_k?u#!IfW%hXQ5Ng2G<&lJknBJ_G_B!B@-kQNJ6Su7;APVZgm`vr#5LEZUvxCh6Fs4* z8EL!u5ysms$G;vu>PLxyw}OrVY&l(0JaI245|hBl)Zq&p`FZMnZwT$ch54&1BM5sJ ztD#^RjX&V=Ic(;LP(Ogv&L!vWv>3-b9n5i|-~Rj;YqZSUlP&A}){+{Ho};Hq zH)Z~c-jMfK%b_^-HHby`E$6$)5|D!D(%6N%1e%QHoF((v-Qv}{^B3=InTYl|ilvNv z)2<&~V$t6A4$tc9D1R6AuOHo!o+Dx)SniUwc;Vrob~ne}zGfQKn?^8}qs+L_Kr5XW za)8hvAbOp{0rxzqqM{3!S)KnGr$~qqnnvDov{`OszD4RKk$Gj~i_*c+pPOO?+i#e) zTc?rB4}4Ny#B;&!!W#}kgor;Xy#hel;o?ZM@nk-=_B!>ndHw3?x#h~1<}Y!N_3{t& z49_Mp{fSFU>WyE1M5+&P+)-lfle*m;eo!pcpUpjSCePhzglA89Jbm7-N3vHE{UogC z!KcC}<#H@+_c#g)N~$x!Y&w$tJ|eArTGRC}rPi2A8+HgHax=gkq5DTgjC$dzs*a7` zY`BtVb$XS^j99atHacd>Go*Pa&YeFr<}F9P;tT|}_rU8T?$R5J+LJThJ^YIafAM>Md!Lyl7b2B+ z*tc6z`K}j$M@Zfj!0eSs7d=SOrVW6mo;WE5uPt!y@9++wRnoq>;7;!O`5te;CmK(W zPk7;-f;7tRoZ(r7*3L`96OhJLZeNccBMbDJ>w_7BpD_ibmG`|5?D zbJ{zTH}fX%7F$5;xqP7|8seIge^#3` z8FxF>~!17B~aVdTX95 zz^L1GV`W#1PEaaCvhrT^{w3#YD#z3n0IeLJ21T? zt?(sY&v{x9!>LXbhU4*kq25|hL?XboV|8a`yN5~%$cs4%{OI0YS%%Wy+wOQ)#XUML zDQet0OR&P&A4*ox7U}9uIZO}k#ncB-sbM+L(Z<+6-a&AXOiHX(sWBo=09I%&CZfvj zW6gZemKE(LHFAf>{~gvK0Nt?G&b(-^IL(AHpQ=`0^{6;_V%19wl3w2ikL0Jl{>8D| zl(=cS^J{T9(kh=RaC&z+vdeHe97k7*ZCEyfy)E~f@yL*DZLzUsdw2gLb0g;Swg`B< zP?t7$MAF7xS-@#bC{HPwk-^E3;mHNvHamsF3n;HL8g}merG-UtEuMM@Z(AZ$a#cdW zaS=M0re1xB+oxpq{h~M_-UvqJQhO?Ey@b6|1RSEVJ^Zj$&L?3RCwG(QFNp4MAJHCD zU}}S`n?fY70oS&kCT||G=DZs>J(x3Ie{P?&V91t$8Bul)RwT$TUz(WN8abmEhVpj7 zfoNuFJBpKU2AAhmDQ!}6x21-B2+J!CG8c_Zmp3(J`Dt3ReN}fo0vyR+6oBk%cMlLN z@l?|rwi6q1LO_!*VYHqf7Cs=Y(UwtsAL2-=s_Kj_5bs%RahgmzC2ICwF4)e{SAw$#g&%GuvAxM{@~t%*t-!keFe7dL z8lS3PZ$?+Ew@6CTd+-_ePyD!tQY;z^?sI-f}M1F1W)gbFzG!_ACCkS4mu{3a`< zoyPDRG7y2QVO8>vJZt-RZW=-eBHiB)OP%BIk2ZCf%aQPnZDc$h0@B@_#oVL?=&#&0 zJC?t9C(sKFRQaO7jBNC5R^Yx?`@ z7f0|WC!bD~Eq^{{O)jXaH9j_PIjP@hWXqd8yFe3+_(qCb^Nn>nhEIyFoFko@=>0rd z^8gNUH=?x9afL!poUY!V?0w!bp3KO1OjrzTV1YKV2%mC>XHUx^kGAmE?}2d`h=lkp3VzE;&{=U+Ow;mt3DPm%^jtCxu1%J zNL;!aVy9A$(B{-v3iruaDA^bsJeG&ff>5s}!~P5F^J6*!L<#frUS+QG`(<0&H`Emg zcpg%3NoXV$CTkn|FoCrK1#Mw@fQD+q{TJ%}L0D@(sYy-SbUktfupd=4#KlQ_~fdD$+R=f7Bu-nl>f>cSZlT&cWN)Ni6yA%0f- zJAl4piRLC#ES{;yb(NRG&wO$t7AZ&%L=Nh-hoO37UT_-k_w9T&9ku@B?y-X-Tgr{{ zZ;(h8t(1Sqp^Gg&{B<^;Q+u}-4IEh4M0n;cV=cyy3`U<_Q=E0dGV*2}{TAMO36W=f zQ+_4YGc;^U`U73ly4HHzv6XJ0;1{8Q$}Anvx9je?m^n<}KM#2I`lF$f+gNRi_fz8M zY|;o=v0C+dUyQr1Ybcw!h>Wf?8v3`QbA7(cJ9J zkT>`D=_~)+3=dZxJfoy_H7g?s<4dwu-KItp9{o;_2ENl=d{*K?0Ft00~ zU^^=laW`Te4P{3917e^qBhI zkJ=ay);@XlM~TMAs?X0#8}L4vnHzujXKy4DqbkXHl>dY18r^SyJ1oa)P+T@GguXK+ zEM3H=YVyfbr;qvj7=TmA6SQluoZ+P7aM;_a@a^F|4qXA7Sy*=KBRn%<4ZY8_o+c*7 zUVD@)XGetCMcazag>}B9gb$s^xp&K9o6SA;sL6tvG z7K9_yvr-By2;yT?btcD7^oaO;>T^7PY?T>!piG2wAze2@0wR3N;s)pBiVJ{V$T~RrnInROniEz?Dqt`EeZpcyrs6^QVjBkOzPx zKe~OgwyKmq0BID&;U+2ETP9O@$F5mX??U{*cwyIEWl^$%3T?*7_~R z%x(TV$*(Hv#205se!z`18<`dp)IsfWpnFpJZt#rJBWmMUX^lJ z8iR-NZ~ml2iHkD-?#%VbFfHd7UBBkE)RinTyP)tyj|HDczCgTFPaJgM+;ob-b|nzv z#&9}6pv_{Slx2bdSjMDUvY6q}|5ZfNHJgewhByLSdu|y-+;bjazeXCy=Q(3BX#t{H z6iT1v^3d)fK%mHoYh+$Lw~yI-uqiHW&7`{t`Bhq^HrFcX^N8nVAAF{^!5~#s5u3C*is&Wo3lnpa&KKYH`DHra&hDTjSYqC2{mLZsvW1GG- zf7%UVS{Ce_>>h>7RDc$brWXSqU}$rd^K$7uydSeu;7p55I=pRHA&$r6w#zJN(20!9 zRWV5$yIM{e)D(#BXix7>2+FJeO?c4Ft_qNvH+~}UgMCqO77enI*>2DPatgnmHj!>N zEU*-AOE+$(ys3bF6z}BtsYTHhVV^RiH4(8u;)oGYe>*T zJTgp!#dhm5nKfOZ1#6y*drWAgLjBE)03AbWWd4Hr6t7n#YF}RK5N!wu*K%qhfa@a! zGk!77UKkp^+8V;#kxic_7Y#+4o0ycrb>{~mPe~fAe~G}eDf|b8zsJx46MGCbsX-f2ykz_cGk`q@C)p$LiKU7oubN97=Ol9Rl0=+IqhzGfR2j4zi9w9$YVOW8 z*Gz$0zAReXl{(~?p5x@j<=|a-4z?E<%G{C~m{o4+WVmGosQgl5^g+PnyR6)(HIHUP zW-C|u-zlQ5FFm;=+AJ2D*qqozQgfF~QPDvsk zNTaLj0fKwapK)M8UeioxQ=zRdlkd&r=h)GU7CD6RaF@MYxR`CT^e`Y^62A~&IW9>? zF6X1isI}TTp0A^@mpZ%rm*_e9*8d{xJ%gHT!?#at4;B!mh}5Vw=_P-$C=2BT53IS=1^5_NGnZSWy8r@)LdX1bmB3nT{#5|BvZ~DT=OkPL>FuUL#q% zfXbT$#Is|4l9$Qv>cJs^5O{sy2PMs-jenj2tgg07FJphKo75WQr9zYP>n}d&UpWUL zUW1A@mqj?2r4y*Ls-8s(hUsVm1}j)qStWJL;(LR~XWf2)(}b52J#>W=b#X1e@d|!nA}wq) zk#wb2KXs27-Vo|?5%OIF*RI(3|L;EL|C)<)D$Ft)P_z@?1FCk#lk5ziFqJKtTy~v1 z*}pL%F!sPV^zeg$v%T)vK(Wv3Q<~3`eDzLT=l)eim-Td_k8ZBaMxJeq7Vfi8dCNhl zK2m4d5YGp&VvoO1sQF z(SmtYqnH9tAm7^LbpANqcUjx?S$U|N=iE&R_{nZNxc$OgYNs)yhrG4)jidtuI1Qbv z4^Wp+H+Q%Wq{=xW8K$~83pJ$JLLgTP%88S)`$LG#IxrMm6uV$}XRP5c=5`}ohc*3tl}FpsVy57MYrW~@7$by-13)2@hc z^sYOL-i=_(UQt{>E?o9P<-&5s|UKTQ~Rn zWam(tnn7xK(%IQMp?Qmd{@xt;x+-<$4oMs%@#Tn-p# zS8T~d%IdJP<4XzcwFVeXZco#QQZXk`O_83-=Byl*mggwnB(En5&B%5sZA*5}&Bi-H zxop_u*&U^rZ*v?lp_gIy@ZFKa%D=;hQ-|+MNo#%{TYy{Q02K=3sW%{JTl}d9OJ@QT z9&6v)^0>gw*($!~&Uu&Q15C#w5;_XZS8q%%>3u#@0lqN3_G_Itc4#Em@76~nasEQ4 z`yyRU=iReg8eJc>4(7&~)`-qaun1Rb>)`jHYuN2Drz;c2-KJLlvxu zra6fWli>qaM}f;r>$RSf-EgVU$BpBsy?e5cXis=nc;RG8A}WL-wW=vFs_t57>F9>I zXEwW*dcqQsYUcW-u%E?{_&nP;Fy>>gU!!QTm8w^&s?p!0l$6br9k-MGyP9ywtWRau zX{OE=gb4b_SBGSh?@Tn%&8t4O?G4DoMplJGgxZrGXVia_p1FxxOcOB#D9CR&|q zp2D;HBExHOb6FA>TKEXYn2W32RdmZTu;PMioRL_VW-J}=GCC)AYJSf$?Bt$1+v z7V*nxZ5`$WQ>5VWmWv33r$nH0M46F%a?a5>+6uiINH0z+(sFt9@Y95XzO%IbwMX(F z#n6K&uYm0AD~RX#(z2isb1PEA0?%gyzp)s%j{J{lqqf2H zTGKTTHh)@fFlw@NvgBNzq>8Mr_57z7bl9Rj?e0>bc=qm>LWo0~@$M_>jc} z^kqlTHjeR0a=ZK>F!v^5Z3ggZq#(JQ3}>vqfKMWGfO`Jch9&`~h}MDjfey4RYOAPY z0fQ85L4_aKPx_8xNjIE<%QQD5fgLci7y7ogT_Vo^v&IeNA_9fI7yp?)BUG?v3zoRM zt$RXh#8U-MSxr|{D;q_rXiw&-`bRtf2LF1Ys&gce@CUF?641Z?zl->p3;Ri}Htr(D zlAc}(MqkU3TT8 zi{XDvr9oSpnlM9$b8}+U`Gp`hvyng*5`@Rs<{>3^#@&TX@2GN-F@Zi0#B1BxFA)ug z>VEyjAqd>{mx!@&uOJ(MxIB#asSX>3AJyhvx8y0{2?I-iRa{|8zS(ONGx(27)#>9v zY4SjXjJ&iB3G&Gc>oOZ`fPom3vfXm$1#)N_n-zTtJ zZQVH0_*-}$>gl3v^|_qc>9A=9&*Wa4x&Yc=%eN8aX4Y>EHHrAO z>Dui*1#L;!SZY;?Tol6k)0zJF=>zjJA)a;{PC*>5jjJo66xtO1<0{6>`W%QNu|`rZ z+P6Mv|BeY6u49XYm8G@;w-Id%tb`np0j8Vu*Q}t=5CG&m3I@*j=LjF%g61?$4jejL z{wqc{bUH=zdGPvmfbL={h@qkAd8&h-VFD>u%{+k^Y$GsZTbzKCq7bh^=2*K^_}ZMkRaXx`{bEty%G`G8rlQpSq3!MrTQZM;o)<&4?@{2Wv|Ur;NP#pzA5NBlt?$clwvf zR(#iw3Aqr&ju^0NW8Z zFv${W7gd*Uo|g$SZ4UTlof)OHH`=cXjrg+V%GOs$Px~C-A5A#toB9$D7aX7KYCc_x z&7T*#$A6|OE@-3nB}KtFDzw2Y^ck?l`Tix@8nLg-Q2K*?<6m{yD-nww`f^~hEzyU# zblk-)CAwHSd&1u%_a`S$$i6NWQ5@^L#cPbBw%0UqA%NhM>PA}Xiq-OQ_ zL4>S~wD5?FREyc2x}DEV7?z&2fHlwiIqXiT9u5eopCBX|sX35tU;LqWIDWWSIq%LIAIo3| z@mgE!dsEnlr}zTAMihRyes|X9dD&$rz2|(nIq8hQvxXAXMrrE}F?uInsO`vH8>eJgalTp`ti@OB!@!Y*130@q|M={m8Xp z_7s&BpY=Zh)fXpdB1*Z<|1nLW$Z@g3e>MbRQ}JDOy_?5K#->fBWblZn&xC#2mk5{l z!iN4T&(6iSG#svr=ub^&=uac2`sbkbnKK-YY8tpB#?#fU869^HrOU;aXd9c{^a6EI z0b>*?L1E#=kN84iLyIHeN-6BD9saY9py9F6X#Mt`)@nlv0UC0UQ3iOUQKA(6G87Xxt&=jn`B_{TlCqp-di#vu zPFyl!aVIXDy@Ko-DkZD#^dA$aH(j#Lr}hhP)!`F!0|cC}NZx56cyk)!Vd!`IZ6e`- z_dwuoD@);ImA42+2TAMNJS4}I)K!SGY``3;6fHK3!WnFc=g?p~^`Emvfp z&fxeorKHSvBtU2CnD3|uJ%6K!$276c%t|6HQRXN7vUpQfH=LlEq$n;Zi@;gpH|A#r zS60KC{k+7}ykV$oeMe92w$S;)c7M!W9r@2m%XOl}kcvg2;fQ5l3onwu0sH17V0aN7q_5_@-n+Ly zJBZ4beA-fZd-q3m33g{!CoOK^o~eZMNP3}Wq#7>63d|0`Deuf{k6^bex?-L;oz?r0 z=$;MItl6MKUo6C52l(=9xAZ3KLoUhqSLGtXzjz4mj@q|O#nU|*-y8STp*^JtMiyYO*R@}uUEON;0~D)2qQEB}Vq)aZ z7uC)u+G+Xo8?|FIHB}=O(>^I?|1gO$xiNcAHDamoQ&wL>XPD$pq&bFmXG-l2fhah% z%=Z57eme4%M$0yU!^icM#hfS$^0f@+Q>qDcarDsKZMT+o-^g^EQpuT>XrQlRg{?L^ zoyrn-T4k@$#0Q0j>czjCuh#l6lv&^gBvJl4D)z2E!V?gffKlGh?tYi8bZrUAWG7A& zyZ`Tk&jkIw8&92lRSNX+mlI)h_0ET&TZ}0*7tmTyUehm}?VyjnLbe%>lS~rjcE_YgtRWz`*eD7F! zUS$?rlQIrX_mg#njogg6?8|kOR?~~#xbV+w>B#cJ zKWx;m8<&1`aE4Tn77B(hsW5%aPCo4Wf9kwhZED0mmiGkM)Q@7FO7P4MTeqvzUX<`N z>3tLX>%_m{X)J!XsQb@UEbrIIQ`P$(DJ9)jlad7B`jU{Z^&;}PketB~gOOh#CN+1( zBJg1YWjdZ!z>0bMj%U4uCFKg){iq=dUv)*UiN~EYwh5m#6y`Gv+-aMcRvHcjdbN zJU8=+Yn8g-pBAT@5Bd38qHe@nNNlwNB`KHG`ddI2!?44r@)m$aQ+McXLKdIXw`#c@6mqdLYWdr^9FP zGH)A|ZC3Cis>ktEl`@k1KUk{cn~nDq>w=i6P)YJiYwUZssrElI zT=7YStO1}=Wn00ga$yz;i@b=zy0>@>uTe$;5Yculh+fyjf@vY7si01|n$x*_u zrRQ~Tx058&dvh9EkcDKRg?d`wy7R>OJrV6)ST}rcpk-BKzJ{8v9NWY;E%c zsXkQ+ZOho}>vQ+^_|5Mg#oFGdeK>NQ-Z-%gi%dL{gI8(yzWVfMPWFhg6f$O!!{xvq zRSn6|br@gW!5DG9;jNfmls3LmIO8bJUvyq#0_x(HLXPe4B(E8IK8M<^COJ<^(<0wk z88_E%Rpy`^3y7(=EiODx=qcRP_WvK=Sn2l-liGvCnW@HQ^#`E(@WCjm%gupOxjssb zqHT?%xXKfnszcoxTxP9m@MMQe86+DhnMA=|?VWnFVkp1fI8qnb=XG>k*zJ@aHNJcz zdEj|073XX8mpkee8(*P`@nFa(H0c)_*c0q3X_T%3)%T9rar|G&UAAlDy%(fz1n43j zJ2Kq;=~EVrIWVrC38$|X=H2wC(ER2)yBk68vw@Z0l;!*pt6-_CyTIu6J`Uz6EtX={ zYyK~jPi9pUiY|_Z)H-eqp}Uo%xZLoVaIBzNbH&8Ftn_0!q4xCmhnR5Ow{x<~sgD@L zyWkYbSgI>Y(&|C~$(8A^*c>AfljN2-Um^525<#MG>6fM5hqmr11@V5i|FLf>#;=!L z!>DgG*Pluk)BwLouNVsR8;Pa~$+qpwUNrT^kg~cf$!wnvK>N=AFl}IaRb*fzi2h7H*R|#$6m?7 zR^*t#IXMgtYUm z(iDbb;;h(IV7Wx0i7jhz^HX8_^WNXs5&=yus^Tw}GSLQNNiwBE1rFnL%{LyvD#L$# z&C^}-y2!odcm8I#*jz)n5&c&qyF;V0=5+$aA;iq!UpV_yuW3T5j=zQLx->N$%J7+}6?0fjT< z&@EHIRAZrZ3|kO2wMkDT>07AS0zq#A?LFFWLgPFm1)lO}LKX5EQ^@O$^a2IKC*vpH z7uvu03{E>e z?WZMMF#0)1VSeahC_$Flg?zv^U*x}_NuN{^(#zizqEXErYn!;Te0ukMNkb ziDm3o&Q)s%+-#kvULVZxsBArCA+Br)(-gX*f&hx%T= z1Ia?%fn8=uBe|HX4)knIahg8$;{uq|(OQ{Y3ect#{QkGqqZHvtqE}F1> zPop+c!J6meneM9Gq5b{i?D03Bmu@=xh2%v2^>TbHPp4}7>dg#j?O4=1r9P*pHi`ur zP!kG#6JZgJH&CpWX7zX4LHgOf{VXMxBqROTKlj(r{~8iZO%x#7wlA8CCfsTJW+ z+0oRPs*##$(YFU4=cwZ|#ymS6&WUUv_~Y3!GXprAq^t62y?q{r0xiYU>tB<_p8Ppw zL&~Dl=SJ*yb^%K;ab51viJD5W>C}{2?z1xpi$5h^)V5+&Nw!(|SER`+`c#1VJ%F zcz++Pzc7OiBhOeFDL;FhSnzH2loB}n1*AGcs;rf+&3eC?K*24xGjje(%7KqiS6V;+ zigVpc73cbSa;3cIv#R)f=f5}{gMV@Z#$N2j#*WZbs;I3SI?&&JLpInGklEsi66WR$ z-w7<;yythMIrqf6;h7Z1np^+h`FH6P`$%h@6^8h7nxa+a4?E+E<@ZKc92$7b*N~-a zOX)hT;Ii=05led6&4Sz?9qB=bIf0^ai|hvYuNM+Z_at3fuPy*sO~y2FB3k~3)16<` zaO8UWsbdxP$CQr8(GBOLV$Mvzr)tUH4jqlK=25MV&&Hs?Ly;r z4pJ}9K8~&C*+jIW7SQvPpsV{<#+`z<;{MKTOtn{i+AkEU^waN}S9AOJIcDzpY|Po} zfxPk7;r72T$HX_z&r8l*$)h4Gq0!i6H%(Q~ysgN>o8s71>AaEkk_`Pig3Z?PJaGT# z1h9L0=u=R;qE9NsCdlqy+}iDGNCSU_C%!X>2bYDEVzk0oyy#*tDWb8(>mXK>VeXph z^d1|9#Gf%K4#Hv@%CGDMKHv&kfGoP7ffOHolQinGWo3%1lVrGBhOW$3oyZaT0@c1* z@E&!5#8(8lx;Bw5SzY?+H;F5bd6(BA zbiFeZp$BCiz;|%e-`$b%*ZMJzhZZ8yzVdk1{3!s*<=US8% z6isXFg}f1&*ZJa68o*{uE0S%|ypZ(#v!iPD+nD#ovPXH~IagPJTRK3N@(grs8)|B` z6y-DXNophn96=MEL379a&|poT(f;)k%LzGMV+iS!d3}(|ompKJ^7*Gqoji$8{|jj1 z#g$gs!V$V;Furfv0_8^@*ZmSC zNHw?AZ@d0V&hl}^ZEvr+#(vrF=Bgv+&RnSX>CQI9?VKDrgYQW{%(BggP0ng*^kj#x z(KfQ39WM&sx00$fjw`j)ejY_{Fh2QYqwQRc}=zf zPq%*IO8(sHu8pBzMJ0<(ZM!~KDAxR!6<}SYqU&M;eyqwEh77{04by&Q*{ zw6tX_q=|3Y{FF%-_*Q#^XEqVLOb-I{GK~8+Aj-cfro{ycBLCpTurp3c&eGqv$_ePy z6L8B{b9J%SG#9gW{N2a3S%pP;U#4B|M`s-Ul&o0hv#PQi8;Nb~Z}hH@73d=7B5e`^ z0z!@%T>b*c*`g;Vf(_kTF9f5d$7!0S1VqtdqtTobvdo@^O6A9%98 zZw$$6q;(>BG&ew$@w-kRCGJw&ZowGD3xVO`f{m|#TrEAH7~vc;H=}fgt1MM)7dI+m zetiS=7;5@Cb}WrhR#*EF;?7DG(l5Hko%2y^dZz!9xC8OLUdbUnx(o5mga?LJEQJ z_Orn|tKgDrl9&KXTiB&yV5_1#rob1uDiU3-?zTl3qY!t#-iKf1Ng z>Ro8`bWKa6rY`ifSPir*xr@~7EqcBFob>E0UQgQ7uj52%87H}owne?9GPjwkFS5mv zr#0TU+SrHziuPyJ^b1cwZ+s)LFBhHRPQz4Ae{6wx_mN|)?bKer&wP2}E6uvwVrTsy2ijCCy% z&@g`aQCRneU3*1wp7X%Y9h(l-i4}F5tloQVME7e$#@X>S6T%X5XyNaZCudSt{GKT! z2dX6zpjT}hS2W_s&RZCW4l93tVu!eSF`_z_(~Ie9$eOjqso{DG2NIY8UMF%_c083Y zG|N58J{+<)L4PF<{59|S}!Nd{LZ)ddWe@tuFB^=YSDdCs_)Q`DGZ*0!)r2qY^ z6{kEgmKMPj1V8b~aV~-%PK!dbuXpHybz})s3>b*hE zTlh5KNR3~>MT(Y_2ZFtE5gydWfrY=Zi{14)1vBu*n^mb#qYm(wQl=nVvK1L&m3!~{ z4XUL~W82<-P_ueM6d4G|v&GE)LyaKt5z1*0QkMR!;DIJ{d8HGRD!BKpM8!R1w`h0M z8B6sj?zanZbM)^|P~`B#;jaTk7^kBqKSk-2d=Q7hcyYy=%tA22FCS`A^V~Ti)NMKA z9FP2&gXI53mHj`_vj6iDh{*|rQ)els<`kEFa@<5SFX-bwt4R=V|55wk>TR!U&2`JHVK%`*51IDaxESNs=c!LzbKxisgI*$`E`HIBxmo!X!e(WF&!5( z^Bx_Y5&rn-oI>d$cq*OPYE;U_TbZ95nOf2f)#kndV*~IQw>y@#{ z%ipRv&n%%TxVJ`#(pLcEAG8e=#<*>uV-n?=Ysyp6n>p=xzGOL@J4RmXdRk{XmoAg% z=c|}z*_c!a$x5abN^Q1TG+?EP2atGN6yjO+a~-8V&qY`-6W;URZx-ZHEDKjxlH7aF zY_WivhAbSlp?KG_Imkzm+c^A|0ZXMxU(Wh9Y}-SQzj^UzbK*n7XDbfXqk!=r92|`! z%PpXz)NP!d1rCesU2YF==3NNw+b=1#`1j>CQy!}aXP9}GyuY!4C)F0Ut0+ap*x7;T z;^KXRfCF#CN;pjm^XH#ykNjM3gKoXE!RI>s;YZiIxmynbh~NMDKe&U~8LYlg(%x!o zy9w)03%D`9O%Z$BG^?w#|DP*o;?g>QD8xEG$1xjzueHad{58$YU`h90GwAiGHoPhXO_y0(**WowUMV!3;o zz}PeRYv299zz)e_SNyt z%|4&aEZ#dV2stVb97YCl2Z$7;j_(v6V`9J7_r@uQna)Vn{2GOd+}-*WcsGFh^oy{edV%z0Y156XA%cE$NJmedlZKc4m_hiZn@(E3ndckO^EQn3edCSi{I zXsOa?9<~$@J_LCHkW1lzTO$% zfTk&AXr&1ZKN}ag#(WtyDL}~b@;<%sDB+DS>H@zgk6(|K?Mc;%Oaw!9aZcqo?P34V zcgN9XQ`aD~n5zk}O!U-ye}@E9!CMr?XiTn_Kg9|VQKfpn5JC!lqaiTLePlD48>Yox zSixD_Q;{*?oYV;o5U;ZLR}aXCnI#N+{5WuRX!icHd}wq!JtR7%bHR_)(NMix;e2PR z?<>(<(i;vLITzncDe_0PZdsUTZ1HCPolz3*nO+8m`O+XnSpPg3M^aQuOg}Vz3O@Dr z-|ABfOig@`S`7&l+4t^lC=o?j^dWSLj+U2jC_62Uxn0DTeBzco>H^$caXfAYau0Ck zmD4)Oo8U7Rcsgs6?N_Pj%fG7cnt2D=o>q-9uH+{${N3YuS1i5Ldgc2a_w*gw;TLs| z-v~xi0CMSp%B+1;tx0JO4PDlcTFwK>Aaip{Kql0npKBu7f38r~juDAEwSojg#ICi} zf>}#$Ul~`gk!rQH>mPF$iazPh((nSj-Bs(eutv^Z4&)V6>j zOg>;yt7x zxFGBm4aJzXDXYZ^jNP8*11dP(txY7$HrYO+*BWcp^AZP49@Ejg116QJy814;*>jRV z%*Auf-o6Rb;Q^wp{zw>yngTh8q_Ok>`4c%nk;WE*{e)%F7IcvdF_c!5AE||7jUg}l z*?_rHaxWyWv18*9&ITgi*xCnYy@LxXkEttx{~SEc%a&g#uGb6slbyS9Jh2xBz7kE| zQ8cCTdbGUqasQ8L8kRoCwsbO27wGb|ELywX;?d=qrk(8?294P&@|Sf;HSK*m7CfY; zE3$1dxF74&YZ=m4o@LuP_oP*J)Fc%3XyN{!z+P4s>l>DX>9wfwKBoz76k6p_>#N(i zorQRxc&&G#nl88*Gp6tpp`Gp^QGjuV``HOA<*?SVD1CL)tl6?4-8E_(%DTKxPK1}N zt@iWR@kRBze{ruCS02NN+{M>^u^NHN`*Cf=U)=a^AZZ-Ddi7AxrCTSPfg^jZvISfT(hr@oTXUWTMrgnoFQ#={$Ms} z*uZ6AhmEt^-8a8g<$VN?rAC%F7vwsh8rC_$b=z=Fspu1FHc`W~b-paM6Lf>?!D>1v z1UsF0(m>6AsPVXk5B;v)UnceRJiIdL52lSRYNA{};BM3vRw*rv)UNmLV5yDRAQNKU z^Qu&q#YhVf+z4#oX}DmruiDGD)7`!4?dhGGz4a&9Y#)pZ|zGf=iZ;{~|_x|MG! z|8tK|z;GtG`*yM)%e|Q;J6Pp~pmMU>;(TPIQlJW%QA3<(fv3b@#S5llEg=3(!DBWi zDI~5J&Ao4ITwR|2CERWyG74j{kn8ea<{w1OWB1n>ItQ*Ci>PrK?%r|<`Mi~I>yEss z5r^H4@k2tAYu7eFu;r#k|J177>o8G$_SrXeYe+E-bLm3=XM#`9i`&OmwG|N_s+Xzy zho@A1Kk^X?nYDNmkJWzxl+<9qk`#-=Gm0K<>n3-*`0o^bd+v7($wy>Ngz`%kYNywm z`)`cysw;diRe!=F;GYG}0_k@niF=kNo|L&Tn@4bWBu(!j&W+f*@9V`L%U zkK3bs266CB7v4)Pxz9H6osxU9E)A?fB8xkMz5~S07(5o#>M*A=hF3e^IHWEoQZ@N~ zWQ+KMv){#UFER+zD}~8sQ$Id-xr28q)7+8I<#s`{CIWgd8&|5>jC%>}Lw*M}iVL&p z(4S|yl$2aU$`^B0(Iv=?57O4CD-;9?0+1FUh{e;QQ;TT$19`LW2nT0~(_{C3aAaBA zdxgV^5Slk7cmxp6U6RkbqLsKp9P0?r<(obzox5&+e$P|ZiLIv*d?up3zd<+OuM$_# zb8=!zUx_ZW=5MuAR+n1J35${F$uKJWa%>z;nDUtay~zNmu8WEpl#Ld1)F=5#86@bp zQ(Tc-WrFfUmz#!Hs(#`_7@wsWTbAW2LFZDEkv$`?Rx7rHE@%aWF9lz_ zcq=C4&&0zEiU75tA5&BpXP-j@-nj*p&rd52#KXfyDw8GH9GQ-$ z{#WMY{~h84aKLeq$cw%!ZK%>YGzlGs6r;ixaqN>88&y-`;R=L{4!A-KN5#|!6+hy- z{zTcmdu{UgAGHPB53-?w-Xu^kh>syjEpMN{6bR%`P}m2aj(LrIgR>Uta%jE1llrXM z!J|}{KjXuU&pjy*W^2^KQIjmUFBickOR`P#W>&^y0!1&dwh^xCsg-6%7 z?_6-9SHkpzmduY2IT;$Xd%(>IXr!i9C-lkL;5_IubBxY{EpkSk-2yo z8xqCTOXVi-ki?qirxx4C8}AURDY$UP9R~`6gBlMRjE&7ID0B==;*5w{JhH!~D-1^r9oed7DTRI!PW1IV>N zlTn;}{51%11k=pgK5fyINUvm5c|Q&KFluoh_pWLWMV&4_q?QVEpNPgTT1ojDw@qy= zZ>n0S@ptTPU2A&p7b}dw%L($apafgPMZc7q-s~~lgC6Ijx+Osv-`vM3S0dMka=31a z2;AuI_5?Q?x&960Ai}7?=xjP-otZAFWo3Yq8`EreWQw@HdMd;`_XLLV{<}0sLqJiE zkP{ljzU}ZA-ecyc+Vh+Xd;Z`S@oX_*F^LRc0Jp+Rq;0h+nm>4_^*;XcY1D*AFg9O@ zY`5!p!jzFsD5iIm8>LF^D2|S!OZ@%v37#o({knTHhW=YBufTIN{y!8_#OSyOm?!xf zFx-L7U>9nUf8m*n<9<%(%4lM$)=_Ia-D*(!=CdHGfuLw@pmck?WuE1=)~5@$ak1`{OP`b(G3t zG1Z`yT%M|cM_0b2c85LWnT5%tb`w*0M7vtl?)$>ip zxeUBJC#Qq)(YL$`pDAZ=UE1Y|r1GhW6N{%nk+4C?t@<2sGc?La@QngnZ-)CuFQV!i z@{HzI>{rHPpBxHM+jC21Wv$7`^0O=w{bKmf@vncpM0y`)ipS)(dRe}dRS7hjW9Yw2 zJlF%@r072=Bx$P{7B64sEGcYOGe7Od8h@!>`#z+Ji>1gm!D0ok`~oILFUjxHG|?_~ z1@%=E&fjCXM~{m!T#Mzjm)CgS2HnH92vl2qNw{?A-6gaJ^Ze@j@k1|pJ1@6SGr`>I zc-Eo*V7KNNeVNLa;qIeg)beU6hkIxAi&xZUF@4R#VeRkmXw#^|e@yJu#3{3!s=Cw5 zS=ht7{NE1WJ%{{tj7wk+BYj3WIS#OdU~8wl2pL=e@&JEq2>aOK-l2B-M75|}%PG}$ zIe5IcKAYj{x)B3_54!8wyXM*4ta(g2x&wxt)%4~Cpcr{VN_v_8@vAR&eUk0gY$JDe zH*wC=&FgNDz((4TMf1W%jSD9TMWGGV_`iq~oeOmI#~gk|?v!hBkn zy$CP}T0NdfwGnFIK`qGALK*WT;8h{`e@v(e8XVJR{(PfwGXB&TLcwgUp;S+YB+o<# zyhvr7{g0`udt63cyjYyO>I9*APxw?%GQN#};sVxT>QyvP#lSVQXWR*VhB=*sAt5Kw zCH^-pH=oT>X^rk-B8XZ&WJCUxmH!cJVvfzXD$^}hRI80s%t9t5vbst__ptV;@F-lX z*uTSg70s?70KOy@`J<`+&9sze47ddGD}>~dASGdGnOE$-R4g*BIqjLg>kEyy(}PX= z(HD(W#Ty%q_qJpjyvOL!DC9Zgc0h1xMr9QrEZouiVjZdBSNJ~O*A-NbsT0`Xi+vAm z8m3(#ZN1D!+YG&PL+K4yQ{^af9iJ~I5w8+Nk&CeN<#sg780e~B3F6D*y^)r;*t@!B zML4R=hS!PQF)pmk@K%?c1W)DJsxqwZoV3+RL4|=ruEAu%$bCFyl6g@lWIxS&O&#Rv z%++Z1y{s{Koya|5`%FJz0puRF6evPUtAB!}!N4#h&;lkfdX)#P>App9qjr=;)vVH}VQIl5^sU2E_57jn|xjO8WaPpUOsNVBtw zb8%~gh*Qbt`Cp2Wq%4^U<2q_xF*V+x<~e1?;nIa-qxeHpo(ulkp{HkPyu((u4THG) zN2>c7CZh$OXm9OT;0RnLKvt8xiQ_Q?qiJkS3}`EPn?oYAP(r;t8eU{pPys&}>B~w! zY8eO-JYQNdB~fE5_ZUz`s& zmu-Wb+Yr1ly7e4hoB1s9;^5ghL!!igOqIAW=27Shv4ho>JeA$i?){HR z?>P-JlINZ9M4YAg!9|tx(0*9ez(+9g9v$tvLy8ZY3-P@1{VV_GKWAbQl zY7rc{WTXipkJ9Et++ju}DOP*T&K-0fT9U>S3%KysDf2X8^!XBb9@|+@;>JcJ5@ zL|p_Nx-|Y5Z84@0HYPhqe>;j{kG@4jxnE^!L&PyRcrU-4WvR`4*RY0I#d%F&`(4=) zBW)BPMK3E-Cba)vjBTTVya`|#O>F_+kSF}|#XYJRBQZrRC;RkW4d}c>Od{W@td}KD zLoWK-KOHPqANaNA<2Gq=jIE(n58m0<=;yQ@Uk_Ct^G-ZUFW=MDEO3s&eh9NLd7pnt zMGIUuRbFL#R5>wG)R?cRdqddX=W^5~DMKbfe9aPwKTvqIQHe1w$ciRqhf_=g<;V=o zdrv?()*0>`2u1{eCf}x!bX~1qTTMNV(aXJi?t=egj*VRGng)^>BcRHEvnA+Xx4j|ZC-$)w8YX*f3BDFD z6Q_pNg7hC%>wmpLntRrLS~Dv=&GUGsdKq%-Ts2jnBB@6WB5ipZj;k9}&Q9x+fgFom ziojgLVtdqI#1&6Zj~)4{+jDTy%Q1CVA8I}1i9Z+61gflzTm)R{f;?nf)Kyy0cWY{5 zH{RgEk?9CFr!u|kF4&=~#{NnVrOIut=0!Tw3K;PpQ&jCy2=YFSkBmpRJ0P$7y0$$> zc&yhhw<)q@V82&7a5j)0$e3$eU+mweLG@2L0?jGlb^;T%WUSiKHLtH{ATkJZ`F zPVsRspEu9>YXQL*Z+&=l{yB3-pawk&DHy0o(M}^tUU9a0Ikx{buWA@GaWLKY6rNDK z>Vw+o0zM-s4UtL_u+p_gI;Qsu|@$-KuZ3&`;tRy*$Vv+u6N?#g{{gVnA?zMq%&R8)B0jpf#k+kfNhw??uZ|SYM~tX0EoMC<9RqA(}wF3-+f; zQm&%kkie0=S}hOSojvo-9m?8%)_!U>(wbGX?f7_j_N9l8*zzqpin*gsQyCAV*P-A-LdWAsP|VU|0%omi=FIeO4-~fta&>Wk z<J)_AST%;4kq@*5iJZLaX%ZTU#OOy2d?%lk?Ci%+6)AGjtQGDJz@ui?uy zmC!`D))51I`Ii*n-S!vI*YwOu1IqIS2%Ggr`eDx1w0yII;}UyiCLbnm;cO-z;m=Hc zNVhJi{DxYBXRxJcGUd$WaG!)D-JJ`G*QjK<~g%ef_&rs}t#({dZ2T`^v5uOwcuYN$8(pa0V9|bbf2(dx zk8)Gv?I*!k8W59pw|lu``1$h=bGOE%y%8CAQ!UGa9i;FML&!0QvK;7r6=r7UYN4ry zV%7^E^LgFY2w6v`0C0RyP;5YgA_KR$kE=k}5>h0IFcHH0_oKTz?7l5~hlnBhHT6d% zNoV7xlXuY)4dXbE;f?=;u=9>;D(uodii%QInsk*WO_bgvDqV>5PNbJekzPWAD7{Hn zs!Fes-U+=U(tGbcp#~D-J>NGocfOf>=iYy^a@JynlbpBhy`TMiW|F>_Bt*+Bn+T*|-5CNFc6$+-05j%y6qia-~hAkU9>_JJKXS2FFP}DDSg_5(Q(|#AoyGP- z@1GlIld3ig$ws(T@4Kw%*(XEnKXixyW><467MM>r2w1-*FxO#+oO6`uYe2ZB4tY8Z zt|Zks%&MSrI6_OBzR@96MNM3>K_G(cCr<@1BqU#!QNJWKpX6_CvSiK6Uln+zHaD2= zG$)Oo?5aQRW0Gbvcwh3!G}d^wi#>{dSm)iflRQ&H<3*+NjRn5XguVO+aK+}|a)<3d z@C}lE#c3M{3nfj)a2uA*cElYA2AqCSrE%KnaU~W4N|_MtZV?O?xB5n4#%fsjHHW+h zZ$&dya`8gNVSW%tvD_i3ke8aTFHjLN&A@4mq{|32mB#iOcjU^>@5BgZLU%kjSzH9 zKAqWP)t%4f7_mSH$fWvX5`qI%b#lqJ-S0j{P5U9~Z0v#n-83Rj&zT`C>6G)lJdqJ< zhpeqAgs}lW8@T{S3M>6GW3Y={2^@Ou;8`8X+`I4##L5t!+V8~#YtBNBa#1LJs zCU9SUM_D#}-9NN!lw*ww%+~+DuTcLiY7#l5lBt3Q?$BJcJbr^y>0*Pc+ zjBubL()(-};a;slc=S5h3 zXS3dg-644ORY;_3IP-@Pm{PlY`YO$iy_3@pkJ;%XsJe%q!zE;Uhyu@zMh3ChtFb)h zre|!d-^Lk=vzqRuiIiP@zOZGc_)28UqT%cK3S2e*-iaUSxYAHj8}&2g&L1h|&+m$O zmI5VOk+}tudR`fM$3a|MRlH7v4w=T8>5$5yy{r=a&B^2y?sh|`)juURI5p0S_z&65 zzMsZ_o=dhYmrH_P6Z#-kXX%*wE*4^lxBC!NE8Fv!Bmwys8_G>g%;j+Q$RO+-yN`{O zfgy}~9G#6Yhq*2G0TO{xL@mc)`ul4{=Pd0ZOt{<=ml{MvQ6+V)F@jM ztNpqjZIho866AExrXhO&Cj#(ByGukWWr+=C2f6n>;(rPmG<2vdZgz$w#H$O>V<>Z( zo~_>Qr{L@QnKLjxu_FzVkf@wU$sh(6#)esz#SXg`pgw5?zq1?Q1nO!&&q>rtG~uw7 z1)8PJ!@71GTg?GMBKg1V7 zf5NP=^q9;Q{jd{ounS(f9D_IVz)G3bINP|-za*2t@umMPNzYF0>AJ^_LbN_tfDoJ* z-Y+MLRag}aAbDF;GbYd|`U4vZoe9d`dRX0vs#OaL7qOx2Ba;d&iiziD06Ma03BMtC zPX&o##-k-L*$vZO6#aWVI8WiM|HI~XuRw&%g^;<+qlCTpp#F0o2BJEgp0|=Qy1EWP z54>usRCB%qfpIgkG-q)qOZ9qf%ze7|S&R_RJ)rMAIJOkNgtG2xz-nS*mPM0eDp{O0 zCmL10_h(@tx^ITYGXJ)bw{PxbYm0TRwQA%Im)Xo&40JYIRs>-%~h<+Er1SiLi zwgXT~{S|o3@>kIw4_S_dY2&4N1*b37Pt$elqJ|i{7N%+4&g8M;0AzWA2a8-8^lF;` zblryx4tmK(UV$RBwFi$!Z#;XjmYDMJ@qLXB=^H&vN?ZYQN&jC={4rwYz%y z&Guzu1OXS844jex+IbZNiu;1~w;C&Kl#KNhkd@@HZ-B6zR~ducD=ZVB39uy{V%~6w zFl2~8YqN#g00^>-Th;N8X&sIBX*T(%qwk#(y+JI!Y*rtdUJLUYJ&e6#0+XFC(iW=h zYm6Yy_8;V6EB&E3IlK%TnH(VSX^&z{_HeW*H;r^V3lru*nMgmoyar(-EP_~VF`1$7 zu>!zK3`8^9X19t!_UR7F{v!G~GM^U1MKe&D>%VyKd#vs=AD zDTb8sAKzq`<=cZ$!h7MAX!=0p34&w@u^i=e#1RdqHBv4qST>Qn5t+?fJty@2tVqN1j-y97s{7s*cf#^08G9{~SfiuW>eY>?%GL7()@HXct_x4E zs_@UzNPP@f`ihUEV0J}=fk-F}_|wdSdTDwH@j>{>U6v@Ze`plHHF$X_Byn9^i)_*15V6!GN!`p>At=bSpR za~1dIp}T*Hf*|EU@gwt78&9R|b)HjY%wHmEQzd$O!&7p_yFe<7M$gdcZ9d95Y{I_* zkxD6n;WA8P)sBT9k~d9qW~CSz3RX}F%q;Z38qY`Ofr$Pkxcx_M@o`;s@X>-YcNlk| z!V^r-kHn8Vw`J}@Bw>bEpX8YF3df}<-fXQY4QpYQRkx;7uZrA)y31*hAf}HwQ6U2A zmvZ?yGrE<5_MqnogEr|_{96Qh@)l0Tp>cflg1v){gdhy>g9Bc;_hju4bB-9#`_QK? zDJt*uNUc(On=JyqkkMF?V2ggDC-<8`9k&nhvY0@=D)i~%^c#IvdJ20tM}93Q8&_sJ z{TA|Tt87nhHOg@M){)>Q8&l8qp&}PmK!Vn|4p$1Oc`|rLFEp4Uc z?+pY-K6VS7IK?vbj`sO^PCSE&Nxjf$sZr=J#6=IIW+3za`qOZY{$T(#9kv=vr#we%W&Wk* z^oAGh#a|+v9-(V8<3@Ax3Vr*7yx_hCaMirM=j-BXa>_%gMdm${xU7U7IY`57>M|== zh>YA52bA`Dqv(qAnooVZ1q3I@K9_!`ju%3R+l~x2hvdV1fRw0XP3P@>8TM7_&|wtm8{G5pcl%alo^f-3GF<@d`W zbU0=5+G{10W=d;nHPsuzCj?~oQWn8sfUa4w|IF~Juu@&S9q^Typ%Jt#nx1)WP}oJ; zn{^{jW0g7mQWhSD*G>^oTRM+e4^&7Zi@Jr$@{lAiaay}~daL$VA}8-u&*1#A`1#z4 zL}425J8w4@bwjBSpMV+dM0RT1g+RYycwUNs0X{S~tXUgHMEPGCub~c}T@c=*WwiBH z=JazwSK@bnHnktU`}YlLs$1% zYh}R%QXaHxPi8p;%2>-nk(B&!XmnuOtcp30!Pw4t5?>?99g#(`=2im%4iOr|8%O0GrC2l=rw4@Il@V<;T{vlXV~_Rmz%saCh)?g4%80f z_Aceyn66e`ZKvC|W^O6Vjq;d0MQjZ2ZTIRTt-($bfu1lHzBKEfI*?%ek|dGg?T4h> zKLS7<-CT{NbF^Qt^rBgx3MkAUR8Bg|3{CzrOrB%Sr_NIeqhK_!)#0HvsSiq4JrJ**X@pFf#eAMH{(1M z*>5%*5nLO?+l$qHu2Y08wO|a4F35NEt8&Z{&)V}Z!=TOr(KRioI~11sb;b=viGWXv zW5p+dRYb+sr^vb`zqo5y`WNWMj?CHq6eZuWAN0;RE(SsUuMS3=UuMe z{4YAa8A=Q-ie3rkOs)_bYj80Y=)-Fv%X3KTF2lGHp02oqGe@6ZT-eDu^2fPn6z(Zr z_79wK!JDgJ8EPtZ@yK|0);@)4wmJ;o;luq#`0m9+cZP>V5=a{CF;0IPMl;^1&lc2Z zks*koJ)RMW4&kit&$Ii<&)=G@sMYm?Qb$a;P6C--5Z%5$<=uYI>!uYdb#MPjZ}K%9 zoVaF)WZpQn4pN&@?{kYEA>D6!pI*8U#Y=MaDggbaj)I{zCUOo>hCx9NVkt!in>yi13sl>zN zfvT}58D)r@n9>bazSh=t*UmA*pQ5MUTO-GnzMdK;MF5~+me9~CV+;-{UvHD-6tvcq zRCE-XuggwuY@S!n0Vr0FlLZdpI@l5bVo8U#4Qkv4-I^L&nLX``$Qx`*$u#Y7hWR}q zsnGv@&MI*A0|dQTSOiC7z2SPi7z3WN(Ysz$k3fyx=Z0yQq{n67qTc(13zw2&ycY4X zhb}1M^|?p?NOVZ#s%1!Z3F^|1f@|b@O`j(FBJHvIKma&3e6mct*+Jo|Znos=KF{&h zvGv4Wd0}tQ7M zhc0*we}BPJey@6-EX)2;`CipzQ%ra5VssfyKU_0AT}H+!L9lr!#dElcHfea<-}tni zZxrKRm2h6mUA31pv+*1+XSA*J+X>s`w7;Z_uKNiHw?JxQIx>Td zGS8?w9Pg`taO_dhJ7c%a?~wgHCyu}4ifDq65k_Oj2@cMe7s8hXYL79{rxjJqj-mh) zN$D?9eD30)k2rGF7u0ze2&u{qOaV~G>Ei<^H|2>0}{U_|Co8MGH{H4#2L}w zV`CYUa3sZMbbfRh?Q-Vb-LGl3TN+i;q}N<<3X)irW6esku#V~-&7gF2?fc!^_dF$P zVK>QacTq8;?EQt(*~hCO7#Nzf;62-ZUVWL-z%qC4m0}hPJ+ropNK*WG4YwnA6lu#~ z<_(3Eaqr(;!g-4TMHcUV_43N`hp41;l?kNMSH=zPgMF`cKSWUG_x4*@+~*yOO)cH$wHi@Zi4XGnP|ZIE=f@(dO8pM^)eB&J z*dX+%F2EvebXy+e)6(3WQjz;{FKJ<2eroobz^Ay9kLL#GoiHC96MSOExuxWlKKd~H zscmZ8q}34hOqZ?LBws5piLMKg#6h92)nxIJiT`_`Etxg`gTu(Q{h~1ho*om~hfM{_ z&)S%2I&0&kpK<8|ObzonRwGz1Pcy93IS7&1Dy zLkF9m7FNxw3hV9qZLd3bpg)*=NY-DTL-D%AmjvgpBfJtj>!i5{{dVd(b2k(IaSW{V zNZvav@eV)MM;{awbQa8Z-WT}t;%(M*jstew_$g-%o@S_p|3y7IB=R9E;P}=N+hVKMZ*{&;ONYAaUaN)}!p04;X$$4&m&C6=IV8J8=*ww6=o8-Y4GF=U zf5(=yNxzyn*|*`h9T+`WP5*HE5^B~MruY_Cu4ijuU-bQFw)y|h1jxs>6Ex$sjBFj{ zkYmTl7CNZ>C3@DtKQ|x0W%(vysA!+{^yn}eSQv6ra&}dyKFZv!#+<0X>WDi+?0;5@ z!C~QvCv{FL#>`{u*$Cl*j@2Kp6pCc1I)NW=vx|)-nK3yAd9v#XG%}dp76{KjnSVg3 zgzK10Hr*cH5m)y>a9l=>UTq(8*_$?JXFyLHSv7-OyN09l2K73}i%+d$4UJm~rME|~ z#;@lhtrZlEc)O_`4`_6OR;k;458eeR{U|Ko)^oeU*@d0XT*L25z7!r&UDbkGWH&Qa zz4rl&JlHP#O|ogWj7~nQ!lt4vl`4nb>)cIkUsUzPfSZ3As0O-z;E;Y$CMlg(BkKlv z9<@A2$E#8KE&+E6)Vh9e4r{HDcRkPzNVk`|GcRAgk};`f-7sa_bvO%MVe1SvR*MCH zs=PzPQJwNW61I1GY=i6YxKO+$^=YDE@pC4L+%0e7c2BqvHW5RnfYJH=Q`udBb!~{T z#m_W+zDX{tnPK(t8bdW@WGaE;=_B8IAwDqvCy*uXn zCN>{&ys-CI*{9~E*ol=@wW``jDd!#hdg{%wvy@7|9?}1q94s~y7B0>D+{jLs2{qPP z+(Io6)#k*y{3QzUvBG>iHi*=<<`^CC|7N5a=+Ee=>a3U1aFnU&?M1lLjRwT9^QTEa z{EHo=I_K;{45F=r_P-gh{86e(bc0=5mjo*HGDeDQ3G{?eV_RplEKCz3MBG6a2y+is;3u}OW zX+FrKc&Fw;U7vO$a=cHyW-IY$oE8KN>h`MG*`|-t`#rL$s3tAU8c(fa4-d@TAe_$^Uoi`@eAJv?oL&)Dg-}qk_xybmG678Zq}3vGc0GdLBjw`jCi> z+Rxu9+Hw)gq=3Cznlc(~U0@IHmM=q3kGRKBEzI0`6o>(ho2tmYi6*)*ymgKFwCJq@ zMv-T4M5U2WwjS$8f1kG2kjIG)r zHn$Pw>!yb9Ez@IWd#+|*KnMoWLQET_fE@@$0baclod&um8pQ{x`BhyPqhArB6s2&2 zjHUT96f01Z+N_krzg`xHr8fuAf(}VB`%ImgG$^Yp=&N#!cu-dD zui;XzUTy+x zg?QsK4h!kv7d-Ry;qmtn^wf$UKhlm!luL&92_+c8k5i3QW+z1j!xk%0`;s}gW%S?4 z(PK;AhMr0c>&ec$SnHBK#;F$i@!XgkG~(_^_gZ4>VCup`SK0Pw4c17V`G3Sot97!q z^K0dkj5Z4&)%fo99~t50%^u7VuX&xP0%jrjORuut=oJGr7umhGG)?fXNFBnXZ+y;p zSoHv1G4XV;6Y07A`V>M72Py{)ctfYhm5sxV>lUt>BO|Nw zl6p9MrhY32>INHc{PHT>Q2t!NVyM6*bE1Tomz+0+M`OcyHnelx-lBmZeF&CG_4E3otU^+zvFUCk(f* zI^UXs-lb&3$$xEs!kWuNb_=Aa3DLj{Tp^q3V1{vgrh*-@_VtxtiPa{Qrk{$lEt_^j zGp%fpgKqr6)uhLHhe|-QFVO!f6C3HmUZritFoYlAxBYJ};s5c@`@9=Glhv|#?VZ?V z)2@TrBlr1RL3tKxc|$K(vmN{mA|sc?o}sSHyrr-MC-Pw5MvXAV-||7V>Tn;7uM*^gq4~V(7y}khiyLjGAlmXYGxw3!PIA z4m6qVWP9-e2hio1m`wCrGG|)DMQ`Wj&5(T$Q?a)esm2(J{I~`AUD}G6)Tti1f`z65 zByW-}uoAl=FPIZCBP~^WX(=h=fU5L8puEM_xhq@O`K3112shAy9%sMHJop*#-S1lX zu&BQ!R!~yZ_M?{hz(+ z`t`qRnoKJ?%Kc({@DA3rqWzrTfwh*k0I;mgzx^js^j0$lONO)dW?TLIYx~Xqatz(G)?p8BqQ1qGHjNuuv%yR0wHqj*A;6X%rom!A z4$m8X_q-A4RVb)Cbk}dqK>wH9_|F@Hy~1e`=8mR-^;U4%aljSQ@kS~nEP#r(Y-@P- z%JgH=K8F4L`p9(1$4zFk=A6>MYwz?wezg^G-3d=OP??9kE*bZL zno{EBD80YdDdYg8r7pn$UsS7sNS%ke^ULwBpCkOxta+JEv76lRH4|HxZnNOVz9tzi zUWq>5MrxHsrRL~vQ3s94Ii{wDo5sT@se=f;@=*~i;|}4-s;1_n+)14DO>rN{Mf9v8D!w;ZWFz6dA!EBfNEGtPS+aeEApk-o_7ew{({e|TBI&sVBM`Y z%@0n3?lgLVK%88%7{YcBrj54k0Hn-d&H^qGL}=wcq}a2|}bOb{})x4kD7_@QCuY6rH<>ZdVJ4sWj^& znb(|FRNRmuQqp=M{U?iG!C)kK?|1gNL|y-niy%zMDkuWrGq-DDtQV8>Ual9rGub?z z_~XfeU|KDz0m%nQ{J9=L)WSl9ocs0Q$vZp6gnQ_z@DZfAm;miI^RfaF?ExDF!YcDV zUK1Uixg5u>WxRQ4Gpqr`STtwt%kQh z9ptewS?CketKJqktV-Rp4{aNwaPmyYk^gLpkKAHvVSNO?nHOQK`qlfxfm~mG2&-Xo zW^$-f-w&Q4p&16s z#l4yLhKU0*#M=w0{$@vaFBg80nU@GmeISh;o@0)mRwkS&wIx-TO}CW2{&CNj^vW&x zmqRf1OjYseg}a=RP{}^;kwB~f;Bo%tpHM*BQ_$Y_Um~s&42n=INC8 zT|gT4GA)aqMUZdiusQk9epX2C_LDw=K9z>E>Mn3ADhPgW7-buRjV<(ke7K@fBCy-y z-tRL{H^OO=6Z&Q|cSW^^yzyGRAsbnvExK^?EE+vO5w)bYtH!j=U$X!HK+u@Lc^O*= zSuCLR`pyK`>z2GwkW^!cNaB54oM1V~YV7EkIRx3YN@P@xb7}DOI)rDktDQ|?ARlco zi{T;$GA#UZV$puu;-T;<90!C;IEGIezO1`FD|*!A#~{Kx+A?}Jx>2w0!^bBW+Z~y} zX=V~WLlB>Pf_%U7&-|GH)~~~N=pziA=bhQEAC(84al82Pris}rsvE;j9!T{TdK!P` zkDbm1Ol=*_SD-iPCVj*%gM#;}a{4|tQPn(3IM-vLe1TsL|79;>@w4&UiI1-1w(y7@ z^7qis|1(4P@2h|$I2>}n67kuLcpR@^+GqJ|bY-zjc~LmyJ_kvW@LiHg?f~c!Xob7O z(Qj2S>QKgf#m5U`0;`?~R|)STPmfiUCZggl;E3-PJx>$(q|4h+%~>5WhITWWE7M8V zCJn!mxd`~@zbZihMSz~!pNPp5w#(;GNj%k`e7y1{)7kRKQyF=jn!&bbfav&sVq)c^ z=xbh{xXJ+m?Tw(u%Em&et3Do9$=J=21cQN|cWcXBL2dq{Hb?FVN@vvddtS+4(tRtagXF z)9U@_$L8Qn*tM^=9J$wIqE;mzZcKJ3Vhzy*=lkALtIKqG-_2UdW49I_s?{;=-~V`| z`sx`4gDl)uVvma0!F$e3DwkEH@QFArcV5S#4eHj(U!veJ&77B_%vt`9+c~4M&tV_X zzuBf4yLB^LsMVGQ_cf``*v>wuHV-EaG&}9j%S#OTVx2oCQ#`X)WVLpce@U4oiVnmX z8B_+pa=vGwNychSOw2t}U#@EKRimOr`ANipokMrj3>1qG@$Qiz!82*2r zg{;arhAUm4xSI#&{XhbVXmi0Ct<`p^^~^RD&4S2``ZC`#ZhDsMj?`6R7=eyJ_39|5 z@j4!LcWHu~gom@(Lsq7_ro1>=5gw=siDt9<=hXi%FDB<5f(%A5Y4lUnir;emq)K@b zW>iA3Oy#!hQ~*g`(PxvjpY>tQI@8#3j}iw~-tj8IySvm(=@5fjzu5w?r>h3ZcZL?0 z5Skf6K>MgpeizwKkX!+`WZko$^|$|Rj9zA*xw8`(j8_q$qgKR;wtfWQYT6)(c?IaV zm7J)sF~fv6GglFKyU9fg87La3iXoR{!1%8%Iu9Rg@)Gx0D)82kNE{`z-`KZ!At4Iw z%3!+6t_0ukj(>~Mk1np`%OYWU91hSoeXdtFjR zqRFFP4W;P&)?+gyGQY2vE3;=4@Hz*FAQ;>sx3|ssu(m5KLc8i?>xgQ*-T;hQ~FK}lL|Zcx?1JUABcjBc*2aI{-^X|T{JDdHKO(a=v%l)2hSLWgt0mOmnZ+P zK?@uxwzn$EarLhVhNjTB&)S2}Y$C4jBx4Fz)V^K2$47O>ei3rzA$n8-A8a4)k42L24K?rl|xdE--obR7czC?;TwW#_jl`m47dJ zUB7h`?xQ8);Qq`5^JOEiXLM01%NREFBcgz3B8cAJZ1cCAG@8BxOpo_LAAW}EuFebX zc0#O<9X&Ye`Do)mazxy-QsCoS+Y?SQu?@eZV*)YTp>D#wEHN9Kim2i5Wmcn4b7DE_ z;{2m(ZocTZwHbKi{F#qOCt)qkLkkxpj@4Z9%Zvgn zc5=Eu!(_eeuTXirHeS_r$+k>2bU(6CDjEIGrde9KOr-A{1(|_t0nsYDmO$C~ddInE=Ky#b%; z0jq97mX97BR4@64cP|J{AZtAxoLI~sp8gC{)3)%IU;pjoh)f49eV0nBN9@(D*!{2w zKJt^cF(2cpjf&Zj-`x1FqO=GRp*2g}vZ87{}%4T;V&l%g!{8Dil( zNgPz)b2Xtvns5Fs3`BZcY9=dSfX-}*JBE8z4Sb zd3u_QZwo*9{U7yv+OK8(_rV2u?QWuFTs&rvy*VF55_F1FDy~b#LBEwg-{?D9%{}9$ zF?71FAo4|QYt3$UoRUH)fRyJy&TnjRU_yzAGS~vDKtB?WPU{uP4nqR1ow;KcW)8u4 zd4Re-NdHu<&~&Jwt>I6|cTF?Hv46dF;5*T-K&q2J+hFqc23I4btpq(B9a19A6~PA_ zDw)Jr9UriO6S>LPaM!)b4QpLD?YJY`b^qd(jTs*OtbB(g6o4EQK0g1hsq>GI5}EL# z!zgk5Qek#=joy0U{NqrivgSG?&qoUushyPxXnsj<+zhi%1&39(&vsosRaeniZbmEg zChZHF!knp_H{$=05-DmG%ip*+#`y0bgwm{KlAv`=cNZ$;^2QS@aj607f$z3=UoX7R zLn~Nf^)q8q@`4J)hlOD>K82k=ndLP4OCgK@5*hzzNcxX=Z1Ia#lR@j~kbT)z15;A@ z!ml1s8+N7%iUi61)DBe9GtT`o2Dvk7 z6nE=^9HgI=0Jt*wLw6YQ^v^RZJI9k#<<2SV>^gmJ(r%{z_mQbNH{0ps%un4_@rpMg zPMkqc>zO=DE6sb7HIi=7XMM=EWzm z>$b<)0u>3@lnKwrqEBEF*ylwsb#z{o$CcDk-^^rN(#x|0=_HqJ6pEzZlk7B zUswL|pn3oUkFU(mIFwVIs zPvFuihHS>fJFgvb_ataB+q*&|+F4Y|P?P?~r43gM;lkk}I5NY@o77}B#~FWf_JrI@ z3(4m(c{uh2X|+oFJX%t!`<`eaxM*&QCyky{mI+OhjqjF=VAIe|i zGWHkS<@P>=r{imk==33 z9ZcJ~rWsi|LD8Ry;K%1T@P_+(CgIZ_K+e#=WE)TBgR*lE*PwrFmJc_uV6-RsYIW36nk1hL# zTNP<|5aaK$b!oYDmgEIr1MVkvze$hOUTq-4AhcD88KutV2QhC)-J8UXEK=M|XB2yC z$d8DS+}a;ArVnFOHwXgwXJY`MZsWM^GH1MGeZ5?XUERi@>(zJjs20|cktTBSidHG_ z+w)s9&gD>W$7a!>p=!gZ?ggSn3iSZbjNxv9M8au@xlp4|PkXH@Q57jHhfbxoydTe> zNKmmoq)gmR*=%RKhX-GkYIS#~WCjtY^CHNK`n@xhOAZCvyK$k3tu~%|>^F`xs@5jE z-=;(h-AfkEqb6X|DC{4ap^wqQ2+$oXDJoh%q1$9>zu~x)>zK-+(?0 zbxc}(TXXGWR82Q8Tm9Dj7{OnjD3Gt|Dn=7>7K=8pCYRa%eS7Hfj27Qyr(O`OKF7gX zMZW4UQ9wmp0*lORbAhqs4S(rn*How?A7u5sryrw` zlTdE<_@O-nAH8R-WRxs3QK&BXD&S}H045L^QIM7eqH*|B??WZDUTEHaefAHVOa^1) z4+G^jzf7*c#BqEP45sGjbaPfKe7EX~-k%6Ee-CJn_ z(Q2u8#5ZEn2cJ0V!Uit+PTV$uy>I~?)E(xowt)fc#DCoE`l4qqN_KlL;;rj7PhwIk z_Kl?@Nn|DA229;~=CEDv5!$)Kk#ypsn7sl zAHCd{G|J(F9vgvBV2JQ|%Wso~HdRdKsoP-lota()jsb68U9BzB>mE-lA7A$Rjq&zp zORUafd33CwBMt~_{LYM{u zz&I!O6at`os-Yo}A$q^TfIc{6KH@ArIm_-rxO z4UxhBEZ&i0^9FZbf&E4BItMk-ASGw6Ppv^zn5=CN`s=r>BYJL*+$@Z6{%cenIb zrQ9yL5+UW=juGKu2rS@#K^zBmc;Wk(Xm1cFypvh!9IAD6Bnjj(LMF6gs&^hdnHm-Ac60wVx<6v_Jd6Pj~jGbSzx-fv)Bh5fQS-JBH=77%0S^ zn_px?lHZ=`)Mf0|DZsw%{*Q}4MVx4on9|#=mLk4?WVsaJw(v=|^2E(nb&JE!1)7Su z-4^;dhNlANT7%ij-LWwjD=L6iifUdq!vCp!^ks$s56II95xZeSBU{B(GJM?Vnmt^U zMWV<+PIW62Ql97G4zmN|gr-l+UfqaLR7EA)*f<+wFRogHn7V9g2(?jSxUZBZbif?^ z>Qk#^DY+A4uvmQi1kTuNwD3e6@GIfmfAr@ZJVV`1U~L|6CIcFqhLhWUYeGGk44sSH zKJ$|)aBUEgm#;-l-3VsbH*>=|V4ZcwQT7c*e0&TCrKfy;&uz(-4fD93Wj;AP=A&wv z?6>wXDpHt8yfD{<)JG<7#pu)CSd~*~h^Q%)cAga^XtXc?CA#vpU%WIG)y1y7xN4Sp z9v_2Ev0J>P(aoU3>VS3!{t}7kI!7Z`V|H8@S(gJrvmd*DHoS)zjidmM(~8pm-P@6q zMeuRLL#%!_-jHt?4GG#eJw%Q{v{$C^eT`s3W=(+ z2H0NliDAAG-ZAGir=Si+-gMlGeNo`uQ(1gz(ArLONYgOnTjrbp2mt;y zvZUQuWCj5rdI#o@UK)id*=Ze+S0xoW2Gv)%%`-87>e5+bdlR5|!Uv|(5;d{Qgnp}sR13ar+1)aNN*fb4R1E1P*RUp=(dWjXq40WK0J^cC)shCu>&P1bkMlRWecoJ3k z((blIW_erzW1qIU5V%JjUgX4+p(dTL3-B`K2DmOo)fdz65%F4hW5Hl zrD=7lH*9n&64b*g>Ay=v4h1}@N!I8BZWQGk}DC}6K66cB$-aS zkNf;g^IS<+b~hUf!fATvEo}xn%g9<<=0K$c1{UqaQYtAPDoIe(Km|$cdL-AZEYl7{ z`|?+K#y+Q>ujP2u+jHh-D7s^`^Pco_{;cU4M2qj!t{jHQ$xh&Pe>5dl&I}yN9;CeE z`mO$ZfZk>=ASZh6*~1TM)EPyckZSrc8HHtk$SVMa9)t%`B8g|s=IniaRC1zP$%Ra! z<-3%*=k#dHO=KO0OV>HZOXEjO8cb>}9bQt>nZot=yk86X2(u>`UjOA7EmRWSJ=1U) z`Im^v<1pIjDyg7U-rDAUi9$AAy}Q$wpTYbZ3}4schh&LPkb2&BI!MwZ-?p>;5}-a^ zmcM#O+gKWY19g)i1&C^hJ!G{GU)TI2n-oh)a6;FTJo;6f$IdUcO74x6_MF?B zB8yL>3=H?S(?V)B8aM94XY2xXO@1&sTO&GD`HFjj)xOl+GggcX)(pPf3O zFe^?4Sp;N552x6SFxeCJ=bbdkul}^&S6HsibR6&5kvU`ANxq6bzT9KaOd1k4Sjw+= zf#YP~P|{9jic~9YQAYfSHAxFA92s}sc5`SwvG!oUsVs9>=|L8tm$~}!m+0M{{nDp+ z6?CGduB&w&y7;i_&Vi!|8g)7tEqi+zy2_VRJ1VLcC8%9dI|3vRre%Xp6nV0oUzU7= zl&ndXcaG>dp#0$=V8gv&21-krGJ267v}Yt=Xio%#K#oWTZ@+da&oKX=SVYHL`!Wu( z_#M6X)=@e)O%h!wiHId`tj0n6284DDBiR|F^61?sHuc!3`K6tBq|aq$SBAP4X<>Gl z8;rphgxC#wHkF-wa1eV zw~2mToH?z6yhujs_^iIFj@iHUQq7p~TlBj;6%Cdu=H}V(1(RTfa2-UsO;Y4=3 z7gH_L&wuN%f{k{wk~M$y0vP3p=%(}x)Q1F&aHi;G!&SFA#`3HLBiJQKN*=XGSvV8T~uAtYodbGLlQnY%8g$+{hdt+qWurwqYW`ISOz$`JUyY)LpuiRMb zjTCN1%IRpn&IvCJRM{CE%cxRX?vAMv3?hh*;5D3KyY-}T_+ zaZ~8hb~o9n0^Hd3V!FtGlc|JTf7YXE$R+vg9CL7yTMcyBd@gq8{Ov4ovJIQd$P;+p zd-=)i=E@@7&i|_IN~4;()+iSB35XP$pn#A^gCInKQjjqLL_~-f5gCO9RD=)}!9WlK z!2t&h1gnC^n%FQTj6p^rHh=*|+9ha+5s-#3v@(SmLkQPieaqGUX#Mx@ud~*@Kfm+s zyU(}J-hk7rrmV42;>{Uvpz#UU;`1C@R5pQ;>>nR!dq$`AGI7A?RHGfCA!Pm1ZCN0X`oMM`wSy|~1QS|cK#bCGH3 z0uFgy29MXTOWl@koCWGjLIc=5)X?pZ8jF;5(9YO@LMO<ao`FU6~zb1I8?4u~F@4z29=4O~cATov%Kqw5XpKJEm!9{f+Gb z6}c$lyDKY=&y`JLmeOIBi83RH$1nxyI$$F5@m76)wPsPJWZFEA?hXvb6`gaK?7X~w zlYh$n!`4DB!V`ui!$Hv-G5MI_Rci^^y=P>WQFtew-L_Gmd`k8}mTy$ZLH3AW_npX( zV&P|k@vn1(gZm%k7!YD!I}H1ETX0mgB5}DV9J9louw9nk(W(TI1y{23MoSUToxnq# zf7N;+y7P}$wbLXnU*YX>15$t2>Ckf9!*{99RAF_1Q;D=|Xul*O6NbcPk z9VgeY1ho!nLH!Yr7{pgO#$=FlPISy7Mq@*T(R2c(#{MZzGjD|?I29Pt++OSrm8G>@ zyCa5{$d9z^>qV9(+qcm}u&jA+x=T6%hx2f56^Eh~%e@TberRlJ!B^o)FM5{_ymI@X zGLUELuPkYvxq*KMV7*r7BvLG^{bkDh*2-IAcde@nAUm*v&+G-mn(Crb5_f4F!=EER zdr)6bGMj@GQGbGxj7z^yg>zo<^~i4G4&YZgX^1C6nuME1(SQ}i`Ec3A6+`9eS-nFH zHQK(+=F$2xj)xJ7v!!_qy9@5x+8ydMJ*})v@^1+&>0Wfz06W4i7d+=y+&9U8c)uKW zsSQOI=KC%TnB`xb?i$952f1>yD^Hl3F8&kW#WJjU2S~B+FYPhtbEw~#`dzI2F-cq8 zhP4f{0GcQQhG=6wWRX#>*!%?0>?UioEt%3OU4ch6Y;Ky}Z?XYXqP`x}SV3P_^YK4@ zh;(vE$1l*`bKjRL;B#rzEOu#)rJD&0g^Ss{gn?Bzr~4#sHG3oh5$?r>L(`j2nBEMG z?gAWEGf>*ed~eGgwmgX%wi%U_Njw_1wAz2!4SCx$`AQaLkdEC}Z3#eUSMwe)TjMHv zZB0`3;8x7am$;DgLp%2Bzbu=!dZt*RnexLA6j!}u1aN_NiGk87n5Nc8cxV+utnh&^ z@5l@W0$O503IM?6joUBa9 z7y1_I*lD6Zs9@lnrrIA|(vOyC>3k}Kp=`uP$ zG)N6nfna3acxbjzmfVDx(&+VFu(uufPn%P(Dtd-{)y_sj3>mxW%`DdC`EFi1D;Ese zumn;@gOQBc=ac8k{4ognd(ew2BFdbCV-6C9t$`)gNVtG9gOO16$3RocnfI3?U6aGY z?b-zI;D^|N0y+U`gM0qOyMvIMVB)K2vD%T4W&?S6bw!8#6h2nFiWrH1j4@|#bpr1( zhXP()F9bT2s?d;1K&cQWljF6w&z6ZC!yu z(T(cy#f=f=kihll|4y&|8PvcDicRpb`$-+wzg1Xwlv+s#EIjgsJKiYjnqXsx3t#{0 zYu0GuWXU{z7MfY>B{KF?W`?P%sur2{zul2rd+2Yt^A`erc@^NjaNVHbZh6J;sOmix z%68knH}=N3P5A8zP2ssH)`07iO2H{;^;DM0wKQ!vSdqOs@=bYO+pWXY&sF<{*7kq@ IMC(KEpUACj6951J From 2f7cf2bc7f32b21f0f11aa77959d7f26353b774f Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Wed, 2 Feb 2022 11:37:48 -0600 Subject: [PATCH 009/100] Fixed testbench so coremark stops. --- pipelined/testbench/testbench.sv | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index 60413afe2..3727f692d 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -215,6 +215,10 @@ logic [3:0] dummy; // check results always @(negedge clk) begin + if (TEST == "coremark" & dut.core.priv.priv.ecallM) begin + $display("Benchmark: coremark is done."); + $stop; + end if (DCacheFlushDone) begin #600; // give time for instructions in pipeline to finish @@ -280,10 +284,6 @@ logic [3:0] dummy; else $display("FAIL: %d test programs had errors", totalerrors); $stop; end - if (TEST == "coremark" & dut.core.priv.priv.ecallM) begin - $display("Benchmark: coremark is done."); - $stop; - end else begin //pathname = tvpaths[tests[0]]; memfilename = {pathname, tests[test], ".elf.memfile"}; From c12407ba6a8cb946b8adaff619961af336456eca Mon Sep 17 00:00:00 2001 From: David Harris Date: Wed, 2 Feb 2022 20:28:21 +0000 Subject: [PATCH 010/100] Removed Busybear dependencies --- pipelined/src/lsu/lsuvirtmen.sv | 25 ++++++++++--------------- pipelined/src/privileged/csrm.sv | 13 +++---------- pipelined/src/privileged/csrs.sv | 11 +++-------- pipelined/src/privileged/csrsr.sv | 2 +- pipelined/testbench/testbench-linux.sv | 6 +++--- 5 files changed, 20 insertions(+), 37 deletions(-) diff --git a/pipelined/src/lsu/lsuvirtmen.sv b/pipelined/src/lsu/lsuvirtmen.sv index 60e76f7fe..2685221c2 100644 --- a/pipelined/src/lsu/lsuvirtmen.sv +++ b/pipelined/src/lsu/lsuvirtmen.sv @@ -73,20 +73,16 @@ module lsuvirtmem( assign AnyCPUReqM = (|MemRWM) | (|AtomicM); - interlockfsm interlockfsm (.clk, .reset, .AnyCPUReqM, .ITLBMissF, .ITLBWriteF, - .DTLBMissM, .DTLBWriteM, .TrapM, .DCacheStallM, - .InterlockStall, .SelReplayCPURequest, .SelHPTW, - .IgnoreRequest); - - hptw hptw(.clk, .reset, .SATP_REGW, .PCF, .IEUAdrM, - .ITLBMissF(ITLBMissF & ~TrapM), - .DTLBMissM(DTLBMissM & ~TrapM), - .PTE, .PageType, .ITLBWriteF, .DTLBWriteM, - .HPTWReadPTE(ReadDataM), - .DCacheStallM, .HPTWAdr, .HPTWRead, .HPTWSize); + interlockfsm interlockfsm ( + .clk, .reset, .AnyCPUReqM, .ITLBMissF, .ITLBWriteF, + .DTLBMissM, .DTLBWriteM, .TrapM, .DCacheStallM, + .InterlockStall, .SelReplayCPURequest, .SelHPTW, .IgnoreRequest); + hptw hptw( // *** remove logic from (), mention this in style guide CH3 + .clk, .reset, .SATP_REGW, .PCF, .IEUAdrM, + .ITLBMissF(ITLBMissF & ~TrapM), .DTLBMissM(DTLBMissM & ~TrapM), + .PTE, .PageType, .ITLBWriteF, .DTLBWriteM, .HPTWReadPTE(ReadDataM), + .DCacheStallM, .HPTWAdr, .HPTWRead, .HPTWSize); - // arbiter between IEU and hptw - // multiplex the outputs to LSU mux2 #(2) rwmux(MemRWM, {HPTWRead, 1'b0}, SelHPTW, PreLSURWM); mux2 #(3) sizemux(Funct3M, HPTWSize, SelHPTW, LSUFunct3M); @@ -98,5 +94,4 @@ module lsuvirtmem( // always block interrupts when using the hardware page table walker. assign CPUBusy = StallW & ~SelHPTW; - -endmodule; // lsuvirtmem +endmodule diff --git a/pipelined/src/privileged/csrm.sv b/pipelined/src/privileged/csrm.sv index 06c6c018a..ecb705e18 100644 --- a/pipelined/src/privileged/csrm.sv +++ b/pipelined/src/privileged/csrm.sv @@ -145,25 +145,18 @@ module csrm #(parameter assign IllegalCSRMWriteReadonlyM = CSRMWriteM & (CSRAdrM == MVENDORID | CSRAdrM == MARCHID | CSRAdrM == MIMPID | CSRAdrM == MHARTID); // CSRs - flopenr #(`XLEN) MTVECreg(clk, reset, WriteMTVECM, {CSRWriteValM[`XLEN-1:2], 1'b0, CSRWriteValM[0]}, MTVEC_REGW); //busybear: changed reset value to 0 + flopenr #(`XLEN) MTVECreg(clk, reset, WriteMTVECM, {CSRWriteValM[`XLEN-1:2], 1'b0, CSRWriteValM[0]}, MTVEC_REGW); if (`S_SUPPORTED | (`U_SUPPORTED & `N_SUPPORTED)) begin:deleg // DELEG registers should exist flopenr #(`XLEN) MEDELEGreg(clk, reset, WriteMEDELEGM, CSRWriteValM & MEDELEG_MASK /*12'h7FF*/, MEDELEG_REGW); flopenr #(`XLEN) MIDELEGreg(clk, reset, WriteMIDELEGM, CSRWriteValM & MIDELEG_MASK /*12'h222*/, MIDELEG_REGW); - end else begin - assign MEDELEG_REGW = 0; - assign MIDELEG_REGW = 0; - end + end else assign {MEDELEG_REGW, MIDELEG_REGW} = 0; flopenr #(`XLEN) MSCRATCHreg(clk, reset, WriteMSCRATCHM, CSRWriteValM, MSCRATCH_REGW); flopenr #(`XLEN) MEPCreg(clk, reset, WriteMEPCM, NextEPCM, MEPC_REGW); flopenr #(`XLEN) MCAUSEreg(clk, reset, WriteMCAUSEM, NextCauseM, MCAUSE_REGW); if(`QEMU) assign MTVAL_REGW = `XLEN'b0; else flopenr #(`XLEN) MTVALreg(clk, reset, WriteMTVALM, NextMtvalM, MTVAL_REGW); - if (`BUSYBEAR == 1) begin:counters // counter 1 (TIME) enable tied to 0 to match simulator*** - flopenr #(32) MCOUNTERENreg(clk, reset, WriteMCOUNTERENM, {CSRWriteValM[31:2],1'b0,CSRWriteValM[0]}, MCOUNTEREN_REGW); - end else begin:counters - flopenr #(32) MCOUNTERENreg(clk, reset, WriteMCOUNTERENM, CSRWriteValM[31:0], MCOUNTEREN_REGW); - end + flopenr #(32) MCOUNTERENreg(clk, reset, WriteMCOUNTERENM, CSRWriteValM[31:0], MCOUNTEREN_REGW); flopenr #(32) MCOUNTINHIBITreg(clk, reset, WriteMCOUNTINHIBITM, CSRWriteValM[31:0], MCOUNTINHIBIT_REGW); diff --git a/pipelined/src/privileged/csrs.sv b/pipelined/src/privileged/csrs.sv index 0947facef..87cf7765a 100644 --- a/pipelined/src/privileged/csrs.sv +++ b/pipelined/src/privileged/csrs.sv @@ -91,7 +91,7 @@ module csrs #(parameter assign WriteSCOUNTERENM = CSRSWriteM & (CSRAdrM == SCOUNTEREN) & InstrValidNotFlushedM; // CSRs - flopenr #(`XLEN) STVECreg(clk, reset, WriteSTVECM, {CSRWriteValM[`XLEN-1:2], 1'b0, CSRWriteValM[0]}, STVEC_REGW); //busybear: change reset to 0 + flopenr #(`XLEN) STVECreg(clk, reset, WriteSTVECM, {CSRWriteValM[`XLEN-1:2], 1'b0, CSRWriteValM[0]}, STVEC_REGW); flopenr #(`XLEN) SSCRATCHreg(clk, reset, WriteSSCRATCHM, CSRWriteValM, SSCRATCH_REGW); flopenr #(`XLEN) SEPCreg(clk, reset, WriteSEPCM, NextEPCM, SEPC_REGW); flopenr #(`XLEN) SCAUSEreg(clk, reset, WriteSCAUSEM, NextCauseM, SCAUSE_REGW); @@ -100,13 +100,8 @@ module csrs #(parameter flopenr #(`XLEN) SATPreg(clk, reset, WriteSATPM, CSRWriteValM, SATP_REGW); else assign SATP_REGW = 0; // hardwire to zero if virtual memory not supported - if (`BUSYBEAR == 1) begin:scounteren - flopenr #(32) SCOUNTERENreg(clk, reset, WriteSCOUNTERENM, {CSRWriteValM[31:2],1'b0,CSRWriteValM[0]}, SCOUNTEREN_REGW); - end else if (`BUILDROOT == 1) begin:scounteren - flopenr #(32) SCOUNTERENreg(clk, reset, WriteSCOUNTERENM, CSRWriteValM[31:0], SCOUNTEREN_REGW); - end else begin:scounteren - flopens #(32) SCOUNTERENreg(clk, reset, WriteSCOUNTERENM, CSRWriteValM[31:0], SCOUNTEREN_REGW); - end + flopens #(32) SCOUNTERENreg(clk, reset, WriteSCOUNTERENM, CSRWriteValM[31:0], SCOUNTEREN_REGW); + if (`N_SUPPORTED) begin:nregs logic WriteSEDELEGM, WriteSIDELEGM; assign WriteSEDELEGM = CSRSWriteM & (CSRAdrM == SEDELEG); diff --git a/pipelined/src/privileged/csrsr.sv b/pipelined/src/privileged/csrsr.sv index 0d3b32994..53e3bf1c1 100644 --- a/pipelined/src/privileged/csrsr.sv +++ b/pipelined/src/privileged/csrsr.sv @@ -117,7 +117,7 @@ module csrsr ( STATUS_MXR_INT <= #1 0; STATUS_SUM_INT <= #1 0; STATUS_MPRV_INT <= #1 0; // Per Priv 3.3 - STATUS_FS_INT <= #1 0; //2'b01; // busybear: change all these reset values to 0 + STATUS_FS_INT <= #1 0; STATUS_MPP <= #1 0; //`M_MODE; STATUS_SPP <= #1 0; //1'b1; STATUS_MPIE <= #1 0; //1; diff --git a/pipelined/testbench/testbench-linux.sv b/pipelined/testbench/testbench-linux.sv index 06f2cf2e9..0a6fca7f4 100644 --- a/pipelined/testbench/testbench-linux.sv +++ b/pipelined/testbench/testbench-linux.sv @@ -4,7 +4,7 @@ // Written: nboorstin@g.hmc.edu 2021 // Modified: // -// Purpose: Testbench for buildroot or busybear linux +// Purpose: Testbench for Buildroot Linux // // A component of the Wally configurable RISC-V project. // @@ -186,8 +186,8 @@ module testbench; `define SCAUSE `CSR_BASE.csrs.csrs.SCAUSEreg.q `define MEPC `CSR_BASE.csrm.MEPCreg.q `define SEPC `CSR_BASE.csrs.csrs.SEPCreg.q - `define MCOUNTEREN `CSR_BASE.csrm.counters.MCOUNTERENreg.q - `define SCOUNTEREN `CSR_BASE.csrs.csrs.scounteren.SCOUNTERENreg.q + `define MCOUNTEREN `CSR_BASE.csrm.MCOUNTERENreg.q + `define SCOUNTEREN `CSR_BASE.csrs.csrs.SCOUNTERENreg.q `define MSCRATCH `CSR_BASE.csrm.MSCRATCHreg.q `define SSCRATCH `CSR_BASE.csrs.csrs.SSCRATCHreg.q `define MTVEC `CSR_BASE.csrm.MTVECreg.q From 172a02551bd534199fc37c3d8b7fce240ebda677 Mon Sep 17 00:00:00 2001 From: David Harris Date: Wed, 2 Feb 2022 20:32:22 +0000 Subject: [PATCH 011/100] Removed Busybear and Buildroot Configuration --- pipelined/config/buildroot/wally-config.vh | 2 - pipelined/config/busybear/wally-config.vh | 135 --------------------- pipelined/config/fpga/wally-config.vh | 3 - pipelined/config/rv32etim/wally-config.vh | 2 - pipelined/config/rv32gc/wally-config.vh | 2 - pipelined/config/rv32ic/wally-config.vh | 2 - pipelined/config/rv32tim/wally-config.vh | 2 - pipelined/config/rv64BP/wally-config.vh | 2 - pipelined/config/rv64gc/wally-config.vh | 2 - pipelined/config/rv64ic/wally-config.vh | 2 - 10 files changed, 154 deletions(-) delete mode 100644 pipelined/config/busybear/wally-config.vh diff --git a/pipelined/config/buildroot/wally-config.vh b/pipelined/config/buildroot/wally-config.vh index d88ec28ac..aacf39b7b 100644 --- a/pipelined/config/buildroot/wally-config.vh +++ b/pipelined/config/buildroot/wally-config.vh @@ -29,8 +29,6 @@ `define FPGA 1 `define QEMU 1 -`define BUILDROOT 1 -`define BUSYBEAR 0 `define LINUX_FIX_READ {'h10000005} `define LINUX_TEST_VECTORS "../../tests/linux-testgen/linux-testvectors/" // RV32 or RV64: XLEN = 32 or 64 diff --git a/pipelined/config/busybear/wally-config.vh b/pipelined/config/busybear/wally-config.vh deleted file mode 100644 index 38cc37211..000000000 --- a/pipelined/config/busybear/wally-config.vh +++ /dev/null @@ -1,135 +0,0 @@ -////////////////////////////////////////// -// busybear-config.vh -// -// Written: David_Harris@hmc.edu 4 January 2021 -// Modified: -// -// Purpose: Specify which features are configured -// Macros to determine which modes are supported based on MISA -// -// A component of the Wally configurable RISC-V project. -// -// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University -// -// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation -// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, -// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software -// is furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES -// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS -// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT -// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. -/////////////////////////////////////////// - -// include shared configuration -`include "wally-shared.vh" - -`define FPGA 0 -`define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 1 -`define LINUX_FIX_READ {'h10000005} -`define LINUX_TEST_VECTORS "/courses/e190ax/busybear_boot/" -//`define LINUX_TEST_VECTORS "../../../busybear_boot/" -// RV32 or RV64: XLEN = 32 or 64 -`define XLEN 64 - -`define MISA (32'h0014112D) -`define ZICSR_SUPPORTED 1 -`define ZIFENCEI_SUPPORTED 1 -`define ZICOUNTERS_SUPPORTED 1 -`define COUNTERS 32 -`define DESIGN_COMPILER 0 - -// Microarchitectural Features -`define UARCH_PIPELINED 1 -`define UARCH_SUPERSCALR 0 -`define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 0 -`define MEM_DCACHE 1 -`define MEM_IROM 0 -`define MEM_ICACHE 1 -`define MEM_VIRTMEM 1 -`define VECTORED_INTERRUPTS_SUPPORTED 1 - -// TLB configuration. Entries should be a power of 2 -`define ITLB_ENTRIES 32 -`define DTLB_ENTRIES 32 - -// Cache configuration. Sizes should be a power of two -// typical configuration 4 ways, 4096 bytes per way, 256 bit or more lines -`define DCACHE_NUMWAYS 4 -`define DCACHE_WAYSIZEINBYTES 2048 -`define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 -`define ICACHE_NUMWAYS 1 -`define ICACHE_WAYSIZEINBYTES 4096 -`define ICACHE_LINELENINBITS 256 - -// Integer Divider Configuration -// DIV_BITSPERCYCLE must be 1, 2, or 4 -`define DIV_BITSPERCYCLE 4 - -// Legal number of PMP entries are 0, 16, or 64 -`define PMP_ENTRIES 16 - -// Address space -`define RESET_VECTOR 64'h0000000000001000 - -// Peripheral Addresses -// Peripheral memory space extends from BASE to BASE+RANGE -// Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits - -`define BOOTROM_SUPPORTED 1'b1 -//`define BOOTROM_BASE 56'h00000000 // spec had been 0x1000 to 0x2FFF, but dh truncated to 0x1000 to 0x1FFF because upper half seems to be all zeros and this is easier for decoder -//`define BOOTROM_RANGE 56'h00003FFF -`define BOOTROM_BASE 56'h00001000 // spec had been 0x1000 to 0x2FFF, but dh truncated to 0x1000 to 0x1FFF because upper half seems to be all zeros and this is easier for decoder -`define BOOTROM_RANGE 56'h00000FFF -`define RAM_SUPPORTED 1'b1 -`define RAM_BASE 56'h80000000 -`define RAM_RANGE 56'h07FFFFFF -`define EXT_MEM_SUPPORTED 1'b0 -`define EXT_MEM_BASE 56'h80000000 -`define EXT_MEM_RANGE 56'h07FFFFFF -`define CLINT_SUPPORTED 1'b1 -`define CLINT_BASE 56'h02000000 -`define CLINT_RANGE 56'h0000FFFF -`define GPIO_SUPPORTED 1'b1 -`define GPIO_BASE 56'h10060000 -`define GPIO_RANGE 56'h000000FF -`define UART_SUPPORTED 1'b1 -`define UART_BASE 56'h10000000 -`define UART_RANGE 56'h00000007 -`define PLIC_SUPPORTED 1'b1 -`define PLIC_BASE 56'h0C000000 -`define PLIC_RANGE 56'h03FFFFFF -`define SDC_SUPPORTED 1'b0 -`define SDC_BASE 56'h00012100 -`define SDC_RANGE 56'h0000001F - -// Bus Interface width -`define AHBW 64 - -// Test modes - -// Tie GPIO outputs back to inputs -`define GPIO_LOOPBACK_TEST 0 - -// Hardware configuration -//`define UART_PRESCALE 1 -`define UART_PRESCALE 0 - -// Interrupt configuration -`define PLIC_NUM_SRC 53 -`define PLIC_UART_ID 4 - -`define TWO_BIT_PRELOAD "../config/busybear/twoBitPredictor.txt" -`define BTB_PRELOAD "../config/busybear/BTBPredictor.txt" -`define BPTYPE "BPGSHARE" // BPGLOBAL or BPTWOBIT or BPGSHARE -`define BPRED_ENABLED 1 - - - diff --git a/pipelined/config/fpga/wally-config.vh b/pipelined/config/fpga/wally-config.vh index 85cf1fda3..d04d018d4 100644 --- a/pipelined/config/fpga/wally-config.vh +++ b/pipelined/config/fpga/wally-config.vh @@ -29,11 +29,8 @@ `define FPGA 1 `define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 0 `define DESIGN_COMPILER 0 -//`define LINUX_TEST_VECTORS "/courses/e190ax/buildroot_boot/" // RV32 or RV64: XLEN = 32 or 64 `define XLEN 64 diff --git a/pipelined/config/rv32etim/wally-config.vh b/pipelined/config/rv32etim/wally-config.vh index 4dca7f712..f78ae84f0 100644 --- a/pipelined/config/rv32etim/wally-config.vh +++ b/pipelined/config/rv32etim/wally-config.vh @@ -29,8 +29,6 @@ `define FPGA 0 `define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 0 `define DESIGN_COMPILER 0 // RV32 or RV64: XLEN = 32 or 64 diff --git a/pipelined/config/rv32gc/wally-config.vh b/pipelined/config/rv32gc/wally-config.vh index 6e8ace29a..766eb642f 100644 --- a/pipelined/config/rv32gc/wally-config.vh +++ b/pipelined/config/rv32gc/wally-config.vh @@ -29,8 +29,6 @@ `define FPGA 0 `define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 0 `define DESIGN_COMPILER 0 // RV32 or RV64: XLEN = 32 or 64 diff --git a/pipelined/config/rv32ic/wally-config.vh b/pipelined/config/rv32ic/wally-config.vh index 1244ad213..b6b7169f1 100644 --- a/pipelined/config/rv32ic/wally-config.vh +++ b/pipelined/config/rv32ic/wally-config.vh @@ -29,8 +29,6 @@ `define FPGA 0 `define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 0 `define DESIGN_COMPILER 0 // RV32 or RV64: XLEN = 32 or 64 diff --git a/pipelined/config/rv32tim/wally-config.vh b/pipelined/config/rv32tim/wally-config.vh index d1ecb3756..0b913867f 100644 --- a/pipelined/config/rv32tim/wally-config.vh +++ b/pipelined/config/rv32tim/wally-config.vh @@ -29,8 +29,6 @@ `define FPGA 0 `define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 0 `define DESIGN_COMPILER 0 // RV32 or RV64: XLEN = 32 or 64 diff --git a/pipelined/config/rv64BP/wally-config.vh b/pipelined/config/rv64BP/wally-config.vh index 68d9696ed..bf0f4e816 100644 --- a/pipelined/config/rv64BP/wally-config.vh +++ b/pipelined/config/rv64BP/wally-config.vh @@ -30,8 +30,6 @@ // RV32 or RV64: XLEN = 32 or 64 `define FPGA 0 `define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 0 `define DESIGN_COMPILER 0 // RV32 or RV64: XLEN = 32 or 64 diff --git a/pipelined/config/rv64gc/wally-config.vh b/pipelined/config/rv64gc/wally-config.vh index cfe363216..3151129af 100644 --- a/pipelined/config/rv64gc/wally-config.vh +++ b/pipelined/config/rv64gc/wally-config.vh @@ -29,8 +29,6 @@ `define FPGA 0 `define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 0 `define DESIGN_COMPILER 0 // RV32 or RV64: XLEN = 32 or 64 diff --git a/pipelined/config/rv64ic/wally-config.vh b/pipelined/config/rv64ic/wally-config.vh index f570faab1..db29fc2cc 100644 --- a/pipelined/config/rv64ic/wally-config.vh +++ b/pipelined/config/rv64ic/wally-config.vh @@ -29,8 +29,6 @@ `define FPGA 0 `define QEMU 0 -`define BUILDROOT 0 -`define BUSYBEAR 0 `define DESIGN_COMPILER 0 // RV32 or RV64: XLEN = 32 or 64 From bdf1a8ba7358edecaf01a4a2ffeb151b46536729 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 00:41:09 +0000 Subject: [PATCH 012/100] changed DMEM and IMEM configurations to support BUS/TIM/CACHE --- pipelined/config/buildroot/wally-config.vh | 6 ++--- pipelined/config/fpga/wally-config.vh | 6 ++--- pipelined/config/rv32etim/wally-config.vh | 6 ++--- pipelined/config/rv32gc/wally-config.vh | 6 ++--- pipelined/config/rv32ic/wally-config.vh | 6 ++--- pipelined/config/rv32tim/wally-config.vh | 6 ++--- pipelined/config/rv64BP/wally-config.vh | 6 ++--- pipelined/config/rv64gc/wally-config.vh | 6 ++--- pipelined/config/rv64ic/wally-config.vh | 6 ++--- pipelined/config/shared/wally-constants.vh | 4 ++++ pipelined/src/ieu/controller.sv | 2 +- pipelined/src/ifu/ifu.sv | 10 ++++----- pipelined/src/ifu/spillsupport.sv | 4 ++-- pipelined/src/lsu/busdp.sv | 6 ++--- pipelined/src/lsu/lsu.sv | 8 +++---- pipelined/testbench/testbench-fpga.sv | 10 ++++----- pipelined/testbench/testbench-tim.sv | 18 +++++++-------- pipelined/testbench/testbench.sv | 26 ++++++++++------------ 18 files changed, 63 insertions(+), 79 deletions(-) diff --git a/pipelined/config/buildroot/wally-config.vh b/pipelined/config/buildroot/wally-config.vh index aacf39b7b..cd28ec05c 100644 --- a/pipelined/config/buildroot/wally-config.vh +++ b/pipelined/config/buildroot/wally-config.vh @@ -48,10 +48,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 0 -`define MEM_DCACHE 1 -`define MEM_IROM 0 -`define MEM_ICACHE 1 +`define DMEM `MEM_CACHE +`define IMEM `MEM_CACHE `define MEM_VIRTMEM 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 diff --git a/pipelined/config/fpga/wally-config.vh b/pipelined/config/fpga/wally-config.vh index d04d018d4..d09d3b0bb 100644 --- a/pipelined/config/fpga/wally-config.vh +++ b/pipelined/config/fpga/wally-config.vh @@ -47,10 +47,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 0 -`define MEM_DCACHE 1 -`define MEM_IROM 0 -`define MEM_ICACHE 1 +`define DMEM `MEM_CACHE +`define IMEM `MEM_CACHE `define MEM_VIRTMEM 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 diff --git a/pipelined/config/rv32etim/wally-config.vh b/pipelined/config/rv32etim/wally-config.vh index f78ae84f0..d0a9c8792 100644 --- a/pipelined/config/rv32etim/wally-config.vh +++ b/pipelined/config/rv32etim/wally-config.vh @@ -48,10 +48,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 1 -`define MEM_DCACHE 0 -`define MEM_IROM 1 -`define MEM_ICACHE 0 +`define DMEM `MEM_BUS +`define IMEM `MEM_BUS `define MEM_VIRTMEM 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 diff --git a/pipelined/config/rv32gc/wally-config.vh b/pipelined/config/rv32gc/wally-config.vh index 766eb642f..1baa3a8d2 100644 --- a/pipelined/config/rv32gc/wally-config.vh +++ b/pipelined/config/rv32gc/wally-config.vh @@ -47,10 +47,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 0 -`define MEM_DCACHE 1 -`define MEM_IROM 0 -`define MEM_ICACHE 1 +`define DMEM `MEM_CACHE +`define IMEM `MEM_CACHE `define MEM_VIRTMEM 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 diff --git a/pipelined/config/rv32ic/wally-config.vh b/pipelined/config/rv32ic/wally-config.vh index b6b7169f1..27af914bd 100644 --- a/pipelined/config/rv32ic/wally-config.vh +++ b/pipelined/config/rv32ic/wally-config.vh @@ -47,10 +47,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 0 -`define MEM_DCACHE 0 -`define MEM_IROM 0 -`define MEM_ICACHE 0 +`define DMEM `MEM_TIM +`define IMEM `MEM_TIM `define MEM_VIRTMEM 0 `define VECTORED_INTERRUPTS_SUPPORTED 1 diff --git a/pipelined/config/rv32tim/wally-config.vh b/pipelined/config/rv32tim/wally-config.vh index 0b913867f..32f43da20 100644 --- a/pipelined/config/rv32tim/wally-config.vh +++ b/pipelined/config/rv32tim/wally-config.vh @@ -47,10 +47,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 1 -`define MEM_DCACHE 0 -`define MEM_IROM 1 -`define MEM_ICACHE 0 +`define DMEM `MEM_TIM +`define IMEM `MEM_TIM `define MEM_VIRTMEM 0 `define VECTORED_INTERRUPTS_SUPPORTED 1 diff --git a/pipelined/config/rv64BP/wally-config.vh b/pipelined/config/rv64BP/wally-config.vh index bf0f4e816..81786fbb2 100644 --- a/pipelined/config/rv64BP/wally-config.vh +++ b/pipelined/config/rv64BP/wally-config.vh @@ -49,10 +49,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 0 -`define MEM_DCACHE 1 -`define MEM_IROM 0 -`define MEM_ICACHE 1 +`define DMEM `MEM_CACHE +`define IMEM `MEM_CACHE `define MEM_VIRTMEM 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 diff --git a/pipelined/config/rv64gc/wally-config.vh b/pipelined/config/rv64gc/wally-config.vh index 3151129af..5b64ddae4 100644 --- a/pipelined/config/rv64gc/wally-config.vh +++ b/pipelined/config/rv64gc/wally-config.vh @@ -48,10 +48,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 0 -`define MEM_DCACHE 1 -`define MEM_IROM 0 -`define MEM_ICACHE 1 +`define DMEM `MEM_CACHE +`define IMEM `MEM_CACHE `define MEM_VIRTMEM 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 diff --git a/pipelined/config/rv64ic/wally-config.vh b/pipelined/config/rv64ic/wally-config.vh index db29fc2cc..466535da3 100644 --- a/pipelined/config/rv64ic/wally-config.vh +++ b/pipelined/config/rv64ic/wally-config.vh @@ -48,10 +48,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define MEM_DTIM 0 -`define MEM_DCACHE 1 -`define MEM_IROM 0 -`define MEM_ICACHE 1 +`define DMEM `MEM_TIM +`define IMEM `MEM_TIM `define MEM_VIRTMEM 0 `define VECTORED_INTERRUPTS_SUPPORTED 1 diff --git a/pipelined/config/shared/wally-constants.vh b/pipelined/config/shared/wally-constants.vh index 99269ae5b..de934ee32 100644 --- a/pipelined/config/shared/wally-constants.vh +++ b/pipelined/config/shared/wally-constants.vh @@ -50,3 +50,7 @@ `define SV39 8 `define SV48 9 +`define MEM_BUS 1 +`define MEM_TIM 2 +`define MEM_CACHE 3 + diff --git a/pipelined/src/ieu/controller.sv b/pipelined/src/ieu/controller.sv index 99bf3a64a..af426975e 100644 --- a/pipelined/src/ieu/controller.sv +++ b/pipelined/src/ieu/controller.sv @@ -187,7 +187,7 @@ module controller( // Fences // Ordinary fence is presently a nop // FENCE.I flushes the D$ and invalidates the I$ if Zifencei is supported and I$ is implemented - if (`ZIFENCEI_SUPPORTED & `MEM_ICACHE) begin:fencei + if (`ZIFENCEI_SUPPORTED & (`IMEM == `MEM_CACHE)) begin:fencei logic FenceID; assign FenceID = FenceD & (Funct3D == 3'b001); // is it a FENCE.I instruction? assign InvalidateICacheD = FenceID; diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index b6382d48d..ea1c504a7 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -170,16 +170,16 @@ module ifu ( assign InstrRawF = AllInstrRawF[31:0]; - if (`MEM_IROM) begin : irom - dtim irom(.clk, .reset, .CPUBusy, .LSURWM(2'b10), .IEUAdrM(PCPF), .IEUAdrE(PCNextFSpill), + if (`IMEM == `MEM_TIM) begin : irom // *** fix up dtim taking PA_BITS rather than XLEN + dtim irom(.clk, .reset, .CPUBusy, .LSURWM(2'b10), .IEUAdrM(PCPF[31:0]), .IEUAdrE(PCNextFSpill), .TrapM(1'b0), .FinalWriteDataM(), .ReadDataWordM(AllInstrRawF), .BusStall, .LSUBusWrite(), .LSUBusRead(IFUBusRead), .BusCommittedM(), .ReadDataWordMuxM(), .DCacheStallM(ICacheStallF), .DCacheCommittedM(), .DCacheMiss(ICacheMiss), .DCacheAccess(ICacheAccess)); end else begin : bus - localparam integer WORDSPERLINE = `MEM_ICACHE ? `ICACHE_LINELENINBITS/`XLEN : 1; - localparam integer LINELEN = `MEM_ICACHE ? `ICACHE_LINELENINBITS : `XLEN; + localparam integer WORDSPERLINE = (`IMEM == `MEM_CACHE) ? `ICACHE_LINELENINBITS/`XLEN : 1; + localparam integer LINELEN = (`IMEM == `MEM_CACHE) ? `ICACHE_LINELENINBITS : `XLEN; logic [LINELEN-1:0] ICacheMemWriteData; logic [`PA_BITS-1:0] ICacheBusAdr; logic ICacheBusAck; @@ -197,7 +197,7 @@ module ifu ( .IgnoreRequest(ITLBMissF), .LSURWM(2'b10), .CPUBusy, .CacheableM(CacheableF), .BusStall, .BusCommittedM()); - if(`MEM_ICACHE) begin : icache + if(`IMEM == `MEM_CACHE) begin : icache logic [1:0] IFURWF; assign IFURWF = CacheableF ? 2'b10 : 2'b00; diff --git a/pipelined/src/ifu/spillsupport.sv b/pipelined/src/ifu/spillsupport.sv index 86c82cd75..ff8b9b4f6 100644 --- a/pipelined/src/ifu/spillsupport.sv +++ b/pipelined/src/ifu/spillsupport.sv @@ -48,7 +48,7 @@ module spillsupport ( output logic CompressedF); - localparam integer SPILLTHRESHOLD = `MEM_ICACHE ? `ICACHE_LINELENINBITS/32 : 1; + localparam integer SPILLTHRESHOLD = (`IMEM == `MEM_CACHE) ? `ICACHE_LINELENINBITS/32 : 1; logic [`XLEN-1:0] PCPlus2F; logic TakeSpillF; logic SpillF; @@ -91,7 +91,7 @@ module spillsupport ( flopenr #(16) SpillInstrReg(.clk(clk), .en(SpillSaveF), .reset(reset), - .d(`MEM_ICACHE ? InstrRawF[15:0] : InstrRawF[31:16]), + .d((`IMEM == `MEM_CACHE) ? InstrRawF[15:0] : InstrRawF[31:16]), .q(SpillDataLine0)); assign PostSpillInstrRawF = SpillF ? {InstrRawF[15:0], SpillDataLine0} : InstrRawF; diff --git a/pipelined/src/lsu/busdp.sv b/pipelined/src/lsu/busdp.sv index dbed6f445..90a122e22 100644 --- a/pipelined/src/lsu/busdp.sv +++ b/pipelined/src/lsu/busdp.sv @@ -68,8 +68,8 @@ module busdp #(parameter WORDSPERLINE, parameter LINELEN) output logic BusCommittedM); - localparam integer WordCountThreshold = `MEM_DCACHE ? WORDSPERLINE - 1 : 0; - localparam integer LOGWPL = `MEM_DCACHE ? $clog2(WORDSPERLINE) : 1; + localparam integer WordCountThreshold = (`DMEM == `MEM_CACHE) ? WORDSPERLINE - 1 : 0; + localparam integer LOGWPL = (`DMEM == `MEM_CACHE) ? $clog2(WORDSPERLINE) : 1; logic SelUncachedAdr; logic [`XLEN-1:0] PreLSUBusHWDATA; @@ -93,7 +93,7 @@ module busdp #(parameter WORDSPERLINE, parameter LINELEN) mux2 #(`XLEN) UnCachedDataMux(.d0(ReadDataWordM), .d1(DCacheMemWriteData[`XLEN-1:0]), .s(SelUncachedAdr), .y(ReadDataWordMuxM)); - busfsm #(WordCountThreshold, LOGWPL, `MEM_DCACHE) + busfsm #(WordCountThreshold, LOGWPL, (`DMEM == `MEM_CACHE)) // *** cleanup busfsm(.clk, .reset, .IgnoreRequest, .LSURWM, .DCacheFetchLine, .DCacheWriteLine, .LSUBusAck, .CPUBusy, .CacheableM, .BusStall, .LSUBusWrite, .LSUBusRead, .DCacheBusAck, .BusCommittedM, .SelUncachedAdr, .WordCount); diff --git a/pipelined/src/lsu/lsu.sv b/pipelined/src/lsu/lsu.sv index 25397be28..f94d92655 100644 --- a/pipelined/src/lsu/lsu.sv +++ b/pipelined/src/lsu/lsu.sv @@ -174,15 +174,15 @@ module lsu ( logic [`XLEN-1:0] ReadDataWordM; logic [`XLEN-1:0] ReadDataWordMuxM; - if (`MEM_DTIM) begin : dtim + if (`DMEM == `MEM_TIM) begin : dtim dtim dtim(.clk, .reset, .CPUBusy, .LSURWM, .IEUAdrM, .IEUAdrE, .TrapM, .FinalWriteDataM, .ReadDataWordM, .BusStall, .LSUBusWrite,.LSUBusRead, .BusCommittedM, .ReadDataWordMuxM, .DCacheStallM, .DCacheCommittedM, .DCacheMiss, .DCacheAccess); end else begin : bus - localparam integer WORDSPERLINE = `MEM_DCACHE ? `DCACHE_LINELENINBITS/`XLEN : 1; - localparam integer LINELEN = `MEM_DCACHE ? `DCACHE_LINELENINBITS : `XLEN; + localparam integer WORDSPERLINE = (`DMEM == `MEM_CACHE) ? `DCACHE_LINELENINBITS/`XLEN : 1; + localparam integer LINELEN = (`DMEM == `MEM_CACHE) ? `DCACHE_LINELENINBITS : `XLEN; logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0]; logic [LINELEN-1:0] DCacheMemWriteData; logic [`PA_BITS-1:0] DCacheBusAdr; @@ -198,7 +198,7 @@ module lsu ( .ReadDataWordM, .ReadDataWordMuxM, .IgnoreRequest, .LSURWM, .CPUBusy, .CacheableM, .BusStall, .BusCommittedM); - if(`MEM_DCACHE) begin : dcache + if(`DMEM == `MEM_CACHE) begin : dcache cache #(.LINELEN(`DCACHE_LINELENINBITS), .NUMLINES(`DCACHE_WAYSIZEINBYTES*8/LINELEN), .NUMWAYS(`DCACHE_NUMWAYS), .DCACHE(1)) dcache(.clk, .reset, .CPUBusy, diff --git a/pipelined/testbench/testbench-fpga.sv b/pipelined/testbench/testbench-fpga.sv index e92180d2c..b16037835 100644 --- a/pipelined/testbench/testbench-fpga.sv +++ b/pipelined/testbench/testbench-fpga.sv @@ -782,17 +782,17 @@ module riscvassertions(); assert (`PMP_ENTRIES == 0 | `PMP_ENTRIES==16 | `PMP_ENTRIES==64) else $error("Illegal number of PMP entries: PMP_ENTRIES must be 0, 16, or 64"); assert (`F_SUPPORTED | ~`D_SUPPORTED) else $error("Can't support double without supporting float"); assert (`XLEN == 64 | ~`D_SUPPORTED) else $error("Wally does not yet support D extensions on RV32"); - assert (`DCACHE_WAYSIZEINBYTES <= 4096 | `MEM_DCACHE == 0 | `MEM_VIRTMEM == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); - assert (`DCACHE_LINELENINBITS >= 128 | `MEM_DCACHE == 0) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); + assert (`DCACHE_WAYSIZEINBYTES <= 4096 | (`DMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`DCACHE_LINELENINBITS >= 128 | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); assert (`DCACHE_LINELENINBITS < `DCACHE_WAYSIZEINBYTES*8) else $error("DCACHE_LINELENINBITS must be smaller than way size"); - assert (`ICACHE_WAYSIZEINBYTES <= 4096 | `MEM_ICACHE == 0 | `MEM_VIRTMEM == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); - assert (`ICACHE_LINELENINBITS >= 32 | `MEM_ICACHE == 0) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); + assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`ICACHE_LINELENINBITS >= 32 | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); assert (`ICACHE_LINELENINBITS < `ICACHE_WAYSIZEINBYTES*8) else $error("ICACHE_LINELENINBITS must be smaller than way size"); assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS) else $error("DCACHE_LINELENINBITS must be a power of 2"); assert (2**$clog2(`DCACHE_WAYSIZEINBYTES) == `DCACHE_WAYSIZEINBYTES) else $error("DCACHE_WAYSIZEINBYTES must be a power of 2"); assert (2**$clog2(`ICACHE_LINELENINBITS) == `ICACHE_LINELENINBITS) else $error("ICACHE_LINELENINBITS must be a power of 2"); assert (2**$clog2(`ICACHE_WAYSIZEINBYTES) == `ICACHE_WAYSIZEINBYTES) else $error("ICACHE_WAYSIZEINBYTES must be a power of 2"); - assert (`ICACHE_NUMWAYS == 1 | `MEM_ICACHE == 0) else $warning("Multiple Instruction Cache ways not yet implemented"); + assert (`ICACHE_NUMWAYS == 1 | (`IMEM != `MEM_CACHE)) else $warning("Multiple Instruction Cache ways not yet implemented"); assert (2**$clog2(`ITLB_ENTRIES) == `ITLB_ENTRIES) else $error("ITLB_ENTRIES must be a power of 2"); assert (2**$clog2(`DTLB_ENTRIES) == `DTLB_ENTRIES) else $error("DTLB_ENTRIES must be a power of 2"); assert (`RAM_RANGE >= 56'h07FFFFFF) else $error("Some regression tests will fail if RAM_RANGE is less than 56'h07FFFFFF"); diff --git a/pipelined/testbench/testbench-tim.sv b/pipelined/testbench/testbench-tim.sv index 64d989292..a5da301aa 100644 --- a/pipelined/testbench/testbench-tim.sv +++ b/pipelined/testbench/testbench-tim.sv @@ -351,16 +351,16 @@ module riscvassertions; assert (`DIV_BITSPERCYCLE == 1 | `DIV_BITSPERCYCLE==2 | `DIV_BITSPERCYCLE==4) else $error("Illegal number of divider bits/cycle: DIV_BITSPERCYCLE must be 1, 2, or 4"); assert (`F_SUPPORTED | ~`D_SUPPORTED) else $error("Can't support double (D) without supporting float (F)"); assert (`XLEN == 64 | ~`D_SUPPORTED) else $error("Wally does not yet support D extensions on RV32"); - assert (`DCACHE_WAYSIZEINBYTES <= 4096 | `MEM_DCACHE == 0 | `MEM_VIRTMEM == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); - assert (`DCACHE_LINELENINBITS >= 128 | `MEM_DCACHE == 0) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); + assert (`DCACHE_WAYSIZEINBYTES <= 4096 | (`DMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`DCACHE_LINELENINBITS >= 128 | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); assert (`DCACHE_LINELENINBITS < `DCACHE_WAYSIZEINBYTES*8) else $error("DCACHE_LINELENINBITS must be smaller than way size"); - assert (`ICACHE_WAYSIZEINBYTES <= 4096 | `MEM_ICACHE == 0 | `MEM_VIRTMEM == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); - assert (`ICACHE_LINELENINBITS >= 32 | `MEM_ICACHE == 0) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); + assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`ICACHE_LINELENINBITS >= 32 | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); assert (`ICACHE_LINELENINBITS < `ICACHE_WAYSIZEINBYTES*8) else $error("ICACHE_LINELENINBITS must be smaller than way size"); - assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS | `MEM_DCACHE==0) else $error("DCACHE_LINELENINBITS must be a power of 2"); - assert (2**$clog2(`DCACHE_WAYSIZEINBYTES) == `DCACHE_WAYSIZEINBYTES | `MEM_DCACHE==0) else $error("DCACHE_WAYSIZEINBYTES must be a power of 2"); - assert (2**$clog2(`ICACHE_LINELENINBITS) == `ICACHE_LINELENINBITS | `MEM_ICACHE==0) else $error("ICACHE_LINELENINBITS must be a power of 2"); - assert (2**$clog2(`ICACHE_WAYSIZEINBYTES) == `ICACHE_WAYSIZEINBYTES | `MEM_ICACHE==0) else $error("ICACHE_WAYSIZEINBYTES must be a power of 2"); + assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be a power of 2"); + assert (2**$clog2(`DCACHE_WAYSIZEINBYTES) == `DCACHE_WAYSIZEINBYTES | (`DMEM != `MEM_CACHE)) else $error("DCACHE_WAYSIZEINBYTES must be a power of 2"); + assert (2**$clog2(`ICACHE_LINELENINBITS) == `ICACHE_LINELENINBITS | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be a power of 2"); + assert (2**$clog2(`ICACHE_WAYSIZEINBYTES) == `ICACHE_WAYSIZEINBYTES | (`IMEM != `MEM_CACHE)) else $error("ICACHE_WAYSIZEINBYTES must be a power of 2"); assert (2**$clog2(`ITLB_ENTRIES) == `ITLB_ENTRIES | `MEM_VIRTMEM==0) else $error("ITLB_ENTRIES must be a power of 2"); assert (2**$clog2(`DTLB_ENTRIES) == `DTLB_ENTRIES | `MEM_VIRTMEM==0) else $error("DTLB_ENTRIES must be a power of 2"); assert (`RAM_RANGE >= 56'h07FFFFFF) else $warning("Some regression tests will fail if RAM_RANGE is less than 56'h07FFFFFF"); @@ -384,7 +384,7 @@ module DCacheFlushFSM logic [`XLEN-1:0] ShadowRAM[`RAM_BASE>>(1+`XLEN/32):(`RAM_RANGE+`RAM_BASE)>>1+(`XLEN/32)]; - if(`MEM_DCACHE) begin + if(`DMEM == `MEM_CACHE) begin localparam integer numlines = testbench.dut.core.lsu.bus.dcache.dcache.NUMLINES; localparam integer numways = testbench.dut.core.lsu.bus.dcache.dcache.NUMWAYS; localparam integer linebytelen = testbench.dut.core.lsu.bus.dcache.dcache.LINEBYTELEN; diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index 3727f692d..2832370c8 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -198,7 +198,6 @@ logic [3:0] dummy; else pathname = tvpaths[1]; */ memfilename = {pathname, tests[test], ".elf.memfile"}; $readmemh(memfilename, dut.uncore.ram.ram.RAM); - //if(`MEM_DTIM == 1) $readmemh(memfilename, dut.core.lsu.dtim.ram.RAM); ProgramAddrMapFile = {pathname, tests[test], ".elf.objdump.addr"}; ProgramLabelMapFile = {pathname, tests[test], ".elf.objdump.lab"}; $display("Read memfile %s", memfilename); @@ -288,7 +287,6 @@ logic [3:0] dummy; //pathname = tvpaths[tests[0]]; memfilename = {pathname, tests[test], ".elf.memfile"}; $readmemh(memfilename, dut.uncore.ram.ram.RAM); - //if(`MEM_DTIM == 1) $readmemh(memfilename, dut.core.lsu.dtim.ram.RAM); ProgramAddrMapFile = {pathname, tests[test], ".elf.objdump.addr"}; ProgramLabelMapFile = {pathname, tests[test], ".elf.objdump.lab"}; $display("Read memfile %s", memfilename); @@ -341,25 +339,25 @@ module riscvassertions; assert (`F_SUPPORTED | ~`D_SUPPORTED) else $error("Can't support double (D) without supporting float (F)"); assert (`I_SUPPORTED ^ `E_SUPPORTED) else $error("Exactly one of I and E must be supported"); assert (`XLEN == 64 | ~`D_SUPPORTED) else $error("Wally does not yet support D extensions on RV32"); - assert (`DCACHE_WAYSIZEINBYTES <= 4096 | `MEM_DCACHE == 0 | `MEM_VIRTMEM == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); - assert (`DCACHE_LINELENINBITS >= 128 | `MEM_DCACHE == 0) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); + assert (`DCACHE_WAYSIZEINBYTES <= 4096 | (`DMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`DCACHE_LINELENINBITS >= 128 | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); assert (`DCACHE_LINELENINBITS < `DCACHE_WAYSIZEINBYTES*8) else $error("DCACHE_LINELENINBITS must be smaller than way size"); - assert (`ICACHE_WAYSIZEINBYTES <= 4096 | `MEM_ICACHE == 0 | `MEM_VIRTMEM == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); - assert (`ICACHE_LINELENINBITS >= 32 | `MEM_ICACHE == 0) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); + assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`ICACHE_LINELENINBITS >= 32 | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); assert (`ICACHE_LINELENINBITS < `ICACHE_WAYSIZEINBYTES*8) else $error("ICACHE_LINELENINBITS must be smaller than way size"); - assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS | `MEM_DCACHE==0) else $error("DCACHE_LINELENINBITS must be a power of 2"); - assert (2**$clog2(`DCACHE_WAYSIZEINBYTES) == `DCACHE_WAYSIZEINBYTES | `MEM_DCACHE==0) else $error("DCACHE_WAYSIZEINBYTES must be a power of 2"); - assert (2**$clog2(`ICACHE_LINELENINBITS) == `ICACHE_LINELENINBITS | `MEM_ICACHE==0) else $error("ICACHE_LINELENINBITS must be a power of 2"); - assert (2**$clog2(`ICACHE_WAYSIZEINBYTES) == `ICACHE_WAYSIZEINBYTES | `MEM_ICACHE==0) else $error("ICACHE_WAYSIZEINBYTES must be a power of 2"); + assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be a power of 2"); + assert (2**$clog2(`DCACHE_WAYSIZEINBYTES) == `DCACHE_WAYSIZEINBYTES | (`DMEM != `MEM_CACHE)) else $error("DCACHE_WAYSIZEINBYTES must be a power of 2"); + assert (2**$clog2(`ICACHE_LINELENINBITS) == `ICACHE_LINELENINBITS | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be a power of 2"); + assert (2**$clog2(`ICACHE_WAYSIZEINBYTES) == `ICACHE_WAYSIZEINBYTES | (`IMEM != `MEM_CACHE)) else $error("ICACHE_WAYSIZEINBYTES must be a power of 2"); assert (2**$clog2(`ITLB_ENTRIES) == `ITLB_ENTRIES | `MEM_VIRTMEM==0) else $error("ITLB_ENTRIES must be a power of 2"); assert (2**$clog2(`DTLB_ENTRIES) == `DTLB_ENTRIES | `MEM_VIRTMEM==0) else $error("DTLB_ENTRIES must be a power of 2"); assert (`RAM_RANGE >= 56'h07FFFFFF) else $warning("Some regression tests will fail if RAM_RANGE is less than 56'h07FFFFFF"); assert (`ZICSR_SUPPORTED == 1 | (`PMP_ENTRIES == 0 & `MEM_VIRTMEM == 0)) else $error("PMP_ENTRIES and MEM_VIRTMEM must be zero if ZICSR not supported."); assert (`ZICSR_SUPPORTED == 1 | (`S_SUPPORTED == 0 & `U_SUPPORTED == 0)) else $error("S and U modes not supported if ZISR not supported"); assert (`U_SUPPORTED | (`S_SUPPORTED == 0)) else $error ("S mode only supported if U also is supported"); - assert (`MEM_DCACHE == 0 | `MEM_DTIM == 0) else $error("Can't simultaneously have a data cache and TIM"); - assert (`MEM_DTIM == 0 | `MEM_VIRTMEM ==0) else $error("DTIM doesn't play nicely with virtual memory"); - assert (`MEM_IROM == 0 | `MEM_VIRTMEM ==0) else $error("IROM doesn't play nicely with virtual memory"); +// assert (`MEM_DCACHE == 0 | `MEM_DTIM == 0) else $error("Can't simultaneously have a data cache and TIM"); + assert (`DMEM == `MEM_CACHE | `MEM_VIRTMEM ==0) else $error("Virtual memory needs dcache"); + assert (`IMEM == `MEM_CACHE | `MEM_VIRTMEM ==0) else $error("Virtual memory needs icache"); end endmodule @@ -377,7 +375,7 @@ module DCacheFlushFSM logic [`XLEN-1:0] ShadowRAM[`RAM_BASE>>(1+`XLEN/32):(`RAM_RANGE+`RAM_BASE)>>1+(`XLEN/32)]; - if(`MEM_DCACHE) begin + if(`DMEM == `MEM_CACHE) begin localparam integer numlines = testbench.dut.core.lsu.bus.dcache.dcache.NUMLINES; localparam integer numways = testbench.dut.core.lsu.bus.dcache.dcache.NUMWAYS; localparam integer linebytelen = testbench.dut.core.lsu.bus.dcache.dcache.LINEBYTELEN; From 9e0055cbb956d4234ffcffc3cb6a52bf2927415e Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 01:08:34 +0000 Subject: [PATCH 013/100] More config file cleanup; 32ic tests broken --- pipelined/config/buildroot/wally-config.vh | 3 +-- pipelined/config/fpga/wally-config.vh | 3 +-- pipelined/config/rv32etim/wally-config.vh | 3 +-- pipelined/config/rv32gc/wally-config.vh | 3 +-- pipelined/config/rv32ic/wally-config.vh | 3 +-- pipelined/config/rv32tim/wally-config.vh | 3 +-- pipelined/config/rv64BP/wally-config.vh | 3 +-- pipelined/config/rv64gc/wally-config.vh | 3 +-- pipelined/config/rv64ic/wally-config.vh | 3 +-- pipelined/regression/sim-wally | 2 +- pipelined/src/lsu/lsu.sv | 2 +- pipelined/src/mmu/mmu.sv | 2 +- pipelined/src/privileged/csrs.sv | 4 ++-- pipelined/src/privileged/csrsr.sv | 2 +- pipelined/testbench/testbench-fpga.sv | 8 ++++---- pipelined/testbench/testbench-tim.sv | 16 ++++++++-------- pipelined/testbench/testbench.sv | 20 ++++++++++---------- 17 files changed, 37 insertions(+), 46 deletions(-) diff --git a/pipelined/config/buildroot/wally-config.vh b/pipelined/config/buildroot/wally-config.vh index cd28ec05c..f879ce2a6 100644 --- a/pipelined/config/buildroot/wally-config.vh +++ b/pipelined/config/buildroot/wally-config.vh @@ -50,7 +50,7 @@ `define UARCH_SINGLECYCLE 0 `define DMEM `MEM_CACHE `define IMEM `MEM_CACHE -`define MEM_VIRTMEM 1 +`define VIRTMEM_SUPPORTED 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 // TLB configuration. Entries should be a power of 2 @@ -62,7 +62,6 @@ `define DCACHE_NUMWAYS 4 `define DCACHE_WAYSIZEINBYTES 4096 `define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 `define ICACHE_NUMWAYS 4 `define ICACHE_WAYSIZEINBYTES 4096 `define ICACHE_LINELENINBITS 256 diff --git a/pipelined/config/fpga/wally-config.vh b/pipelined/config/fpga/wally-config.vh index d09d3b0bb..58efd0463 100644 --- a/pipelined/config/fpga/wally-config.vh +++ b/pipelined/config/fpga/wally-config.vh @@ -49,7 +49,7 @@ `define UARCH_SINGLECYCLE 0 `define DMEM `MEM_CACHE `define IMEM `MEM_CACHE -`define MEM_VIRTMEM 1 +`define VIRTMEM_SUPPORTED 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 // TLB configuration. Entries should be a power of 2 @@ -61,7 +61,6 @@ `define DCACHE_NUMWAYS 4 `define DCACHE_WAYSIZEINBYTES 4096 `define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 `define ICACHE_NUMWAYS 4 `define ICACHE_WAYSIZEINBYTES 4096 `define ICACHE_LINELENINBITS 256 diff --git a/pipelined/config/rv32etim/wally-config.vh b/pipelined/config/rv32etim/wally-config.vh index d0a9c8792..bbeccbe85 100644 --- a/pipelined/config/rv32etim/wally-config.vh +++ b/pipelined/config/rv32etim/wally-config.vh @@ -50,7 +50,7 @@ `define UARCH_SINGLECYCLE 0 `define DMEM `MEM_BUS `define IMEM `MEM_BUS -`define MEM_VIRTMEM 0 +`define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 // TLB configuration. Entries should be a power of 2 @@ -62,7 +62,6 @@ `define DCACHE_NUMWAYS 4 `define DCACHE_WAYSIZEINBYTES 4096 `define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 `define ICACHE_NUMWAYS 4 `define ICACHE_WAYSIZEINBYTES 4096 `define ICACHE_LINELENINBITS 256 diff --git a/pipelined/config/rv32gc/wally-config.vh b/pipelined/config/rv32gc/wally-config.vh index 1baa3a8d2..a3859740c 100644 --- a/pipelined/config/rv32gc/wally-config.vh +++ b/pipelined/config/rv32gc/wally-config.vh @@ -49,7 +49,7 @@ `define UARCH_SINGLECYCLE 0 `define DMEM `MEM_CACHE `define IMEM `MEM_CACHE -`define MEM_VIRTMEM 1 +`define VIRTMEM_SUPPORTED 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 // TLB configuration. Entries should be a power of 2 @@ -61,7 +61,6 @@ `define DCACHE_NUMWAYS 4 `define DCACHE_WAYSIZEINBYTES 4096 `define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 `define ICACHE_NUMWAYS 4 `define ICACHE_WAYSIZEINBYTES 4096 `define ICACHE_LINELENINBITS 256 diff --git a/pipelined/config/rv32ic/wally-config.vh b/pipelined/config/rv32ic/wally-config.vh index 27af914bd..d0b8adfb0 100644 --- a/pipelined/config/rv32ic/wally-config.vh +++ b/pipelined/config/rv32ic/wally-config.vh @@ -49,7 +49,7 @@ `define UARCH_SINGLECYCLE 0 `define DMEM `MEM_TIM `define IMEM `MEM_TIM -`define MEM_VIRTMEM 0 +`define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 1 // TLB configuration. Entries should be a power of 2 @@ -61,7 +61,6 @@ `define DCACHE_NUMWAYS 4 `define DCACHE_WAYSIZEINBYTES 4096 `define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 `define ICACHE_NUMWAYS 4 `define ICACHE_WAYSIZEINBYTES 4096 `define ICACHE_LINELENINBITS 256 diff --git a/pipelined/config/rv32tim/wally-config.vh b/pipelined/config/rv32tim/wally-config.vh index 32f43da20..0fb1dafa2 100644 --- a/pipelined/config/rv32tim/wally-config.vh +++ b/pipelined/config/rv32tim/wally-config.vh @@ -49,7 +49,7 @@ `define UARCH_SINGLECYCLE 0 `define DMEM `MEM_TIM `define IMEM `MEM_TIM -`define MEM_VIRTMEM 0 +`define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 1 // TLB configuration. Entries should be a power of 2 @@ -61,7 +61,6 @@ `define DCACHE_NUMWAYS 4 `define DCACHE_WAYSIZEINBYTES 4096 `define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 `define ICACHE_NUMWAYS 4 `define ICACHE_WAYSIZEINBYTES 4096 `define ICACHE_LINELENINBITS 256 diff --git a/pipelined/config/rv64BP/wally-config.vh b/pipelined/config/rv64BP/wally-config.vh index 81786fbb2..78230552c 100644 --- a/pipelined/config/rv64BP/wally-config.vh +++ b/pipelined/config/rv64BP/wally-config.vh @@ -51,7 +51,7 @@ `define UARCH_SINGLECYCLE 0 `define DMEM `MEM_CACHE `define IMEM `MEM_CACHE -`define MEM_VIRTMEM 1 +`define VIRTMEM_SUPPORTED 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 // TLB configuration. Entries should be a power of 2 @@ -63,7 +63,6 @@ `define DCACHE_NUMWAYS 4 `define DCACHE_WAYSIZEINBYTES 4096 `define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 `define ICACHE_NUMWAYS 4 `define ICACHE_WAYSIZEINBYTES 4096 `define ICACHE_LINELENINBITS 256 diff --git a/pipelined/config/rv64gc/wally-config.vh b/pipelined/config/rv64gc/wally-config.vh index 5b64ddae4..f5bc8a29f 100644 --- a/pipelined/config/rv64gc/wally-config.vh +++ b/pipelined/config/rv64gc/wally-config.vh @@ -50,7 +50,7 @@ `define UARCH_SINGLECYCLE 0 `define DMEM `MEM_CACHE `define IMEM `MEM_CACHE -`define MEM_VIRTMEM 1 +`define VIRTMEM_SUPPORTED 1 `define VECTORED_INTERRUPTS_SUPPORTED 1 // TLB configuration. Entries should be a power of 2 @@ -62,7 +62,6 @@ `define DCACHE_NUMWAYS 4 `define DCACHE_WAYSIZEINBYTES 4096 `define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 `define ICACHE_NUMWAYS 4 `define ICACHE_WAYSIZEINBYTES 4096 `define ICACHE_LINELENINBITS 256 diff --git a/pipelined/config/rv64ic/wally-config.vh b/pipelined/config/rv64ic/wally-config.vh index 466535da3..00b9a87f5 100644 --- a/pipelined/config/rv64ic/wally-config.vh +++ b/pipelined/config/rv64ic/wally-config.vh @@ -50,7 +50,7 @@ `define UARCH_SINGLECYCLE 0 `define DMEM `MEM_TIM `define IMEM `MEM_TIM -`define MEM_VIRTMEM 0 +`define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 1 // TLB configuration. Entries should be a power of 2 @@ -62,7 +62,6 @@ `define DCACHE_NUMWAYS 4 `define DCACHE_WAYSIZEINBYTES 4096 `define DCACHE_LINELENINBITS 256 -`define DCACHE_REPLBITS 3 `define ICACHE_NUMWAYS 4 `define ICACHE_WAYSIZEINBYTES 4096 `define ICACHE_LINELENINBITS 256 diff --git a/pipelined/regression/sim-wally b/pipelined/regression/sim-wally index ec21b653f..3eb310cad 100755 --- a/pipelined/regression/sim-wally +++ b/pipelined/regression/sim-wally @@ -1,2 +1,2 @@ -vsim -do "do wally-pipelined.do rv32tim arch32i" +vsim -do "do wally-pipelined.do rv32ic arch32i" diff --git a/pipelined/src/lsu/lsu.sv b/pipelined/src/lsu/lsu.sv index f94d92655..d57aedd3a 100644 --- a/pipelined/src/lsu/lsu.sv +++ b/pipelined/src/lsu/lsu.sv @@ -110,7 +110,7 @@ module lsu ( // MMU include PMP and is needed if any privileged supported ///////////////////////////////////////////////////////////////////////////////////////////// - if(`MEM_VIRTMEM) begin : MEM_VIRTMEM + if(`VIRTMEM_SUPPORTED) begin : VIRTMEM_SUPPORTED lsuvirtmem lsuvirtmem(.clk, .reset, .StallW, .MemRWM, .AtomicM, .ITLBMissF, .ITLBWriteF, .DTLBMissM, .DTLBWriteM, .TrapM, .DCacheStallM, .SATP_REGW, .PCF, .ReadDataM, .Funct3M, .LSUFunct3M, .Funct7M, .LSUFunct7M, .IEUAdrM, diff --git a/pipelined/src/mmu/mmu.sv b/pipelined/src/mmu/mmu.sv index c9778c15f..bb74f1372 100644 --- a/pipelined/src/mmu/mmu.sv +++ b/pipelined/src/mmu/mmu.sv @@ -97,7 +97,7 @@ module mmu #(parameter TLB_ENTRIES = 8, // number of TLB Entries logic TLBPageFault; // only instantiate TLB if Virtual Memory is supported - if (`MEM_VIRTMEM) begin:tlb + if (`VIRTMEM_SUPPORTED) begin:tlb logic ReadAccess, WriteAccess; assign ReadAccess = ExecuteAccessF | ReadAccessM; // execute also acts as a TLB read. Execute and Read are never active for the same MMU, so safe to mix pipestages assign WriteAccess = WriteAccessM; diff --git a/pipelined/src/privileged/csrs.sv b/pipelined/src/privileged/csrs.sv index 87cf7765a..be8b3adca 100644 --- a/pipelined/src/privileged/csrs.sv +++ b/pipelined/src/privileged/csrs.sv @@ -96,7 +96,7 @@ module csrs #(parameter flopenr #(`XLEN) SEPCreg(clk, reset, WriteSEPCM, NextEPCM, SEPC_REGW); flopenr #(`XLEN) SCAUSEreg(clk, reset, WriteSCAUSEM, NextCauseM, SCAUSE_REGW); flopenr #(`XLEN) STVALreg(clk, reset, WriteSTVALM, NextMtvalM, STVAL_REGW); - if (`MEM_VIRTMEM) + if (`VIRTMEM_SUPPORTED) flopenr #(`XLEN) SATPreg(clk, reset, WriteSATPM, CSRWriteValM, SATP_REGW); else assign SATP_REGW = 0; // hardwire to zero if virtual memory not supported @@ -129,7 +129,7 @@ module csrs #(parameter SEPC: CSRSReadValM = SEPC_REGW; SCAUSE: CSRSReadValM = SCAUSE_REGW; STVAL: CSRSReadValM = STVAL_REGW; - SATP: if (`MEM_VIRTMEM & (PrivilegeModeW == `M_MODE | ~STATUS_TVM)) CSRSReadValM = SATP_REGW; + SATP: if (`VIRTMEM_SUPPORTED & (PrivilegeModeW == `M_MODE | ~STATUS_TVM)) CSRSReadValM = SATP_REGW; else begin CSRSReadValM = 0; if (PrivilegeModeW == `S_MODE & STATUS_TVM) IllegalCSRSAccessM = 1; diff --git a/pipelined/src/privileged/csrsr.sv b/pipelined/src/privileged/csrsr.sv index 53e3bf1c1..1ccf6c8db 100644 --- a/pipelined/src/privileged/csrsr.sv +++ b/pipelined/src/privileged/csrsr.sv @@ -96,7 +96,7 @@ module csrsr ( // SXL and UXL bits only matter for RV64. Set to 10 for RV64 if mode is supported, or 0 if not assign STATUS_SXL = `S_SUPPORTED & ~`QEMU ? 2'b10 : 2'b00; // 10 if supervisor mode supported assign STATUS_UXL = `U_SUPPORTED & ~`QEMU ? 2'b10 : 2'b00; // 10 if user mode supported - assign STATUS_SUM = `S_SUPPORTED & `MEM_VIRTMEM & STATUS_SUM_INT; // override reigster with 0 if supervisor mode not supported + assign STATUS_SUM = `S_SUPPORTED & `VIRTMEM_SUPPORTED & STATUS_SUM_INT; // override reigster with 0 if supervisor mode not supported assign STATUS_MPRV = `U_SUPPORTED & STATUS_MPRV_INT; // override with 0 if user mode not supported assign STATUS_FS = (`S_SUPPORTED & (`F_SUPPORTED | `D_SUPPORTED)) ? STATUS_FS_INT : 2'b00; // off if no FP assign STATUS_SD = (STATUS_FS == 2'b11) | (STATUS_XS == 2'b11); // dirty state logic diff --git a/pipelined/testbench/testbench-fpga.sv b/pipelined/testbench/testbench-fpga.sv index b16037835..9f9024b8f 100644 --- a/pipelined/testbench/testbench-fpga.sv +++ b/pipelined/testbench/testbench-fpga.sv @@ -549,7 +549,7 @@ string tests32f[] = '{ if (`M_SUPPORTED) tests = {tests, tests64m}; if (`F_SUPPORTED) tests = {tests64f, tests}; if (`D_SUPPORTED) tests = {tests64d, tests}; - if (`MEM_VIRTMEM) tests = {tests64mmu, tests}; + if (`VIRTMEM_SUPPORTED) tests = {tests64mmu, tests}; if (`A_SUPPORTED) tests = {tests64a, tests}; end //tests = {tests64a, tests}; @@ -565,7 +565,7 @@ string tests32f[] = '{ else tests = {tests, tests32iNOc}; if (`M_SUPPORTED % 2 == 1) tests = {tests, tests32m}; if (`F_SUPPORTED) tests = {tests32f, tests}; - if (`MEM_VIRTMEM) tests = {tests32mmu, tests}; + if (`VIRTMEM_SUPPORTED) tests = {tests32mmu, tests}; if (`A_SUPPORTED) tests = {tests32a, tests}; end end @@ -782,10 +782,10 @@ module riscvassertions(); assert (`PMP_ENTRIES == 0 | `PMP_ENTRIES==16 | `PMP_ENTRIES==64) else $error("Illegal number of PMP entries: PMP_ENTRIES must be 0, 16, or 64"); assert (`F_SUPPORTED | ~`D_SUPPORTED) else $error("Can't support double without supporting float"); assert (`XLEN == 64 | ~`D_SUPPORTED) else $error("Wally does not yet support D extensions on RV32"); - assert (`DCACHE_WAYSIZEINBYTES <= 4096 | (`DMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`DCACHE_WAYSIZEINBYTES <= 4096 | (`DMEM != `MEM_CACHE) | `VIRTMEM_SUPPORTED == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); assert (`DCACHE_LINELENINBITS >= 128 | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); assert (`DCACHE_LINELENINBITS < `DCACHE_WAYSIZEINBYTES*8) else $error("DCACHE_LINELENINBITS must be smaller than way size"); - assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `VIRTMEM_SUPPORTED == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); assert (`ICACHE_LINELENINBITS >= 32 | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); assert (`ICACHE_LINELENINBITS < `ICACHE_WAYSIZEINBYTES*8) else $error("ICACHE_LINELENINBITS must be smaller than way size"); assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS) else $error("DCACHE_LINELENINBITS must be a power of 2"); diff --git a/pipelined/testbench/testbench-tim.sv b/pipelined/testbench/testbench-tim.sv index a5da301aa..9ac4f84e2 100644 --- a/pipelined/testbench/testbench-tim.sv +++ b/pipelined/testbench/testbench-tim.sv @@ -92,7 +92,7 @@ logic [3:0] dummy; "arch64d": if (`D_SUPPORTED) tests = arch64d; "imperas64i": tests = imperas64i; "imperas64p": tests = imperas64p; -// "imperas64mmu": if (`MEM_VIRTMEM) tests = imperas64mmu; +// "imperas64mmu": if (`VIRTMEM_SUPPORTED) tests = imperas64mmu; "imperas64f": if (`F_SUPPORTED) tests = imperas64f; "imperas64d": if (`D_SUPPORTED) tests = imperas64d; "imperas64m": if (`M_SUPPORTED) tests = imperas64m; @@ -115,7 +115,7 @@ logic [3:0] dummy; "arch32f": if (`F_SUPPORTED) tests = arch32f; "imperas32i": tests = imperas32i; "imperas32p": tests = imperas32p; -// "imperas32mmu": if (`MEM_VIRTMEM) tests = imperas32mmu; +// "imperas32mmu": if (`VIRTMEM_SUPPORTED) tests = imperas32mmu; "imperas32f": if (`F_SUPPORTED) tests = imperas32f; "imperas32m": if (`M_SUPPORTED) tests = imperas32m; "imperas32a": if (`A_SUPPORTED) tests = imperas32a; @@ -347,24 +347,24 @@ endmodule module riscvassertions; initial begin assert (`PMP_ENTRIES == 0 | `PMP_ENTRIES==16 | `PMP_ENTRIES==64) else $error("Illegal number of PMP entries: PMP_ENTRIES must be 0, 16, or 64"); - assert (`S_SUPPORTED | `MEM_VIRTMEM == 0) else $error("Virtual memory requires S mode support"); + assert (`S_SUPPORTED | `VIRTMEM_SUPPORTED == 0) else $error("Virtual memory requires S mode support"); assert (`DIV_BITSPERCYCLE == 1 | `DIV_BITSPERCYCLE==2 | `DIV_BITSPERCYCLE==4) else $error("Illegal number of divider bits/cycle: DIV_BITSPERCYCLE must be 1, 2, or 4"); assert (`F_SUPPORTED | ~`D_SUPPORTED) else $error("Can't support double (D) without supporting float (F)"); assert (`XLEN == 64 | ~`D_SUPPORTED) else $error("Wally does not yet support D extensions on RV32"); - assert (`DCACHE_WAYSIZEINBYTES <= 4096 | (`DMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`DCACHE_WAYSIZEINBYTES <= 4096 | (`DMEM != `MEM_CACHE) | `VIRTMEM_SUPPORTED == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); assert (`DCACHE_LINELENINBITS >= 128 | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); assert (`DCACHE_LINELENINBITS < `DCACHE_WAYSIZEINBYTES*8) else $error("DCACHE_LINELENINBITS must be smaller than way size"); - assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `VIRTMEM_SUPPORTED == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); assert (`ICACHE_LINELENINBITS >= 32 | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); assert (`ICACHE_LINELENINBITS < `ICACHE_WAYSIZEINBYTES*8) else $error("ICACHE_LINELENINBITS must be smaller than way size"); assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be a power of 2"); assert (2**$clog2(`DCACHE_WAYSIZEINBYTES) == `DCACHE_WAYSIZEINBYTES | (`DMEM != `MEM_CACHE)) else $error("DCACHE_WAYSIZEINBYTES must be a power of 2"); assert (2**$clog2(`ICACHE_LINELENINBITS) == `ICACHE_LINELENINBITS | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be a power of 2"); assert (2**$clog2(`ICACHE_WAYSIZEINBYTES) == `ICACHE_WAYSIZEINBYTES | (`IMEM != `MEM_CACHE)) else $error("ICACHE_WAYSIZEINBYTES must be a power of 2"); - assert (2**$clog2(`ITLB_ENTRIES) == `ITLB_ENTRIES | `MEM_VIRTMEM==0) else $error("ITLB_ENTRIES must be a power of 2"); - assert (2**$clog2(`DTLB_ENTRIES) == `DTLB_ENTRIES | `MEM_VIRTMEM==0) else $error("DTLB_ENTRIES must be a power of 2"); + assert (2**$clog2(`ITLB_ENTRIES) == `ITLB_ENTRIES | `VIRTMEM_SUPPORTED==0) else $error("ITLB_ENTRIES must be a power of 2"); + assert (2**$clog2(`DTLB_ENTRIES) == `DTLB_ENTRIES | `VIRTMEM_SUPPORTED==0) else $error("DTLB_ENTRIES must be a power of 2"); assert (`RAM_RANGE >= 56'h07FFFFFF) else $warning("Some regression tests will fail if RAM_RANGE is less than 56'h07FFFFFF"); - assert (`ZICSR_SUPPORTED == 1 | (`PMP_ENTRIES == 0 & `MEM_VIRTMEM == 0)) else $error("PMP_ENTRIES and MEM_VIRTMEM must be zero if ZICSR not supported."); + assert (`ZICSR_SUPPORTED == 1 | (`PMP_ENTRIES == 0 & `VIRTMEM_SUPPORTED == 0)) else $error("PMP_ENTRIES and VIRTMEM_SUPPORTED must be zero if ZICSR not supported."); assert (`ZICSR_SUPPORTED == 1 | (`S_SUPPORTED == 0 & `U_SUPPORTED == 0)) else $error("S and U modes not supported if ZISR not supported"); assert (`U_SUPPORTED | (`S_SUPPORTED == 0)) else $error ("S mode only supported if U also is supported"); end diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index 2832370c8..4e52a9168 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -92,7 +92,7 @@ logic [3:0] dummy; "arch64d": if (`D_SUPPORTED) tests = arch64d; "imperas64i": tests = imperas64i; "imperas64p": tests = imperas64p; -// "imperas64mmu": if (`MEM_VIRTMEM) tests = imperas64mmu; +// "imperas64mmu": if (`VIRTMEM_SUPPORTED) tests = imperas64mmu; "imperas64f": if (`F_SUPPORTED) tests = imperas64f; "imperas64d": if (`D_SUPPORTED) tests = imperas64d; "imperas64m": if (`M_SUPPORTED) tests = imperas64m; @@ -116,7 +116,7 @@ logic [3:0] dummy; "arch32f": if (`F_SUPPORTED) tests = arch32f; "imperas32i": tests = imperas32i; "imperas32p": tests = imperas32p; -// "imperas32mmu": if (`MEM_VIRTMEM) tests = imperas32mmu; +// "imperas32mmu": if (`VIRTMEM_SUPPORTED) tests = imperas32mmu; "imperas32f": if (`F_SUPPORTED) tests = imperas32f; "imperas32m": if (`M_SUPPORTED) tests = imperas32m; "imperas32a": if (`A_SUPPORTED) tests = imperas32a; @@ -334,30 +334,30 @@ endmodule module riscvassertions; initial begin assert (`PMP_ENTRIES == 0 | `PMP_ENTRIES==16 | `PMP_ENTRIES==64) else $error("Illegal number of PMP entries: PMP_ENTRIES must be 0, 16, or 64"); - assert (`S_SUPPORTED | `MEM_VIRTMEM == 0) else $error("Virtual memory requires S mode support"); + assert (`S_SUPPORTED | `VIRTMEM_SUPPORTED == 0) else $error("Virtual memory requires S mode support"); assert (`DIV_BITSPERCYCLE == 1 | `DIV_BITSPERCYCLE==2 | `DIV_BITSPERCYCLE==4) else $error("Illegal number of divider bits/cycle: DIV_BITSPERCYCLE must be 1, 2, or 4"); assert (`F_SUPPORTED | ~`D_SUPPORTED) else $error("Can't support double (D) without supporting float (F)"); assert (`I_SUPPORTED ^ `E_SUPPORTED) else $error("Exactly one of I and E must be supported"); assert (`XLEN == 64 | ~`D_SUPPORTED) else $error("Wally does not yet support D extensions on RV32"); - assert (`DCACHE_WAYSIZEINBYTES <= 4096 | (`DMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`DCACHE_WAYSIZEINBYTES <= 4096 | (`DMEM != `MEM_CACHE) | `VIRTMEM_SUPPORTED == 0) else $error("DCACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); assert (`DCACHE_LINELENINBITS >= 128 | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); assert (`DCACHE_LINELENINBITS < `DCACHE_WAYSIZEINBYTES*8) else $error("DCACHE_LINELENINBITS must be smaller than way size"); - assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `MEM_VIRTMEM == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); + assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `VIRTMEM_SUPPORTED == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); assert (`ICACHE_LINELENINBITS >= 32 | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); assert (`ICACHE_LINELENINBITS < `ICACHE_WAYSIZEINBYTES*8) else $error("ICACHE_LINELENINBITS must be smaller than way size"); assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be a power of 2"); assert (2**$clog2(`DCACHE_WAYSIZEINBYTES) == `DCACHE_WAYSIZEINBYTES | (`DMEM != `MEM_CACHE)) else $error("DCACHE_WAYSIZEINBYTES must be a power of 2"); assert (2**$clog2(`ICACHE_LINELENINBITS) == `ICACHE_LINELENINBITS | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be a power of 2"); assert (2**$clog2(`ICACHE_WAYSIZEINBYTES) == `ICACHE_WAYSIZEINBYTES | (`IMEM != `MEM_CACHE)) else $error("ICACHE_WAYSIZEINBYTES must be a power of 2"); - assert (2**$clog2(`ITLB_ENTRIES) == `ITLB_ENTRIES | `MEM_VIRTMEM==0) else $error("ITLB_ENTRIES must be a power of 2"); - assert (2**$clog2(`DTLB_ENTRIES) == `DTLB_ENTRIES | `MEM_VIRTMEM==0) else $error("DTLB_ENTRIES must be a power of 2"); + assert (2**$clog2(`ITLB_ENTRIES) == `ITLB_ENTRIES | `VIRTMEM_SUPPORTED==0) else $error("ITLB_ENTRIES must be a power of 2"); + assert (2**$clog2(`DTLB_ENTRIES) == `DTLB_ENTRIES | `VIRTMEM_SUPPORTED==0) else $error("DTLB_ENTRIES must be a power of 2"); assert (`RAM_RANGE >= 56'h07FFFFFF) else $warning("Some regression tests will fail if RAM_RANGE is less than 56'h07FFFFFF"); - assert (`ZICSR_SUPPORTED == 1 | (`PMP_ENTRIES == 0 & `MEM_VIRTMEM == 0)) else $error("PMP_ENTRIES and MEM_VIRTMEM must be zero if ZICSR not supported."); + assert (`ZICSR_SUPPORTED == 1 | (`PMP_ENTRIES == 0 & `VIRTMEM_SUPPORTED == 0)) else $error("PMP_ENTRIES and VIRTMEM_SUPPORTED must be zero if ZICSR not supported."); assert (`ZICSR_SUPPORTED == 1 | (`S_SUPPORTED == 0 & `U_SUPPORTED == 0)) else $error("S and U modes not supported if ZISR not supported"); assert (`U_SUPPORTED | (`S_SUPPORTED == 0)) else $error ("S mode only supported if U also is supported"); // assert (`MEM_DCACHE == 0 | `MEM_DTIM == 0) else $error("Can't simultaneously have a data cache and TIM"); - assert (`DMEM == `MEM_CACHE | `MEM_VIRTMEM ==0) else $error("Virtual memory needs dcache"); - assert (`IMEM == `MEM_CACHE | `MEM_VIRTMEM ==0) else $error("Virtual memory needs icache"); + assert (`DMEM == `MEM_CACHE | `VIRTMEM_SUPPORTED ==0) else $error("Virtual memory needs dcache"); + assert (`IMEM == `MEM_CACHE | `VIRTMEM_SUPPORTED ==0) else $error("Virtual memory needs icache"); end endmodule From ac19cd48a491e4006725ed35a9943729bde35565 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Wed, 2 Feb 2022 19:14:42 -0600 Subject: [PATCH 014/100] Broken makefiles. --- pipelined/regression/Makefile | 25 +++++++++++++------------ 1 file changed, 13 insertions(+), 12 deletions(-) diff --git a/pipelined/regression/Makefile b/pipelined/regression/Makefile index 66c48e1a5..19531441b 100644 --- a/pipelined/regression/Makefile +++ b/pipelined/regression/Makefile @@ -11,24 +11,25 @@ make all: # *** Build old tests/imperas-riscv-tests for now; # Delete this part when the privileged tests transition over to tests/wally-riscv-arch-test # Also delete bin/exe2memfile at that point - make -C ../../tests/imperas-riscv-tests - make -C ../../tests/imperas-riscv-tests XLEN=64 - cd ../../tests/imperas-riscv-tests; exe2memfile.pl work/*/*.elf - cd ../../tests/imperas-riscv-tests; extractFunctionRadix.sh work/*/*.elf.objdump + make -C ../../tests/imperas-riscv-tests --jobs + make -C ../../tests/imperas-riscv-tests XLEN=64 --jobs + #cd ../../tests/imperas-riscv-tests; exe2memfile.pl work/*/*.elf + #cd ../../tests/imperas-riscv-tests; extractFunctionRadix.sh work/*/*.elf.objdump # Build riscv-arch-test 64 and 32-bit versions - make -C ../../addins/riscv-arch-test - make -C ../../addins/riscv-arch-test XLEN=32 - cd ../../addins/riscv-arch-test; elf2hex.sh - cd ../../addins/riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf.objdump + make -C ../../addins/riscv-arch-test --jobs + make -C ../../addins/riscv-arch-test XLEN=32 --jobs + #cd ../../addins/riscv-arch-test; elf2hex.sh + #cd ../../addins/riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf.objdump # extractFunctionRadix. *** # Build wally-riscv-arch-test - make -C ../../tests/wally-riscv-arch-test/ - make -C ../../tests/wally-riscv-arch-test/ XLEN=32 - cd ../../tests/wally-riscv-arch-test; elf2hex.sh - cd ../../tests/wally-riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf.objdump + make -C ../../tests/wally-riscv-arch-test/ --jobs + make -C ../../tests/wally-riscv-arch-test/ XLEN=32 --jobs + make -f makefile wally-sim-files + #cd ../../tests/wally-riscv-arch-test; elf2hex.sh + #cd ../../tests/wally-riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf.objdump # ***extractFunctionRadix # Only compile Imperas tests if they are installed locally. From 789cf13be633800e8c9ca3df325754ced205251d Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Wed, 2 Feb 2022 19:15:11 -0600 Subject: [PATCH 015/100] broken makefiles. --- pipelined/regression/makefile | 66 +++++++++++++++++++++++++++++++++++ 1 file changed, 66 insertions(+) create mode 100644 pipelined/regression/makefile diff --git a/pipelined/regression/makefile b/pipelined/regression/makefile new file mode 100644 index 000000000..00ac14327 --- /dev/null +++ b/pipelined/regression/makefile @@ -0,0 +1,66 @@ +ROOT := ../.. +SUFFIX := work +ARCHDIR := $(ROOT)/addins/riscv-arch-test +WALLYDIR:= $(ROOT)/tests/wally-riscv-arch-test +IMPERASDIR := $(ROOT)/tests/imperas-riscv-tests +DIR1 := $(ROOT)/addins/riscv-arch-test/$(SUFFIX) +DIR2 := $(ROOT)/tests/wally-riscv-arch-test/$(SUFFIX) +DIR3 := $(ROOT)/tests/imperas-riscv-tests/$(SUFFIX) +ALLDIRS := $(DIR1) $(DIR2) $(DIR3) + + +#ALLDIRS := . + +ELFFILES ?= $(shell find $(ALLDIRS) -type f -regex ".*\.elf") +MEMFILES ?= $(ELFFILES:.elf=.elf.memfile) +ADDRFILES ?= $(ELFFILES:.elf=.elf.objdump.addr) + +print: + echo "files in $(ALLDIRS) are $(ELFFILES)." + echo "memfiles are $(MEMFILES)" + +.PHONY: all +#.NOTPARALLEL: all +all: elfs wally-sim-files + +wally-sim-files: $(MEMFILES) $(ADDRFILES) + echo "$@" + +.PHONY: elfs +elfs: + make -C $(ARCHDIR) --jobs + make -C $(ARCHDIR) XLEN=64 --jobs + make -C $(WALLYDIR) --jobs + make -C $(WALLYDIR) XLEN=64 --jobs + make -C $(IMPERASDIR) --jobs + make -C $(IMPERASDIR) XLEN=64 --jobs + +# notes to self on how this works. +# The find command locates all of the *.elf files in directory DIR1. A list of .memfiles and +# .addr files are generated from the .elf. These are used as targets. +# % is a wildcard in a make target which is then referenced as % in the depenecies and $* +# in the recipe. +# because elf2hex requires a bit width we use findstring to figure out if the compiled directory +# is XLEN=64 or 32. This is hacky and will likely break in the future. +# the .addr is a separate target so make can split into more jobs and more parallism. +%.elf.memfile: %.elf + echo $(shell echo $(strip $(subst rv,,$(findstring rv64,$*))) | head -c 2) +#ifeq (64,$(subst rv,,$(findstring rv64,$*))) +#ifeq (64,$(shell echo $(strip $(subst rv,,$(findstring rv64,$*))) | head -c 2)) +#ifeq (64,$(subst rv,,$(findstring rv64,$*))) +#ifneq (,$(shell echo $(strip $(subst rv,,$(findstring rv64,$*))) | head -c 2)) + echo $(findstring rv64,$*) + echo hello $* $(shell echo $(findstring rv64,$*) | head -c 4) +#ifneq (,$(findstring rv64,$*)) +ifneq ('',$(shell echo $(findstring rv64,$*) | head -c 4)) + @echo got 64 +# echo riscv64-unknown-elf-elf2hex --bit-width 64 --input $< --output $@ +else + @echo got 32 +# echo riscv64-unknown-elf-elf2hex --bit-width 32 --input $< --output $@ +endif + +%.elf.objdump.addr: %.elf.objdump + extractFunctionRadix.sh $< + +#riscv64-unknown-elf-elf2hex --bit-width $(shell echo $* | head -c 4 | tail -c 2) --input $< --output $@ From 41978d59e4a2cd68baad51d3473e0fc8bc3b0153 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Wed, 2 Feb 2022 19:24:24 -0600 Subject: [PATCH 016/100] Quick patch to regression-wally to "fix" rv32ic. --- pipelined/regression/regression-wally | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index 1de3bae3e..c3b4a1dc5 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -84,7 +84,7 @@ for test in tests32ic: tc = TestCase( name=test, variant="rv32ic", - cmd="vsim > {} -c < {} -c < Date: Thu, 3 Feb 2022 00:00:15 -0600 Subject: [PATCH 017/100] Manged to get all the tests compiled and converted to memfiles using new makefiles. --- pipelined/regression/makefile | 20 +++----------------- 1 file changed, 3 insertions(+), 17 deletions(-) diff --git a/pipelined/regression/makefile b/pipelined/regression/makefile index 00ac14327..ed0913f9d 100644 --- a/pipelined/regression/makefile +++ b/pipelined/regression/makefile @@ -29,9 +29,9 @@ wally-sim-files: $(MEMFILES) $(ADDRFILES) .PHONY: elfs elfs: make -C $(ARCHDIR) --jobs - make -C $(ARCHDIR) XLEN=64 --jobs + make -C $(ARCHDIR) XLEN=32 --jobs make -C $(WALLYDIR) --jobs - make -C $(WALLYDIR) XLEN=64 --jobs + make -C $(WALLYDIR) XLEN=32 --jobs make -C $(IMPERASDIR) --jobs make -C $(IMPERASDIR) XLEN=64 --jobs @@ -44,21 +44,7 @@ elfs: # is XLEN=64 or 32. This is hacky and will likely break in the future. # the .addr is a separate target so make can split into more jobs and more parallism. %.elf.memfile: %.elf - echo $(shell echo $(strip $(subst rv,,$(findstring rv64,$*))) | head -c 2) -#ifeq (64,$(subst rv,,$(findstring rv64,$*))) -#ifeq (64,$(shell echo $(strip $(subst rv,,$(findstring rv64,$*))) | head -c 2)) -#ifeq (64,$(subst rv,,$(findstring rv64,$*))) -#ifneq (,$(shell echo $(strip $(subst rv,,$(findstring rv64,$*))) | head -c 2)) - echo $(findstring rv64,$*) - echo hello $* $(shell echo $(findstring rv64,$*) | head -c 4) -#ifneq (,$(findstring rv64,$*)) -ifneq ('',$(shell echo $(findstring rv64,$*) | head -c 4)) - @echo got 64 -# echo riscv64-unknown-elf-elf2hex --bit-width 64 --input $< --output $@ -else - @echo got 32 -# echo riscv64-unknown-elf-elf2hex --bit-width 32 --input $< --output $@ -endif + riscv64-unknown-elf-elf2hex --bit-width $(if $(findstring rv64,$*),64,32) --input $< --output $@ %.elf.objdump.addr: %.elf.objdump extractFunctionRadix.sh $< From 55382be0555d573d00102e4b3320e9988705d926 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Thu, 3 Feb 2022 08:32:48 -0600 Subject: [PATCH 018/100] Completed makefile updates to accelerate the generation of memfiles. There are two makefiles in the regression directory. Makefile calls the submakefiles for generating elf files. The second makefile-memfiles generates the memfiles, addr, and label files. --- pipelined/regression/Makefile | 4 ++- .../regression/{makefile => makefile-memfile} | 25 ++++--------------- 2 files changed, 8 insertions(+), 21 deletions(-) rename pipelined/regression/{makefile => makefile-memfile} (67%) diff --git a/pipelined/regression/Makefile b/pipelined/regression/Makefile index 19531441b..610571691 100644 --- a/pipelined/regression/Makefile +++ b/pipelined/regression/Makefile @@ -27,7 +27,9 @@ make all: # Build wally-riscv-arch-test make -C ../../tests/wally-riscv-arch-test/ --jobs make -C ../../tests/wally-riscv-arch-test/ XLEN=32 --jobs - make -f makefile wally-sim-files + +# build the memfiles and address files. + make -f makefile-memfile wally-sim-files --jobs #cd ../../tests/wally-riscv-arch-test; elf2hex.sh #cd ../../tests/wally-riscv-arch-test; extractFunctionRadix.sh work/*/*/*.elf.objdump # ***extractFunctionRadix diff --git a/pipelined/regression/makefile b/pipelined/regression/makefile-memfile similarity index 67% rename from pipelined/regression/makefile rename to pipelined/regression/makefile-memfile index ed0913f9d..030cf8d57 100644 --- a/pipelined/regression/makefile +++ b/pipelined/regression/makefile-memfile @@ -3,13 +3,7 @@ SUFFIX := work ARCHDIR := $(ROOT)/addins/riscv-arch-test WALLYDIR:= $(ROOT)/tests/wally-riscv-arch-test IMPERASDIR := $(ROOT)/tests/imperas-riscv-tests -DIR1 := $(ROOT)/addins/riscv-arch-test/$(SUFFIX) -DIR2 := $(ROOT)/tests/wally-riscv-arch-test/$(SUFFIX) -DIR3 := $(ROOT)/tests/imperas-riscv-tests/$(SUFFIX) -ALLDIRS := $(DIR1) $(DIR2) $(DIR3) - - -#ALLDIRS := . +ALLDIRS := $(ARCHDIR)/$(SUFFIX) $(WALLYDIR)/$(SUFFIX) $(IMPERASDIR)/$(SUFFIX) ELFFILES ?= $(shell find $(ALLDIRS) -type f -regex ".*\.elf") MEMFILES ?= $(ELFFILES:.elf=.elf.memfile) @@ -19,22 +13,12 @@ print: echo "files in $(ALLDIRS) are $(ELFFILES)." echo "memfiles are $(MEMFILES)" -.PHONY: all -#.NOTPARALLEL: all -all: elfs wally-sim-files +.PHONY: all wally-sim-files +all: wally-sim-files wally-sim-files: $(MEMFILES) $(ADDRFILES) echo "$@" -.PHONY: elfs -elfs: - make -C $(ARCHDIR) --jobs - make -C $(ARCHDIR) XLEN=32 --jobs - make -C $(WALLYDIR) --jobs - make -C $(WALLYDIR) XLEN=32 --jobs - make -C $(IMPERASDIR) --jobs - make -C $(IMPERASDIR) XLEN=64 --jobs - # notes to self on how this works. # The find command locates all of the *.elf files in directory DIR1. A list of .memfiles and # .addr files are generated from the .elf. These are used as targets. @@ -49,4 +33,5 @@ elfs: %.elf.objdump.addr: %.elf.objdump extractFunctionRadix.sh $< -#riscv64-unknown-elf-elf2hex --bit-width $(shell echo $* | head -c 4 | tail -c 2) --input $< --output $@ +.PHONY: clean +clean: From e92461159d9888214e7a26f0aecd39a1e311f408 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 15:36:11 +0000 Subject: [PATCH 019/100] cache cleanup --- pipelined/regression/fpga-wave.do | 240 +++++++++++++------------- pipelined/regression/linux-wave.do | 148 ++++++++-------- pipelined/regression/wave-coremark.do | 166 +++++++++--------- pipelined/regression/wave.do | 156 ++++++++--------- pipelined/src/cache/cache.sv | 212 +++++++++-------------- pipelined/src/cache/cacheway.sv | 4 +- pipelined/src/lsu/busdp.sv | 12 +- pipelined/src/lsu/lsu.sv | 46 ++--- pipelined/testbench/testbench-fpga.sv | 8 +- pipelined/testbench/testbench-tim.sv | 8 +- pipelined/testbench/testbench.sv | 8 +- 11 files changed, 482 insertions(+), 526 deletions(-) diff --git a/pipelined/regression/fpga-wave.do b/pipelined/regression/fpga-wave.do index 14185e707..53c80c17a 100644 --- a/pipelined/regression/fpga-wave.do +++ b/pipelined/regression/fpga-wave.do @@ -178,55 +178,55 @@ add wave -noupdate -group icache /testbench/dut/wallypipelinedsoc/core/ifu/bus/i add wave -noupdate -group icache /testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/genblk1/cachereplacementpolicy/BlockReplacementBits add wave -noupdate -group icache /testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/genblk1/cachereplacementpolicy/EncVicWay add wave -noupdate -group icache /testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/VictimWay -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/SetValid} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -label TAG {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/CacheTagMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/ValidBits} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[0]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/WriteWordEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/CacheTagMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/ValidBits} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[1]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/SetValid} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/CacheTagMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/ValidBits} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[2]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/SetValid} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -label TAG {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/CacheTagMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/DirtyBits} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/ValidBits} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/MemWay[3]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/SetValid} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -label TAG {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/CacheTagMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/ValidBits} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way0 -group Way0Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[0]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/WriteWordEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/CacheTagMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/ValidBits} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way1 -group Way1Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[1]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/SetValid} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/CacheTagMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/ValidBits} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -group way2 -group Way2Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[2]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/SetValid} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -label TAG {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/CacheTagMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/DirtyBits} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/ValidBits} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word1 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word2 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -group {Cache SRAM writes} -expand -group way3 -group Way3Word3 {/testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/CacheWays[3]/word[3]/CacheDataMem/StoredData} add wave -noupdate -group icache /testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/controller/NextState add wave -noupdate -group icache /testbench/dut/wallypipelinedsoc/core/ifu/ITLBMissF add wave -noupdate -group icache /testbench/dut/wallypipelinedsoc/core/ifu/bus/icache/ITLBWriteF @@ -290,81 +290,81 @@ add wave -noupdate -group lsu -expand -group dcache /testbench/dut/wallypipeline add wave -noupdate -group lsu -expand -group dcache /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/VictimDirty add wave -noupdate -group lsu -expand -group dcache /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/VDWriteEnableWay add wave -noupdate -group lsu -expand -group dcache /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/ClearDirty -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/SetValid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -label TAG {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/WriteWordEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/SetValid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/SetValid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -label TAG {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/SetValid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -label TAG {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way0 -expand -group Way0Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/WriteWordEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/SetValid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/SetValid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -label TAG {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/word[3]/CacheDataMem/StoredData} add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group valid/dirty /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/SetValid add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group valid/dirty /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/ClearValid add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group valid/dirty /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/SetDirty add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group valid/dirty /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/ClearDirty -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[0]/ReadTag} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[1]/ReadTag} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[2]/ReadTag} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/MemWay[3]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[0]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[1]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[2]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/CacheWays[3]/ReadTag} add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/WayHit add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/ReadDataBlockWayMaskedM add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/wallypipelinedsoc/core/lsu.bus.dcache/ReadDataWordM diff --git a/pipelined/regression/linux-wave.do b/pipelined/regression/linux-wave.do index a9f9e3b2e..e2df4436c 100644 --- a/pipelined/regression/linux-wave.do +++ b/pipelined/regression/linux-wave.do @@ -226,85 +226,85 @@ add wave -noupdate -expand -group lsu -expand -group dcache -expand -group flush add wave -noupdate -expand -group lsu -expand -group dcache /testbench/dut/core/lsu.bus.dcache/dcache/CacheMemWriteData add wave -noupdate -expand -group lsu -expand -group dcache /testbench/dut/core/lsu.bus.dcache/dcache/WayHit add wave -noupdate -expand -group lsu -expand -group dcache /testbench/dut/core/lsu.bus.dcache/dcache/IgnoreRequest -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/SetValid} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/SetDirty} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -label TAG {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/CacheTagMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/DirtyBits} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/ValidBits} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/DirtyBits} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/ValidBits} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/SetDirty} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/WriteWordEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/CacheTagMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/SetValid} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/SetDirty} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/CacheTagMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/DirtyBits} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/ValidBits} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/SetValid} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/SetDirty} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/ClearDirty} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/VDWriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -label TAG {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/CacheTagMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/DirtyBits} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/ValidBits} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/SetValid} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/SetDirty} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -label TAG {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/CacheTagMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/DirtyBits} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/ValidBits} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/DirtyBits} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/ValidBits} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/SetDirty} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/WriteWordEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/CacheTagMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/SetValid} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/SetDirty} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/CacheTagMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/DirtyBits} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/ValidBits} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/SetValid} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/SetDirty} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/ClearDirty} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/VDWriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -label TAG {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/CacheTagMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/DirtyBits} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/ValidBits} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/word[3]/CacheDataMem/StoredData} add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group valid/dirty /testbench/dut/core/lsu.bus.dcache/dcache/SetValid add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group valid/dirty /testbench/dut/core/lsu.bus.dcache/dcache/ClearValid add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group valid/dirty /testbench/dut/core/lsu.bus.dcache/dcache/SetDirty add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM writes} -group valid/dirty /testbench/dut/core/lsu.bus.dcache/dcache/ClearDirty add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/core/lsu.bus.dcache/dcache/RAdr -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/WayHit} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/Valid} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/Dirty} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[0]/ReadTag} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/WayHit} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/Valid} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/Dirty} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[1]/ReadTag} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/WayHit} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/Valid} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/Dirty} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[2]/ReadTag} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/WayHit} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/Valid} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/Dirty} -add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/MemWay[3]/ReadTag} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/WayHit} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/Valid} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/Dirty} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[0]/ReadTag} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/WayHit} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/Valid} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/Dirty} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[1]/ReadTag} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/WayHit} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/Valid} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/Dirty} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[2]/ReadTag} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/WayHit} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/Valid} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/Dirty} +add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/dcache/CacheWays[3]/ReadTag} add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/core/lsu.bus.dcache/dcache/WayHit add wave -noupdate -expand -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/core/lsu.bus.dcache/dcache/ReadDataWord add wave -noupdate -expand -group lsu -expand -group dcache -group Victim /testbench/dut/core/lsu.bus.dcache/dcache/VictimTag diff --git a/pipelined/regression/wave-coremark.do b/pipelined/regression/wave-coremark.do index 4c1a77472..cf8837237 100644 --- a/pipelined/regression/wave-coremark.do +++ b/pipelined/regression/wave-coremark.do @@ -178,18 +178,18 @@ add wave -noupdate -group icache -color Gold /testbench/dut/core/ifu/bus/icache/ add wave -noupdate -group icache /testbench/dut/core/ifu/bus/icache/BasePAdrF add wave -noupdate -group icache /testbench/dut/core/ifu/bus/icache/WayHit add wave -noupdate -group icache /testbench/dut/core/ifu/bus/icache/VictimWay -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/WriteEnable} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/SetValid} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -label TAG {/testbench/dut/core/ifu/bus/icache/MemWay[0]/CacheTagMem/StoredData} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/ValidBits} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word1 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word1 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word2 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word2 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word3 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word3 {/testbench/dut/core/ifu/bus/icache/MemWay[0]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/WriteEnable} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/SetValid} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -label TAG {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/CacheTagMem/StoredData} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/ValidBits} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -expand -group Way0Word0 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word1 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word1 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word2 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word2 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word3 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group icache -expand -group {Cache SRAM writes} -group way0 -group Way0Word3 {/testbench/dut/core/ifu/bus/icache/CacheWays[0]/word[3]/CacheDataMem/StoredData} add wave -noupdate -group icache /testbench/dut/core/ifu/bus/icache/controller/NextState add wave -noupdate -group icache /testbench/dut/core/ifu/ITLBMissF add wave -noupdate -group icache /testbench/dut/core/ifu/bus/icache/ITLBWriteF @@ -245,81 +245,81 @@ add wave -noupdate -group lsu -expand -group dcache /testbench/dut/core/lsu.bus. add wave -noupdate -group lsu -expand -group dcache /testbench/dut/core/lsu.bus.dcache/SelAdrM add wave -noupdate -group lsu -expand -group dcache /testbench/dut/core/lsu.bus.dcache/ReadDataBlockM add wave -noupdate -group lsu -expand -group dcache /testbench/dut/core/lsu.bus.dcache/DCacheMemWriteData -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/SetValid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -label TAG {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/WriteWordEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/SetValid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/SetValid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -label TAG {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/SetValid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -label TAG {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/WriteWordEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/SetValid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/SetValid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -label TAG {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/word[3]/CacheDataMem/StoredData} add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group valid/dirty /testbench/dut/core/lsu.bus.dcache/SetValid add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group valid/dirty /testbench/dut/core/lsu.bus.dcache/ClearValid add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group valid/dirty /testbench/dut/core/lsu.bus.dcache/SetDirty add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM writes} -expand -group valid/dirty /testbench/dut/core/lsu.bus.dcache/ClearDirty -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/core/lsu.bus.dcache/MemWay[0]/ReadTag} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/MemWay[1]/ReadTag} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/MemWay[2]/ReadTag} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/MemWay[3]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -group way0 {/testbench/dut/core/lsu.bus.dcache/CacheWays[0]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu.bus.dcache/CacheWays[1]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu.bus.dcache/CacheWays[2]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu.bus.dcache/CacheWays[3]/ReadTag} add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/core/lsu.bus.dcache/WayHit add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/core/lsu.bus.dcache/ReadDataBlockWayMaskedM add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/core/lsu.bus.dcache/ReadDataWordM diff --git a/pipelined/regression/wave.do b/pipelined/regression/wave.do index 19e5b830f..27c079768 100644 --- a/pipelined/regression/wave.do +++ b/pipelined/regression/wave.do @@ -203,10 +203,10 @@ add wave -noupdate -group lsu -expand -group dcache /testbench/dut/core/lsu/MEM_ add wave -noupdate -group lsu -expand -group dcache /testbench/dut/core/lsu/IEUAdrE add wave -noupdate -group lsu -expand -group dcache /testbench/dut/core/lsu/IEUAdrM add wave -noupdate -group lsu -expand -group dcache /testbench/dut/core/lsu/bus/dcache/dcache/RAdr -add wave -noupdate -group lsu -expand -group dcache {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/RAdrD} -add wave -noupdate -group lsu -expand -group dcache {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/ClearDirty} -add wave -noupdate -group lsu -expand -group dcache {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/ClearDirtyD} -add wave -noupdate -group lsu -expand -group dcache {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/Dirty} +add wave -noupdate -group lsu -expand -group dcache {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/RAdrD} +add wave -noupdate -group lsu -expand -group dcache {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/ClearDirty} +add wave -noupdate -group lsu -expand -group dcache {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/ClearDirtyD} +add wave -noupdate -group lsu -expand -group dcache {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/Dirty} add wave -noupdate -group lsu -expand -group dcache -expand -group flush -radix unsigned /testbench/dut/core/lsu/bus/dcache/dcache/FlushAdr add wave -noupdate -group lsu -expand -group dcache -expand -group flush /testbench/dut/core/lsu/bus/dcache/dcache/FlushWay add wave -noupdate -group lsu -expand -group dcache -expand -group flush /testbench/dut/core/lsu/bus/dcache/dcache/VictimDirtyWay @@ -214,85 +214,85 @@ add wave -noupdate -group lsu -expand -group dcache -expand -group flush /testbe add wave -noupdate -group lsu -expand -group dcache -expand -group flush /testbench/dut/core/lsu/CacheableM add wave -noupdate -group lsu -expand -group dcache /testbench/dut/core/lsu/bus/dcache/dcache/CacheMemWriteData add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} /testbench/dut/core/lsu/bus/dcache/dcache/ClearDirty -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/SetValid} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -label TAG {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/WriteWordEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/SetValid} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/word[3]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/SetValid} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/SetDirty} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/ClearDirty} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/VDWriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -label TAG {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/CacheTagMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/DirtyBits} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/ValidBits} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/word[0]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/word[0]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/word[1]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/word[1]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/word[2]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/word[2]/CacheDataMem/StoredData} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/word[3]/CacheDataMem/WriteEnable} -add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/SetValid} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -label TAG {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -expand -group way0 -expand -group Way0Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/WriteWordEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -label TAG {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way1 -expand -group Way1Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/SetValid} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -label TAG {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way2 -expand -group Way2Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/word[3]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/SetValid} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/SetDirty} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/ClearDirty} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/VDWriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -label TAG {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/CacheTagMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/DirtyBits} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/ValidBits} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/word[0]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/word[0]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/word[1]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/word[1]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/word[2]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/word[2]/CacheDataMem/StoredData} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/word[3]/CacheDataMem/WriteEnable} +add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group way3 -expand -group Way3Word3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/word[3]/CacheDataMem/StoredData} add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group valid/dirty /testbench/dut/core/lsu/bus/dcache/dcache/SetValid add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group valid/dirty /testbench/dut/core/lsu/bus/dcache/dcache/ClearValid add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group valid/dirty /testbench/dut/core/lsu/bus/dcache/dcache/SetDirty add wave -noupdate -group lsu -expand -group dcache -expand -group {Cache SRAM writes} -group valid/dirty /testbench/dut/core/lsu/bus/dcache/dcache/ClearDirty add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/core/lsu/bus/dcache/dcache/RAdr -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[0]/ReadTag} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[1]/ReadTag} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[2]/ReadTag} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/WayHit} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/Valid} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/Dirty} -add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/MemWay[3]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way0 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[0]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way1 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[1]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way2 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[2]/ReadTag} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/WayHit} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/Valid} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/Dirty} +add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} -expand -group way3 {/testbench/dut/core/lsu/bus/dcache/dcache/CacheWays[3]/ReadTag} add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/core/lsu/bus/dcache/dcache/WayHit add wave -noupdate -group lsu -expand -group dcache -group {Cache SRAM read} /testbench/dut/core/lsu/bus/dcache/dcache/ReadDataWord add wave -noupdate -group lsu -expand -group dcache -group Victim /testbench/dut/core/lsu/bus/dcache/dcache/VictimTag diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index e10979f27..f31739506 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -28,14 +28,10 @@ // OR OTHER DEALINGS IN THE SOFTWARE. //////////////////////////////////////////////////////////////////////////////////////////////// - `include "wally-config.vh" -module cache #(parameter integer LINELEN, - parameter integer NUMLINES, - parameter integer NUMWAYS, - parameter integer DCACHE = 1) - (input logic clk, +module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( + input logic clk, input logic reset, // cpu side input logic CPUBusy, @@ -49,36 +45,32 @@ module cache #(parameter integer LINELEN, output logic [`XLEN-1:0] ReadDataWord, output logic CacheCommitted, output logic CacheStall, - // to performance counters to cpu output logic CacheMiss, output logic CacheAccess, - // lsu control input logic IgnoreRequest, - // Bus fsm interface output logic CacheFetchLine, output logic CacheWriteLine, input logic CacheBusAck, - output logic [`PA_BITS-1:0] CacheBusAdr, input logic [LINELEN-1:0] CacheMemWriteData, output logic [`XLEN-1:0] ReadDataLineSets [(LINELEN/`XLEN)-1:0]); - - localparam integer LINEBYTELEN = LINELEN/8; - localparam integer OFFSETLEN = $clog2(LINEBYTELEN); - localparam integer INDEXLEN = $clog2(NUMLINES); - localparam integer TAGLEN = `PA_BITS - OFFSETLEN - INDEXLEN; - localparam integer WORDSPERLINE = LINELEN/`XLEN; - localparam integer LOGWPL = $clog2(WORDSPERLINE); - localparam integer LOGXLENBYTES = $clog2(`XLEN/8); - - localparam integer FlushAdrThreshold = NUMLINES - 1; + // Cache parameters + localparam LINEBYTELEN = LINELEN/8; + localparam OFFSETLEN = $clog2(LINEBYTELEN); + localparam SETLEN = $clog2(NUMLINES); + localparam SETTOP = SETLEN+OFFSETLEN; + localparam TAGLEN = `PA_BITS - SETTOP; + localparam WORDSPERLINE = LINELEN/`XLEN; + localparam LOGWPL = $clog2(WORDSPERLINE); + localparam LOGXLENBYTES = $clog2(`XLEN/8); + localparam FlushAdrThreshold = NUMLINES - 1; logic [1:0] SelAdr; - logic [INDEXLEN-1:0] RAdr; + logic [SETLEN-1:0] RAdr; logic [LINELEN-1:0] SRAMWriteData; logic SetValid, ClearValid; logic SetDirty, ClearDirty; @@ -87,82 +79,61 @@ module cache #(parameter integer LINELEN, logic CacheHit; logic [LINELEN-1:0] ReadDataLine; logic [WORDSPERLINE-1:0] SRAMWordEnable; - logic SRAMWordWriteEnable; logic SRAMLineWriteEnable; logic [NUMWAYS-1:0] SRAMLineWayWriteEnable; logic [NUMWAYS-1:0] SRAMWayWriteEnable; - - + logic [NUMWAYS-1:0] SRAMWordWayWriteEnable; logic [NUMWAYS-1:0] VictimWay; logic [NUMWAYS-1:0] VictimDirtyWay; logic VictimDirty; - logic [2**LOGWPL-1:0] MemPAdrDecoded; - logic [TAGLEN-1:0] VictimTagWay [NUMWAYS-1:0]; logic [TAGLEN-1:0] VictimTag; - - logic [INDEXLEN-1:0] FlushAdr; - logic [INDEXLEN-1:0] FlushAdrP1; + logic [SETLEN-1:0] FlushAdr; + logic [SETLEN-1:0] FlushAdrP1; logic FlushAdrCntEn; logic FlushAdrCntRst; logic FlushAdrFlag; - logic FlushWayFlag; - + logic FlushWayFlag; logic [NUMWAYS-1:0] FlushWay; logic [NUMWAYS-1:0] NextFlushWay; logic FlushWayCntEn; logic FlushWayCntRst; - logic VDWriteEnable; logic SelEvict; logic LRUWriteEn; logic [NUMWAYS-1:0] VDWriteEnableWay; logic SelFlush; + logic ResetOrFlushAdr, ResetOrFlushWay; - // Read Path CPU (IEU) side + ///////////////////////////////////////////////////////////////////////////////////////////// + // Read Path + ///////////////////////////////////////////////////////////////////////////////////////////// - mux3 #(INDEXLEN) - AdrSelMux(.d0(NextAdr[INDEXLEN+OFFSETLEN-1:OFFSETLEN]), - .d1(PAdr[INDEXLEN+OFFSETLEN-1:OFFSETLEN]), - .d2(FlushAdr), - .s(SelAdr), - .y(RAdr)); - - - - cacheway #(.NUMLINES(NUMLINES), .LINELEN(LINELEN), .TAGLEN(TAGLEN), - .OFFSETLEN(OFFSETLEN), .INDEXLEN(INDEXLEN)) - MemWay[NUMWAYS-1:0](.clk, .reset, .RAdr, - .PAdr(PAdr), - .WriteEnable(SRAMWayWriteEnable), - .VDWriteEnable(VDWriteEnableWay), - .WriteWordEnable(SRAMWordEnable), - .TagWriteEnable(SRAMLineWayWriteEnable), - .WriteData(SRAMWriteData), - .SetValid, .ClearValid, .SetDirty, .ClearDirty, .SelEvict, - .VictimWay, .FlushWay, .SelFlush, - .ReadDataLineWayMasked, - .WayHit, .VictimDirtyWay, .VictimTagWay, - .InvalidateAll(InvalidateCacheM)); + // Choose read address (RAdr). Normally use NextAdr, but use PAdr during stalls + // and FlushAdr when handling D$ flushes + mux3 #(SETLEN) AdrSelMux( + .d0(NextAdr[SETTOP-1:OFFSETLEN]), .d1(PAdr[SETTOP-1:OFFSETLEN]), .d2(FlushAdr), + .s(SelAdr), .y(RAdr)); + // Array of cache ways, along with victim, hit, dirty, and read merging logic + cacheway #(NUMLINES, LINELEN, TAGLEN, OFFSETLEN, SETLEN) CacheWays[NUMWAYS-1:0]( + .clk, .reset, .RAdr, .PAdr, + .WriteEnable(SRAMWayWriteEnable), + .VDWriteEnable(VDWriteEnableWay), + .WriteWordEnable(SRAMWordEnable), + .TagWriteEnable(SRAMLineWayWriteEnable), + .WriteData(SRAMWriteData), + .SetValid, .ClearValid, .SetDirty, .ClearDirty, .SelEvict, .VictimWay, .FlushWay, .SelFlush, + .ReadDataLineWayMasked, .WayHit, .VictimDirtyWay, .VictimTagWay, + .InvalidateAll(InvalidateCacheM)); if(NUMWAYS > 1) begin:vict - cachereplacementpolicy #(NUMWAYS, INDEXLEN, OFFSETLEN, NUMLINES) - cachereplacementpolicy(.clk, .reset, - .WayHit, - .VictimWay, - .PAdr(PAdr[INDEXLEN+OFFSETLEN-1:OFFSETLEN]), - .RAdr, - .LRUWriteEn); - end else begin:vict - assign VictimWay = 1'b1; // one hot. - end - + cachereplacementpolicy #(NUMWAYS, SETLEN, OFFSETLEN, NUMLINES) cachereplacementpolicy( + .clk, .reset, .WayHit, .VictimWay, .PAdr(PAdr[SETTOP-1:OFFSETLEN]), .RAdr, .LRUWriteEn); + end else assign VictimWay = 1'b1; // one hot. assign CacheHit = | WayHit; assign VictimDirty = | VictimDirtyWay; - - // ReadDataLineWayMaskedM is a 2d array of cache line len by number of ways. // Need to OR together each way in a bitwise manner. // Final part of the AO Mux. First is the AND in the cacheway. @@ -172,6 +143,8 @@ module cache #(parameter integer LINELEN, // Convert the Read data bus ReadDataSelectWay into sets of XLEN so we can // easily build a variable input mux. + // *** move this to LSU and IFU, also remove mux from busdp into LSU. + // *** give this a module name to match block diagram genvar index; if(DCACHE == 1) begin: readdata for (index = 0; index < WORDSPERLINE; index++) begin:readdatalinesetsmux @@ -190,72 +163,55 @@ module cache #(parameter integer LINELEN, else assign ReadDataWord = FinalInstrRawF; end - // Write Path CPU (IEU) side - - onehotdecoder #(LOGWPL) - adrdec(.bin(PAdr[LOGWPL+LOGXLENBYTES-1:LOGXLENBYTES]), - .decoded(MemPAdrDecoded)); - - assign SRAMWordEnable = SRAMLineWriteEnable ? '1 : MemPAdrDecoded; - - assign SRAMLineWayWriteEnable = SRAMLineWriteEnable ? VictimWay : '0; - - mux2 #(NUMWAYS) WriteEnableMux(.d0(SRAMWordWriteEnable ? WayHit : '0), - .d1(VictimWay), - .s(SRAMLineWriteEnable), - .y(SRAMWayWriteEnable)); - - - + ///////////////////////////////////////////////////////////////////////////////////////////// + // Write Path: Write Enables + ///////////////////////////////////////////////////////////////////////////////////////////// + + // *** Ross considering restructuring + onehotdecoder #(LOGWPL) adrdec( + .bin(PAdr[LOGWPL+LOGXLENBYTES-1:LOGXLENBYTES]), .decoded(MemPAdrDecoded)); + assign SRAMWordEnable = SRAMLineWriteEnable ? '1 : MemPAdrDecoded; // OR + assign SRAMLineWayWriteEnable = SRAMLineWriteEnable ? VictimWay : '0; // AND + assign SRAMWordWayWriteEnable = SRAMWordWriteEnable ? WayHit : '0; // AND + mux2 #(NUMWAYS) WriteEnableMux(.d0(SRAMWordWayWriteEnable), .d1(VictimWay), + .s(SRAMLineWriteEnable), .y(SRAMWayWriteEnable)); mux2 #(LINELEN) WriteDataMux(.d0({WORDSPERLINE{FinalWriteData}}), - .d1(CacheMemWriteData), - .s(SRAMLineWriteEnable), - .y(SRAMWriteData)); + .d1(CacheMemWriteData), .s(SRAMLineWriteEnable), .y(SRAMWriteData)); + mux3 #(`PA_BITS) CacheBusAdrMux(.d0({PAdr[`PA_BITS-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), + .d1({VictimTag, PAdr[SETTOP-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), + .d2({VictimTag, FlushAdr, {{OFFSETLEN}{1'b0}}}), + .s({SelFlush, SelEvict}), + .y(CacheBusAdr)); - - mux3 #(`PA_BITS) BaseAdrMux(.d0({PAdr[`PA_BITS-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), - .d1({VictimTag, PAdr[INDEXLEN+OFFSETLEN-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), - .d2({VictimTag, FlushAdr, {{OFFSETLEN}{1'b0}}}), - .s({SelFlush, SelEvict}), - .y(CacheBusAdr)); + ///////////////////////////////////////////////////////////////////////////////////////////// + // Flush address and way generation during flush + ///////////////////////////////////////////////////////////////////////////////////////////// - - // flush address and way generation. - // increment on 2nd to last way - flopenr #(INDEXLEN) - FlushAdrReg(.clk, - .reset(reset | FlushAdrCntRst), - .en(FlushAdrCntEn), - .d(FlushAdrP1), - .q(FlushAdr)); + assign ResetOrFlushAdr = reset | FlushAdrCntRst; + flopenr #(SETLEN) FlushAdrReg(.clk, .reset(ResetOrFlushAdr), + .en(FlushAdrCntEn), .d(FlushAdrP1), .q(FlushAdr)); assign FlushAdrP1 = FlushAdr + 1'b1; + assign FlushAdrFlag = (FlushAdr == FlushAdrThreshold[SETLEN-1:0]); - - flopenl #(NUMWAYS) - FlushWayReg(.clk, - .load(reset | FlushWayCntRst), - .en(FlushWayCntEn), - .val({{NUMWAYS-1{1'b0}}, 1'b1}), - .d(NextFlushWay), - .q(FlushWay)); - + assign ResetOrFlushWay = reset | FlushWayCntRst; + flopenl #(NUMWAYS) FlushWayReg(.clk, .load(ResetOrFlushWay), + .en(FlushWayCntEn), .val({{NUMWAYS-1{1'b0}}, 1'b1}), + .d(NextFlushWay), .q(FlushWay)); + assign FlushWayFlag = FlushWay[NUMWAYS-1]; assign VDWriteEnableWay = FlushWay & {NUMWAYS{VDWriteEnable}}; - assign NextFlushWay = {FlushWay[NUMWAYS-2:0], FlushWay[NUMWAYS-1]}; - //assign FlushAdrFlag = FlushAdr == FlushAdrThreshold[INDEXLEN-1:0] & FlushWay[NUMWAYS-1]; - assign FlushAdrFlag = FlushAdr == FlushAdrThreshold[INDEXLEN-1:0]; - assign FlushWayFlag = FlushWay[NUMWAYS-1]; + ///////////////////////////////////////////////////////////////////////////////////////////// + // Cache FSM + ///////////////////////////////////////////////////////////////////////////////////////////// cachefsm cachefsm(.clk, .reset, .CacheFetchLine, .CacheWriteLine, .CacheBusAck, - .RW, .Atomic, .CPUBusy, .IgnoreRequest, - .CacheHit, .VictimDirty, .CacheStall, .CacheCommitted, - .CacheMiss, .CacheAccess, .SelAdr, .SetValid, - .ClearValid, .SetDirty, .ClearDirty, .SRAMWordWriteEnable, - .SRAMLineWriteEnable, .SelEvict, .SelFlush, - .FlushAdrCntEn, .FlushWayCntEn, .FlushAdrCntRst, - .FlushWayCntRst, .FlushAdrFlag, .FlushWayFlag, .FlushCache, - .VDWriteEnable, .LRUWriteEn); - - -endmodule // dcache + .RW, .Atomic, .CPUBusy, .IgnoreRequest, + .CacheHit, .VictimDirty, .CacheStall, .CacheCommitted, + .CacheMiss, .CacheAccess, .SelAdr, .SetValid, + .ClearValid, .SetDirty, .ClearDirty, .SRAMWordWriteEnable, + .SRAMLineWriteEnable, .SelEvict, .SelFlush, + .FlushAdrCntEn, .FlushWayCntEn, .FlushAdrCntRst, + .FlushWayCntRst, .FlushAdrFlag, .FlushWayFlag, .FlushCache, + .VDWriteEnable, .LRUWriteEn); +endmodule diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index 33c2b0492..00f4602ec 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -1,5 +1,5 @@ /////////////////////////////////////////// -// DCacheMem (Memory for the Data Cache) +// cacheway // // Written: ross1728@gmail.com July 07, 2021 // Implements the data, tag, valid, dirty, and replacement bits. @@ -142,6 +142,6 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, end -endmodule // DCacheMemWay +endmodule // DCacheCacheWays diff --git a/pipelined/src/lsu/busdp.sv b/pipelined/src/lsu/busdp.sv index 90a122e22..ac54e303f 100644 --- a/pipelined/src/lsu/busdp.sv +++ b/pipelined/src/lsu/busdp.sv @@ -86,12 +86,12 @@ module busdp #(parameter WORDSPERLINE, parameter LINELEN) mux2 #(`PA_BITS) localadrmux(DCacheBusAdr, LSUPAdrM, SelUncachedAdr, LocalLSUBusAdr); assign LSUBusAdr = ({{`PA_BITS-LOGWPL{1'b0}}, WordCount} << $clog2(`XLEN/8)) + LocalLSUBusAdr; assign PreLSUBusHWDATA = ReadDataLineSetsM[WordCount]; // only in lsu, not ifu - mux2 #(`XLEN) lsubushwdatamux(.d0(PreLSUBusHWDATA), .d1(FinalAMOWriteDataM), - .s(SelUncachedAdr), .y(LSUBusHWDATA)); - mux2 #(3) lsubussizemux(.d0(`XLEN == 32 ? 3'b010 : 3'b011), .d1(LSUFunct3M), - .s(SelUncachedAdr), .y(LSUBusSize)); - mux2 #(`XLEN) UnCachedDataMux(.d0(ReadDataWordM), .d1(DCacheMemWriteData[`XLEN-1:0]), - .s(SelUncachedAdr), .y(ReadDataWordMuxM)); + mux2 #(`XLEN) lsubushwdatamux( + .d0(PreLSUBusHWDATA), .d1(FinalAMOWriteDataM), .s(SelUncachedAdr), .y(LSUBusHWDATA)); + mux2 #(3) lsubussizemux( + .d0(`XLEN == 32 ? 3'b010 : 3'b011), .d1(LSUFunct3M), .s(SelUncachedAdr), .y(LSUBusSize)); + mux2 #(`XLEN) UnCachedDataMux( + .d0(ReadDataWordM), .d1(DCacheMemWriteData[`XLEN-1:0]), .s(SelUncachedAdr), .y(ReadDataWordMuxM)); busfsm #(WordCountThreshold, LOGWPL, (`DMEM == `MEM_CACHE)) // *** cleanup busfsm(.clk, .reset, .IgnoreRequest, .LSURWM, .DCacheFetchLine, .DCacheWriteLine, diff --git a/pipelined/src/lsu/lsu.sv b/pipelined/src/lsu/lsu.sv index d57aedd3a..fdaf56fa9 100644 --- a/pipelined/src/lsu/lsu.sv +++ b/pipelined/src/lsu/lsu.sv @@ -167,7 +167,7 @@ module lsu ( end ///////////////////////////////////////////////////////////////////////////////////////////// - // Hart Memory System + // Memory System // Either Data Cache or Data Tightly Integrated Memory or just bus interface ///////////////////////////////////////////////////////////////////////////////////////////// logic [`XLEN-1:0] FinalAMOWriteDataM, FinalWriteDataM; @@ -190,26 +190,26 @@ module lsu ( logic DCacheFetchLine; logic DCacheBusAck; - busdp #(WORDSPERLINE, LINELEN) - busdp(.clk, .reset, - .LSUBusHRDATA, .LSUBusAck, .LSUBusWrite, .LSUBusRead, .LSUBusHWDATA, .LSUBusSize, - .LSUFunct3M, .LSUBusAdr, .DCacheBusAdr, .ReadDataLineSetsM, .DCacheFetchLine, - .DCacheWriteLine, .DCacheBusAck, .DCacheMemWriteData, .LSUPAdrM, .FinalAMOWriteDataM, - .ReadDataWordM, .ReadDataWordMuxM, .IgnoreRequest, .LSURWM, .CPUBusy, .CacheableM, - .BusStall, .BusCommittedM); + busdp #(WORDSPERLINE, LINELEN) busdp( + .clk, .reset, + .LSUBusHRDATA, .LSUBusAck, .LSUBusWrite, .LSUBusRead, .LSUBusHWDATA, .LSUBusSize, + .LSUFunct3M, .LSUBusAdr, .DCacheBusAdr, .ReadDataLineSetsM, .DCacheFetchLine, + .DCacheWriteLine, .DCacheBusAck, .DCacheMemWriteData, .LSUPAdrM, .FinalAMOWriteDataM, + .ReadDataWordM, .ReadDataWordMuxM, .IgnoreRequest, .LSURWM, .CPUBusy, .CacheableM, + .BusStall, .BusCommittedM); if(`DMEM == `MEM_CACHE) begin : dcache cache #(.LINELEN(`DCACHE_LINELENINBITS), .NUMLINES(`DCACHE_WAYSIZEINBYTES*8/LINELEN), - .NUMWAYS(`DCACHE_NUMWAYS), .DCACHE(1)) - dcache(.clk, .reset, .CPUBusy, - .RW(CacheableM ? LSURWM : 2'b00), .FlushCache(FlushDCacheM), - .Atomic(CacheableM ? LSUAtomicM : 2'b00), .NextAdr(LSUAdrE), .PAdr(LSUPAdrM), - .FinalWriteData(FinalWriteDataM), .ReadDataWord(ReadDataWordM), - .CacheStall(DCacheStallM), .CacheMiss(DCacheMiss), .CacheAccess(DCacheAccess), - .IgnoreRequest, .CacheCommitted(DCacheCommittedM), .CacheBusAdr(DCacheBusAdr), - .ReadDataLineSets(ReadDataLineSetsM), .CacheMemWriteData(DCacheMemWriteData), - .CacheFetchLine(DCacheFetchLine), .CacheWriteLine(DCacheWriteLine), - .CacheBusAck(DCacheBusAck), .InvalidateCacheM(1'b0)); + .NUMWAYS(`DCACHE_NUMWAYS), .DCACHE(1)) dcache( + .clk, .reset, .CPUBusy, + .RW(CacheableM ? LSURWM : 2'b00), .FlushCache(FlushDCacheM), + .Atomic(CacheableM ? LSUAtomicM : 2'b00), .NextAdr(LSUAdrE), .PAdr(LSUPAdrM), + .FinalWriteData(FinalWriteDataM), .ReadDataWord(ReadDataWordM), + .CacheStall(DCacheStallM), .CacheMiss(DCacheMiss), .CacheAccess(DCacheAccess), + .IgnoreRequest, .CacheCommitted(DCacheCommittedM), .CacheBusAdr(DCacheBusAdr), + .ReadDataLineSets(ReadDataLineSetsM), .CacheMemWriteData(DCacheMemWriteData), + .CacheFetchLine(DCacheFetchLine), .CacheWriteLine(DCacheWriteLine), + .CacheBusAck(DCacheBusAck), .InvalidateCacheM(1'b0)); end else begin : passthrough assign {ReadDataWordM, DCacheStallM, DCacheCommittedM, DCacheFetchLine, DCacheWriteLine} = '0; @@ -218,14 +218,14 @@ module lsu ( end subwordread subwordread(.ReadDataWordMuxM, .LSUPAdrM(LSUPAdrM[2:0]), - .Funct3M(LSUFunct3M), .ReadDataM); + .Funct3M(LSUFunct3M), .ReadDataM); // this might only get instantiated if there is a dcache or dtim. // There is a copy in the ebu. *** is it needed there, or can data come in from ebu, get muxed here and sent back out // Explore changing feedback path from output of AMOALU to subword write *** subwordwrite subwordwrite(.HRDATA(ReadDataWordM), .HADDRD(LSUPAdrM[2:0]), - .HSIZED({LSUFunct3M[2], 1'b0, LSUFunct3M[1:0]}), - .HWDATAIN(FinalAMOWriteDataM), .HWDATA(FinalWriteDataM)); + .HSIZED({LSUFunct3M[2], 1'b0, LSUFunct3M[1:0]}), + .HWDATAIN(FinalAMOWriteDataM), .HWDATA(FinalWriteDataM)); ///////////////////////////////////////////////////////////////////////////////////////////// // Atomic operations @@ -233,8 +233,8 @@ module lsu ( if (`A_SUPPORTED) begin:atomic atomic atomic(.clk, .reset, .FlushW, .CPUBusy, .ReadDataM, .WriteDataM, .LSUPAdrM, - .LSUFunct7M, .LSUFunct3M, .LSUAtomicM, .PreLSURWM, .IgnoreRequest, - .DTLBMissM, .FinalAMOWriteDataM, .SquashSCW, .LSURWM); + .LSUFunct7M, .LSUFunct3M, .LSUAtomicM, .PreLSURWM, .IgnoreRequest, + .DTLBMissM, .FinalAMOWriteDataM, .SquashSCW, .LSURWM); end else begin:lrsc assign SquashSCW = 0; assign LSURWM = PreLSURWM; assign FinalAMOWriteDataM = WriteDataM; end diff --git a/pipelined/testbench/testbench-fpga.sv b/pipelined/testbench/testbench-fpga.sv index 9f9024b8f..230d93891 100644 --- a/pipelined/testbench/testbench-fpga.sv +++ b/pipelined/testbench/testbench-fpga.sv @@ -836,10 +836,10 @@ module DCacheFlushFSM copyShadow #(.tagstart(tagstart), .loglinebytelen(loglinebytelen)) copyShadow(.clk, .start, - .tag(testbench.dut.wallypipelinedsoc.core.lsu.bus.dcache.MemWay[way].CacheTagMem.StoredData[index]), - .valid(testbench.dut.wallypipelinedsoc.core.lsu.bus.dcache.MemWay[way].ValidBits[index]), - .dirty(testbench.dut.wallypipelinedsoc.core.lsu.bus.dcache.MemWay[way].DirtyBits[index]), - .data(testbench.dut.wallypipelinedsoc.core.lsu.bus.dcache.MemWay[way].word[cacheWord].CacheDataMem.StoredData[index]), + .tag(testbench.dut.wallypipelinedsoc.core.lsu.bus.dcache.CacheWays[way].CacheTagMem.StoredData[index]), + .valid(testbench.dut.wallypipelinedsoc.core.lsu.bus.dcache.CacheWays[way].ValidBits[index]), + .dirty(testbench.dut.wallypipelinedsoc.core.lsu.bus.dcache.CacheWays[way].DirtyBits[index]), + .data(testbench.dut.wallypipelinedsoc.core.lsu.bus.dcache.CacheWays[way].word[cacheWord].CacheDataMem.StoredData[index]), .index(index), .cacheWord(cacheWord), .CacheData(CacheData[way][index][cacheWord]), diff --git a/pipelined/testbench/testbench-tim.sv b/pipelined/testbench/testbench-tim.sv index 9ac4f84e2..63c96178a 100644 --- a/pipelined/testbench/testbench-tim.sv +++ b/pipelined/testbench/testbench-tim.sv @@ -409,10 +409,10 @@ module DCacheFlushFSM .loglinebytelen(loglinebytelen)) copyShadow(.clk, .start, - .tag(testbench.dut.core.lsu.bus.dcache.dcache.MemWay[way].CacheTagMem.StoredData[index]), - .valid(testbench.dut.core.lsu.bus.dcache.dcache.MemWay[way].ValidBits[index]), - .dirty(testbench.dut.core.lsu.bus.dcache.dcache.MemWay[way].DirtyBits[index]), - .data(testbench.dut.core.lsu.bus.dcache.dcache.MemWay[way].word[cacheWord].CacheDataMem.StoredData[index]), + .tag(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].CacheTagMem.StoredData[index]), + .valid(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].ValidBits[index]), + .dirty(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].DirtyBits[index]), + .data(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].word[cacheWord].CacheDataMem.StoredData[index]), .index(index), .cacheWord(cacheWord), .CacheData(CacheData[way][index][cacheWord]), diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index 4e52a9168..41c41a2cd 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -400,10 +400,10 @@ module DCacheFlushFSM .loglinebytelen(loglinebytelen)) copyShadow(.clk, .start, - .tag(testbench.dut.core.lsu.bus.dcache.dcache.MemWay[way].CacheTagMem.StoredData[index]), - .valid(testbench.dut.core.lsu.bus.dcache.dcache.MemWay[way].ValidBits[index]), - .dirty(testbench.dut.core.lsu.bus.dcache.dcache.MemWay[way].DirtyBits[index]), - .data(testbench.dut.core.lsu.bus.dcache.dcache.MemWay[way].word[cacheWord].CacheDataMem.StoredData[index]), + .tag(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].CacheTagMem.StoredData[index]), + .valid(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].ValidBits[index]), + .dirty(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].DirtyBits[index]), + .data(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].word[cacheWord].CacheDataMem.StoredData[index]), .index(index), .cacheWord(cacheWord), .CacheData(CacheData[way][index][cacheWord]), From c22f7eb11c16a6035a47688c4a90c5eecabb47f6 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 16:00:57 +0000 Subject: [PATCH 020/100] cacheway cleanup --- pipelined/src/cache/cacheway.sv | 72 +++++++++++++++++++-------------- pipelined/src/ieu/regfile.sv | 2 +- 2 files changed, 42 insertions(+), 32 deletions(-) diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index 00f4602ec..b0c9321fd 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -73,8 +73,15 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, logic SetDirtyD, ClearDirtyD; logic WriteEnableD, VDWriteEnableD; - - + ///////////////////////////////////////////////////////////////////////////////////////////// + // Data and Tag Arrays + ///////////////////////////////////////////////////////////////////////////////////////////// + + // Potential optimization: if byte write enables are available, could remove subwordwrites +/* sram1rw #(.DEPTH(NUMLINES), .WIDTH(LINELEN)) CacheDataMem( + .clk(clk), .Addr(RAdr), + .ReadData(ReadDataLineWay), .WriteData(WriteData), + .WriteEnable(WriteEnable & WriteWordEnable[words])); // *** */ genvar words; for(words = 0; words < LINELEN/`XLEN; words++) begin: word @@ -85,12 +92,9 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, .WriteEnable(WriteEnable & WriteWordEnable[words])); end - sram1rw #(.DEPTH(NUMLINES), .WIDTH(TAGLEN)) - CacheTagMem(.clk(clk), - .Addr(RAdr), - .ReadData(ReadTag), - .WriteData(PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]), - .WriteEnable(TagWriteEnable)); + sram1rw #(.DEPTH(NUMLINES), .WIDTH(TAGLEN)) CacheTagMem(.clk(clk), + .Addr(RAdr), .ReadData(ReadTag), + .WriteData(PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]), .WriteEnable(TagWriteEnable)); assign WayHit = Valid & (ReadTag == PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]); assign SelectedWay = SelFlush ? FlushWay : @@ -104,38 +108,44 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, assign FlushThisWay = FlushWay ? ReadTag : '0; assign VictimTagWay = SelFlush ? FlushThisWay : VicDirtyWay; + ///////////////////////////////////////////////////////////////////////////////////////////// + // Valid Bits + ///////////////////////////////////////////////////////////////////////////////////////////// - always_ff @(posedge clk) begin - if (reset) - ValidBits <= {NUMLINES{1'b0}}; - else if (InvalidateAll) - ValidBits <= {NUMLINES{1'b0}}; - else if (SetValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= 1'b1; - else if (ClearValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= 1'b0; - end + always_ff @(posedge clk) begin // Valid bit array, + if (reset | InvalidateAll) ValidBits <= #1 '0; + else if (SetValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= #1 1'b1; + else if (ClearValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= #1 1'b0; + end - always_ff @(posedge clk) begin - RAdrD <= RAdr; - SetValidD <= SetValid; - ClearValidD <= ClearValid; - WriteEnableD <= WriteEnable; - VDWriteEnableD <= VDWriteEnable; - end - - +/* always_ff @(posedge clk) begin // pipeline register; helps timing ***Ross consider further + RAdrD <= #1 RAdr; + SetValidD <= #1 SetValid; + ClearValidD <= #1 ClearValid; + WriteEnableD <= #1 WriteEnable; + VDWriteEnableD <= #1 VDWriteEnable; + end */ + flop #($clog2(NUMLINES)) RAdrDelayReg(clk, RAdr, RAdrD); + flop #(4) ValidCtrlDelayReg(clk, {SetValid, ClearValid, WriteEnable, VDWriteEnable}, + {SetValidD, ClearValidD, WriteEnableD, VDWriteEnableD}); assign Valid = ValidBits[RAdrD]; + ///////////////////////////////////////////////////////////////////////////////////////////// + // Dirty Bits + ///////////////////////////////////////////////////////////////////////////////////////////// + // Dirty bits - if(DIRTY_BITS) begin:dirty + if (DIRTY_BITS) begin:dirty always_ff @(posedge clk) begin - if (reset) DirtyBits <= {NUMLINES{1'b0}}; - else if (SetDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[RAdrD] <= 1'b1; - else if (ClearDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[RAdrD] <= 1'b0; + if (reset) DirtyBits <= #1 {NUMLINES{1'b0}}; + else if (SetDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[RAdrD] <= #1 1'b1; + else if (ClearDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[RAdrD] <= #1 1'b0; end - always_ff @(posedge clk) begin + flop #(2) DirtyCtlDelayReg(clk, {SetDirty, ClearDirty}, {SetDirtyD, ClearDirtyD}); +/* always_ff @(posedge clk) begin SetDirtyD <= SetDirty; ClearDirtyD <= ClearDirty; - end + end */ assign Dirty = DirtyBits[RAdrD]; end else begin:dirty assign Dirty = 1'b0; diff --git a/pipelined/src/ieu/regfile.sv b/pipelined/src/ieu/regfile.sv index e6407a894..2dfb0626d 100644 --- a/pipelined/src/ieu/regfile.sv +++ b/pipelined/src/ieu/regfile.sv @@ -50,7 +50,7 @@ module regfile ( // reset is intended for simulation only, not synthesis - always_ff @(negedge clk) // or posedge reset) + always_ff @(negedge clk) // or posedge reset) // *** make this a preload in testbench rather than reset if (reset) for(i=1; i Date: Thu, 3 Feb 2022 16:07:55 +0000 Subject: [PATCH 021/100] cacheway cleanup --- pipelined/src/cache/cacheway.sv | 18 +----------------- pipelined/src/ieu/datapath.sv | 5 ++--- 2 files changed, 3 insertions(+), 20 deletions(-) diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index b0c9321fd..042a92fda 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -117,14 +117,6 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, else if (SetValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= #1 1'b1; else if (ClearValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= #1 1'b0; end - -/* always_ff @(posedge clk) begin // pipeline register; helps timing ***Ross consider further - RAdrD <= #1 RAdr; - SetValidD <= #1 SetValid; - ClearValidD <= #1 ClearValid; - WriteEnableD <= #1 WriteEnable; - VDWriteEnableD <= #1 VDWriteEnable; - end */ flop #($clog2(NUMLINES)) RAdrDelayReg(clk, RAdr, RAdrD); flop #(4) ValidCtrlDelayReg(clk, {SetValid, ClearValid, WriteEnable, VDWriteEnable}, {SetValidD, ClearValidD, WriteEnableD, VDWriteEnableD}); @@ -142,16 +134,8 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, else if (ClearDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[RAdrD] <= #1 1'b0; end flop #(2) DirtyCtlDelayReg(clk, {SetDirty, ClearDirty}, {SetDirtyD, ClearDirtyD}); -/* always_ff @(posedge clk) begin - SetDirtyD <= SetDirty; - ClearDirtyD <= ClearDirty; - end */ assign Dirty = DirtyBits[RAdrD]; - end else begin:dirty - assign Dirty = 1'b0; - end - - + end else assign Dirty = 1'b0; endmodule // DCacheCacheWays diff --git a/pipelined/src/ieu/datapath.sv b/pipelined/src/ieu/datapath.sv index 0c1ff3e32..aa43a5b19 100644 --- a/pipelined/src/ieu/datapath.sv +++ b/pipelined/src/ieu/datapath.sv @@ -125,7 +125,7 @@ module datapath ( // Writeback stage pipeline register and logic flopenrc #(`XLEN) ResultWReg(clk, reset, FlushW, ~StallW, ResultM, ResultW); flopenrc #(5) RdWReg(clk, reset, FlushW, ~StallW, RdM, RdW); - flopen #(`XLEN) ReadDataWReg(.clk, .en(~StallW), .d(ReadDataM), .q(ReadDataW)); + flopen #(`XLEN) ReadDataWReg(clk, ~StallW, ReadDataM, ReadDataW); mux5 #(`XLEN) resultmuxW(ResultW, ReadDataW, CSRReadValW, MDUResultW, SCResultW, ResultSrcW, WriteDataW); // floating point interactions: fcvt, fp stores @@ -133,8 +133,7 @@ module datapath ( mux2 #(`XLEN) resultmuxM(IEUResultM, FIntResM, FWriteIntM, ResultM); mux2 #(`XLEN) writedatamux(ForwardedSrcBE, FWriteDataE, ~IllegalFPUInstrE, WriteDataE); end else begin:fpmux - assign ResultM = IEUResultM; - assign WriteDataE = ForwardedSrcBE; + assign ResultM = IEUResultM; assign WriteDataE = ForwardedSrcBE; end // handle Store Conditional result if atomic extension supported From 7a8cc5ef2113a1a84083088481fefb2c8fa30f23 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 16:33:01 +0000 Subject: [PATCH 022/100] cacheway cleanup --- pipelined/src/cache/cache.sv | 4 +-- pipelined/src/cache/cacheway.sv | 45 ++++++++++++++++++--------------- 2 files changed, 26 insertions(+), 23 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index f31739506..e5029ecf7 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -125,8 +125,8 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .WriteWordEnable(SRAMWordEnable), .TagWriteEnable(SRAMLineWayWriteEnable), .WriteData(SRAMWriteData), - .SetValid, .ClearValid, .SetDirty, .ClearDirty, .SelEvict, .VictimWay, .FlushWay, .SelFlush, - .ReadDataLineWayMasked, .WayHit, .VictimDirtyWay, .VictimTagWay, + .SetValid, .ClearValid, .SetDirty, .ClearDirty, .SelEvict, .Victim(VictimWay), .Flush(FlushWay), .SelFlush, + .ReadDataLineWayMasked, .WayHit, .VictimDirty(VictimDirtyWay), .VictimTag(VictimTagWay), .InvalidateAll(InvalidateCacheM)); if(NUMWAYS > 1) begin:vict cachereplacementpolicy #(NUMWAYS, SETLEN, OFFSETLEN, NUMLINES) cachereplacementpolicy( diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index 042a92fda..663c6ba33 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -31,9 +31,9 @@ `include "wally-config.vh" module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, - parameter OFFSETLEN = 5, parameter INDEXLEN = 9, parameter DIRTY_BITS = 1) - (input logic clk, - input logic reset, + parameter OFFSETLEN = 5, parameter INDEXLEN = 9, parameter DIRTY_BITS = 1) ( + input logic clk, + input logic reset, input logic [$clog2(NUMLINES)-1:0] RAdr, input logic [`PA_BITS-1:0] PAdr, @@ -47,16 +47,15 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, input logic SetDirty, input logic ClearDirty, input logic SelEvict, - input logic VictimWay, + input logic Victim, input logic InvalidateAll, input logic SelFlush, - input logic FlushWay, + input logic Flush, output logic [LINELEN-1:0] ReadDataLineWayMasked, output logic WayHit, - output logic VictimDirtyWay, - output logic [TAGLEN-1:0] VictimTagWay - ); + output logic VictimDirty, + output logic [TAGLEN-1:0] VictimTag); logic [NUMLINES-1:0] ValidBits; logic [NUMLINES-1:0] DirtyBits; @@ -65,8 +64,9 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, logic Valid; logic Dirty; logic SelectedWay; - logic [TAGLEN-1:0] VicDirtyWay; - logic [TAGLEN-1:0] FlushThisWay; +// logic [TAGLEN-1:0] VicDirtyWay; +// logic [TAGLEN-1:0] FlushThisWay; + logic SelTag; logic [$clog2(NUMLINES)-1:0] RAdrD; logic SetValidD, ClearValidD; @@ -97,17 +97,19 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, .WriteData(PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]), .WriteEnable(TagWriteEnable)); assign WayHit = Valid & (ReadTag == PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]); - assign SelectedWay = SelFlush ? FlushWay : - SelEvict ? VictimWay : WayHit; - assign ReadDataLineWayMasked = SelectedWay ? ReadDataLineWay : '0; // first part of AO mux. + assign SelectedWay = SelFlush ? Flush : (SelEvict ? Victim : WayHit); + assign ReadDataLineWayMasked = SelectedWay ? ReadDataLineWay : '0; // AND part of AO mux. - assign VictimDirtyWay = SelFlush ? FlushWay & Dirty & Valid : - VictimWay & Dirty & Valid; - - assign VicDirtyWay = VictimWay ? ReadTag : '0; - assign FlushThisWay = FlushWay ? ReadTag : '0; - assign VictimTagWay = SelFlush ? FlushThisWay : VicDirtyWay; - + assign VictimDirty = SelFlush ? Flush & Dirty & Valid : + Victim & Dirty & Valid; +/* + assign VicDirtyWay = Victim ? ReadTag : '0; + assign FlushThisWay = Flush ? ReadTag : '0; + assign VictimTag = SelFlush ? FlushThisWay : VicDirtyWay; +*/ + assign SelTag = SelFlush ? Flush : Victim; + assign VictimTag = SelTag ? ReadTag : '0; // AND part of AOMux + ///////////////////////////////////////////////////////////////////////////////////////////// // Valid Bits ///////////////////////////////////////////////////////////////////////////////////////////// @@ -117,6 +119,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, else if (SetValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= #1 1'b1; else if (ClearValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= #1 1'b0; end + // *** consider revisiting whether these delays are the best option? flop #($clog2(NUMLINES)) RAdrDelayReg(clk, RAdr, RAdrD); flop #(4) ValidCtrlDelayReg(clk, {SetValid, ClearValid, WriteEnable, VDWriteEnable}, {SetValidD, ClearValidD, WriteEnableD, VDWriteEnableD}); @@ -136,6 +139,6 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, flop #(2) DirtyCtlDelayReg(clk, {SetDirty, ClearDirty}, {SetDirtyD, ClearDirtyD}); assign Dirty = DirtyBits[RAdrD]; end else assign Dirty = 1'b0; -endmodule // DCacheCacheWays +endmodule From 9b6a4d1d52a2d66f87ef535d8210e1604104fb4b Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 16:52:22 +0000 Subject: [PATCH 023/100] cacheway cleanup --- pipelined/src/cache/cache.sv | 8 ++--- pipelined/src/cache/cacheway.sv | 58 ++++++++++++++------------------- 2 files changed, 29 insertions(+), 37 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index e5029ecf7..e554fa999 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -74,7 +74,7 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( logic [LINELEN-1:0] SRAMWriteData; logic SetValid, ClearValid; logic SetDirty, ClearDirty; - logic [LINELEN-1:0] ReadDataLineWayMasked [NUMWAYS-1:0]; + logic [LINELEN-1:0] ReadDataLineWay [NUMWAYS-1:0]; logic [NUMWAYS-1:0] WayHit; logic CacheHit; logic [LINELEN-1:0] ReadDataLine; @@ -126,7 +126,7 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .TagWriteEnable(SRAMLineWayWriteEnable), .WriteData(SRAMWriteData), .SetValid, .ClearValid, .SetDirty, .ClearDirty, .SelEvict, .Victim(VictimWay), .Flush(FlushWay), .SelFlush, - .ReadDataLineWayMasked, .WayHit, .VictimDirty(VictimDirtyWay), .VictimTag(VictimTagWay), + .SelectedReadDataLine(ReadDataLineWay), .WayHit, .VictimDirty(VictimDirtyWay), .VictimTag(VictimTagWay), .InvalidateAll(InvalidateCacheM)); if(NUMWAYS > 1) begin:vict cachereplacementpolicy #(NUMWAYS, SETLEN, OFFSETLEN, NUMLINES) cachereplacementpolicy( @@ -134,10 +134,10 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( end else assign VictimWay = 1'b1; // one hot. assign CacheHit = | WayHit; assign VictimDirty = | VictimDirtyWay; - // ReadDataLineWayMaskedM is a 2d array of cache line len by number of ways. + // ReadDataLineWay is a 2d array of cache line len by number of ways. // Need to OR together each way in a bitwise manner. // Final part of the AO Mux. First is the AND in the cacheway. - or_rows #(NUMWAYS, LINELEN) ReadDataAOMux(.a(ReadDataLineWayMasked), .y(ReadDataLine)); + or_rows #(NUMWAYS, LINELEN) ReadDataAOMux(.a(ReadDataLineWay), .y(ReadDataLine)); or_rows #(NUMWAYS, TAGLEN) VictimTagAOMux(.a(VictimTagWay), .y(VictimTag)); diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index 663c6ba33..a8af56452 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -52,20 +52,18 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, input logic SelFlush, input logic Flush, - output logic [LINELEN-1:0] ReadDataLineWayMasked, + output logic [LINELEN-1:0] SelectedReadDataLine, output logic WayHit, output logic VictimDirty, output logic [TAGLEN-1:0] VictimTag); logic [NUMLINES-1:0] ValidBits; logic [NUMLINES-1:0] DirtyBits; - logic [LINELEN-1:0] ReadDataLineWay; + logic [LINELEN-1:0] ReadDataLine; logic [TAGLEN-1:0] ReadTag; logic Valid; logic Dirty; - logic SelectedWay; -// logic [TAGLEN-1:0] VicDirtyWay; -// logic [TAGLEN-1:0] FlushThisWay; + logic SelData; logic SelTag; logic [$clog2(NUMLINES)-1:0] RAdrD; @@ -74,42 +72,36 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, logic WriteEnableD, VDWriteEnableD; ///////////////////////////////////////////////////////////////////////////////////////////// - // Data and Tag Arrays + // Tag Array ///////////////////////////////////////////////////////////////////////////////////////////// - // Potential optimization: if byte write enables are available, could remove subwordwrites -/* sram1rw #(.DEPTH(NUMLINES), .WIDTH(LINELEN)) CacheDataMem( - .clk(clk), .Addr(RAdr), - .ReadData(ReadDataLineWay), .WriteData(WriteData), - .WriteEnable(WriteEnable & WriteWordEnable[words])); // *** */ - - genvar words; - for(words = 0; words < LINELEN/`XLEN; words++) begin: word - sram1rw #(.DEPTH(NUMLINES), .WIDTH(`XLEN)) - CacheDataMem(.clk(clk), .Addr(RAdr), - .ReadData(ReadDataLineWay[(words+1)*`XLEN-1:words*`XLEN] ), - .WriteData(WriteData[(words+1)*`XLEN-1:words*`XLEN]), - .WriteEnable(WriteEnable & WriteWordEnable[words])); - end - sram1rw #(.DEPTH(NUMLINES), .WIDTH(TAGLEN)) CacheTagMem(.clk(clk), .Addr(RAdr), .ReadData(ReadTag), .WriteData(PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]), .WriteEnable(TagWriteEnable)); - assign WayHit = Valid & (ReadTag == PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]); - assign SelectedWay = SelFlush ? Flush : (SelEvict ? Victim : WayHit); - assign ReadDataLineWayMasked = SelectedWay ? ReadDataLineWay : '0; // AND part of AO mux. - - assign VictimDirty = SelFlush ? Flush & Dirty & Valid : - Victim & Dirty & Valid; -/* - assign VicDirtyWay = Victim ? ReadTag : '0; - assign FlushThisWay = Flush ? ReadTag : '0; - assign VictimTag = SelFlush ? FlushThisWay : VicDirtyWay; -*/ + // AND portion of distributed tag multiplexer assign SelTag = SelFlush ? Flush : Victim; assign VictimTag = SelTag ? ReadTag : '0; // AND part of AOMux - + assign VictimDirty = SelTag & Dirty & Valid; + + ///////////////////////////////////////////////////////////////////////////////////////////// + // Data Array + ///////////////////////////////////////////////////////////////////////////////////////////// + + // *** Potential optimization: if byte write enables are available, could remove subwordwrites + genvar words; + for(words = 0; words < LINELEN/`XLEN; words++) begin: word + sram1rw #(.DEPTH(NUMLINES), .WIDTH(`XLEN)) CacheDataMem(.clk(clk), .Addr(RAdr), + .ReadData(ReadDataLine[(words+1)*`XLEN-1:words*`XLEN] ), + .WriteData(WriteData[(words+1)*`XLEN-1:words*`XLEN]), + .WriteEnable(WriteEnable & WriteWordEnable[words])); + end + + // AND portion of distributed read multiplexers + assign WayHit = Valid & (ReadTag == PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]); + assign SelData = SelFlush ? Flush : (SelEvict ? Victim : WayHit); + assign SelectedReadDataLine = SelData ? ReadDataLine : '0; // AND part of AO mux. + ///////////////////////////////////////////////////////////////////////////////////////////// // Valid Bits ///////////////////////////////////////////////////////////////////////////////////////////// From 5f7326368e00384d41e0e6e49e622cd3f092efc5 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 17:18:48 +0000 Subject: [PATCH 024/100] cachereplacementpolicy cleanup --- pipelined/src/cache/cachereplacementpolicy.sv | 100 ++++++------------ 1 file changed, 30 insertions(+), 70 deletions(-) diff --git a/pipelined/src/cache/cachereplacementpolicy.sv b/pipelined/src/cache/cachereplacementpolicy.sv index 04a53b6cb..1c412fef1 100644 --- a/pipelined/src/cache/cachereplacementpolicy.sv +++ b/pipelined/src/cache/cachereplacementpolicy.sv @@ -52,56 +52,38 @@ module cachereplacementpolicy logic [INDEXLEN+OFFSETLEN-1:OFFSETLEN] PAdrD; logic [INDEXLEN-1:0] RAdrD; logic LRUWriteEnD; - - /* verilator lint_off BLKLOOPINIT */ - always_ff @(posedge clk) begin - if (reset) begin - RAdrD <= '0; - PAdrD <= '0; - LRUWriteEnD <= 0; - NewReplacementD <= '0; - for(int index = 0; index < NUMLINES; index++) - ReplacementBits[index] <= '0; - end else begin - RAdrD <= RAdr; - PAdrD <= PAdr; - LRUWriteEnD <= LRUWriteEn; - NewReplacementD <= NewReplacement; - if (LRUWriteEnD) begin - ReplacementBits[PAdrD[INDEXLEN+OFFSETLEN-1:OFFSETLEN]] <= NewReplacementD; - end - end - end - /* verilator lint_on BLKLOOPINIT */ + initial begin + assert (NUMWAYS == 2 || NUMWAYS == 4) else $error("Only 2 or 4 ways supported"); + end + + // Pipeline Delay Registers + flopr #(INDEXLEN) RAdrDelayReg(clk, reset, RAdr, RAdrD); + flopr #(INDEXLEN) PAdrDelayReg(clk, reset, PAdr, PAdrD); + flopr #(1) LRUWriteEnDelayReg(clk, reset, LRUWriteEn, LRUWriteEnD); + flopr #(NUMWAYS-1) NewReplacementDelayReg(clk, reset, NewReplacement, NewReplacementD); + + // Replacement Bits: Register file + // Needs to be resettable for simulation, but could omit reset for synthesis *** + always_ff @(posedge clk) + if (reset) for (int set = 0; set < NUMLINES; set++) ReplacementBits[set] = '0; + else if (LRUWriteEnD) ReplacementBits[PAdrD[INDEXLEN+OFFSETLEN-1:OFFSETLEN]] = NewReplacementD; assign LineReplacementBits = ReplacementBits[RAdrD]; genvar index; - if(NUMWAYS == 2) begin : TwoWay - + if(NUMWAYS == 2) begin : PseudoLRU assign LRUEn[0] = 1'b0; - assign NewReplacement[0] = WayHit[1]; - assign VictimWay[1] = ~LineReplacementBits[0]; assign VictimWay[0] = LineReplacementBits[0]; - - end else if (NUMWAYS == 4) begin : FourWay - - - // VictimWay is a function only of the current value of the LRU. - // binary encoding - //assign VictimWay[0] = LineReplacementBits[2] ? LineReplacementBits[1] : LineReplacementBits[0]; - //assign VictimWay[1] = LineReplacementBits[2]; - - // 1 hot encoding - //| WayHit | LRU 2 | LRU 1 | LRU 0 | - //|--------+-------+-------+-------| - //| 0000 | - | - | - | - //| 0001 | 1 | - | 1 | - //| 0010 | 1 | - | 0 | - //| 0100 | 0 | 1 | - | - //| 1000 | 0 | 0 | - | + end else if (NUMWAYS == 4) begin : PseudoLRU + // 1 hot encoding for VictimWay; LRU = LineReplacementBits + //| LRU 2 | LRU 1 | LRU 0 | VictimWay + //+-------+-------+-------+----------- + //| 1 | - | 1 | 0001 + //| 1 | - | 0 | 0010 + //| 0 | 1 | - | 0100 + //| 0 | 0 | - | 1000 assign VictimWay[0] = ~LineReplacementBits[2] & ~LineReplacementBits[0]; assign VictimWay[1] = ~LineReplacementBits[2] & LineReplacementBits[0]; @@ -117,33 +99,11 @@ module cachereplacementpolicy assign LRUMask[2] = WayHit[1] | WayHit[0]; assign LRUMask[1] = WayHit[2]; assign LRUMask[0] = WayHit[0]; - -/* -----\/----- EXCLUDED -----\/----- - // selects - assign LRUEn[2] = 1'b1; - assign LRUEn[1] = WayHit[3]; - assign LRUEn[0] = WayHit[3] | WayHit[2]; - - // mask - assign LRUMask[0] = WayHit[1]; - assign LRUMask[1] = WayHit[3]; - assign LRUMask[2] = WayHit[3] | WayHit[2]; ------/\----- EXCLUDED -----/\----- */ - - for(index = 0; index < NUMWAYS-1; index++) -assign NewReplacement[index] = LRUEn[index] ? LRUMask[index] : LineReplacementBits[index]; - -/* -----\/----- EXCLUDED -----\/----- - assign EncVicWay[1] = LineReplacementBits[2]; - assign EncVicWay[0] = LineReplacementBits[2] ? LineReplacementBits[0] : LineReplacementBits[1]; - - onehotdecoder #(2) - waydec(.bin(EncVicWay), - .decoded({VictimWay[0], VictimWay[1], VictimWay[2], VictimWay[3]})); ------/\----- EXCLUDED -----/\----- */ - - end else if (NUMWAYS == 8) begin : EightWay + mux2 #(1) LRUMuxes[NUMWAYS-2:0](LineReplacementBits, LRUMask, LRUEn, NewReplacement); + end + /* *** 8-way not yet working - look for a general way to write this for all NUMWAYS + else if (NUMWAYS == 8) begin : PseudoLRU // selects assign LRUEn[6] = 1'b1; @@ -164,7 +124,7 @@ assign NewReplacement[index] = LRUEn[index] ? LRUMask[index] : LineReplacementBi assign LRUMask[0] = WayHit[0]; for(index = 0; index < NUMWAYS-1; index++) -assign NewReplacement[index] = LRUEn[index] ? LRUMask[index] : LineReplacementBits[index]; + assign NewReplacement[index] = LRUEn[index] ? LRUMask[index] : LineReplacementBits[index]; assign EncVicWay[2] = LineReplacementBits[6]; assign EncVicWay[1] = LineReplacementBits[6] ? LineReplacementBits[5] : LineReplacementBits[2]; @@ -176,7 +136,7 @@ assign NewReplacement[index] = LRUEn[index] ? LRUMask[index] : LineReplacementBi waydec(.bin(EncVicWay), .decoded({VictimWay[0], VictimWay[1], VictimWay[2], VictimWay[3], VictimWay[4], VictimWay[5], VictimWay[6], VictimWay[7]})); - end + end */ endmodule From eb8dd5e7d796d60f9d197429e9aacfa35498da57 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 17:19:14 +0000 Subject: [PATCH 025/100] cachereplacementpolicy cleanup --- pipelined/src/cache/cachereplacementpolicy.sv | 3 --- 1 file changed, 3 deletions(-) diff --git a/pipelined/src/cache/cachereplacementpolicy.sv b/pipelined/src/cache/cachereplacementpolicy.sv index 1c412fef1..c9bad7af9 100644 --- a/pipelined/src/cache/cachereplacementpolicy.sv +++ b/pipelined/src/cache/cachereplacementpolicy.sv @@ -39,9 +39,6 @@ module cachereplacementpolicy input logic LRUWriteEn ); - // *** Only implements 2, 4, and 8 way - // I would like parametersize this in the future. - logic [NUMWAYS-2:0] LRUEn, LRUMask; logic [$clog2(NUMWAYS)-1:0] EncVicWay; logic [NUMWAYS-2:0] ReplacementBits [NUMLINES-1:0]; From 0e1d784b6003c9082baf3c2adc3df7e3f5ac1aa5 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 17:50:23 +0000 Subject: [PATCH 026/100] sram1rw cleanup --- pipelined/src/cache/cacheway.sv | 4 +- .../cache/dcache_ptw_interaction_README.txt | 90 ------------------- pipelined/src/cache/sram1rw.sv | 35 ++++---- 3 files changed, 20 insertions(+), 109 deletions(-) delete mode 100644 pipelined/src/cache/dcache_ptw_interaction_README.txt diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index a8af56452..0c623b8d4 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -76,7 +76,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, ///////////////////////////////////////////////////////////////////////////////////////////// sram1rw #(.DEPTH(NUMLINES), .WIDTH(TAGLEN)) CacheTagMem(.clk(clk), - .Addr(RAdr), .ReadData(ReadTag), + .Adr(RAdr), .ReadData(ReadTag), .WriteData(PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]), .WriteEnable(TagWriteEnable)); // AND portion of distributed tag multiplexer @@ -91,7 +91,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, // *** Potential optimization: if byte write enables are available, could remove subwordwrites genvar words; for(words = 0; words < LINELEN/`XLEN; words++) begin: word - sram1rw #(.DEPTH(NUMLINES), .WIDTH(`XLEN)) CacheDataMem(.clk(clk), .Addr(RAdr), + sram1rw #(.DEPTH(NUMLINES), .WIDTH(`XLEN)) CacheDataMem(.clk(clk), .Adr(RAdr), .ReadData(ReadDataLine[(words+1)*`XLEN-1:words*`XLEN] ), .WriteData(WriteData[(words+1)*`XLEN-1:words*`XLEN]), .WriteEnable(WriteEnable & WriteWordEnable[words])); diff --git a/pipelined/src/cache/dcache_ptw_interaction_README.txt b/pipelined/src/cache/dcache_ptw_interaction_README.txt deleted file mode 100644 index 47e2af5d2..000000000 --- a/pipelined/src/cache/dcache_ptw_interaction_README.txt +++ /dev/null @@ -1,90 +0,0 @@ -Intractions betwen the dcache and hardware page table walker are complex. -In particular the complications arise when a fault occurs concurrently with a memory operation. - -At the begining of every memory operation there are 8 combinations of three signals; -ITBL miss, DTLB miss, and a memory operation. By looking at each combination we -can understand exactly the correct sequence of operations and if the operation -should continue. - -It is important to note ITLB misses and faults DO NOT flush a memory operation -in the memory stage. This is the core reason for the complexity. - -| Type | ITLB miss | DTLB miss | mem op | | -|-------+-----------+-----------+--------+--------------| -| 0 | 0 | 0 | 0 | | -| 1 | 0 | 0 | 1 | | -| 2 | 0 | 1 | 0 | Not possible | -| 3 | 0 | 1 | 1 | | -| 4 | 1 | 0 | 0 | | -| 5 | 1 | 0 | 1 | | -| 6 | 1 | 1 | 0 | Not possible | -| 7 | 1 | 1 | 1 | | - - -The above table classifies the operations into 8 categories. -2 of the 8 are not possible because a DTLB miss implies a memory operation. -Each (I/D)TLB miss results in either a write to the corresponding TLB or a TLB fault. -To complicate things it is possilbe to have concurrent ITLB and DTLB misses, which -both can result in either a write or a fault. The table belows shows the possible -scenarios and the sequence of operations. - - -| Type | action 1 | action 2 | action 3 | keep stall? | -|------+------------------+-----------------+-----------------+-------------| -| 1 | D$ handles memop | | | Yes | -| 3a | DTLB Write | D$ finish memop | | Yes | -| 3b | DTLB Fault | Abort memop | | No | -| 4a | ITLB write | | | No | -| 4b | ITLB Fault | | | No | -| 5a | ITLB Write | D$ finish memop | | Yes | -| 5b | ITLB Fault | D$ finish memop | | Yes | -| 7a | DTLB Write | ITLB write | D$ finish memop | Yes | -| 7b | DTLB Write | ITLB Fault | D$ finish memop | Yes | -| 7c | DTLB Fault | Abort all | | No | - -Type 1 is a memory operation which either hits in the DTLB or is a physical address. The -Dcache handles the operation. - -Type 3a is a memory operation with a DTLB miss. The Dcache enters a special set of states -designed to handle the page table walker (HTPW). Secondly the HPTW takes control over the -LSU via a set of multiplexors in the LSU Arbiter, driving the Dcache with addresses of the -page table. Interally to the HPTW an FSM checks each node of the Page Table and eventually -signals either a TLB write or a TLB Fault. In Type 3a the DTLB is written with the leaf -page table entry and returns control of the Dcache back to the IEU. Now the Dcache finishes -the memory operation using the physical address provided by the TLB. Note it is crucial -the dcache replay the memory access into the cache's SRAM memory. As the HPTW sends it -requests through the Dcache the original memory operation's SRAM lookup will be lost. - -Type 3b is similar to the 3a type in that is starts with the same conditions; however the -at the end of the page table walk a fault is detched. Rather than update the TLB the CPU -and the dcache need to be informed about the fault and abort the memory operation. Unlike -Type 3a the dcache returns directly to STATE_READY and lowers the stall. - -Type 4a is the simpliest form of TLB miss as it is an ITLB miss with no memory operation. -The Dcache switches in to the special set of page table states and the HPTW takes control -of the Dcache. Like with Type 3a the HPTW sends data request through the Dcache and eventually -reads a leaf page table entry (PTE). At this time the HPTW writes the PTE to the ITLB and -removes the stall as there is not memory operation to do. - -Type 4b is also an ITLB miss. As with 4a the Dcache switches into page table walker mode and reads -until it finds a leaf or in this case a fault. The fault is deteched and the Dcaches switches back -to normal mode. - -Type 5a is a Type 4a with a current memory operation. The Dcache first switches to walker mode. - -Other traps. -A new problem has emerged. What happens when an interrupt occurs during a page table walk? -The dcache has an output called CommittedM which tells the CPU if the memory operation is -committed into the memory system. It would be wrong to pin the interrupt to a memory operation -when it is already or partially committed to the memory system. Instead the next instruction -has to be pinned to the interrupt. The complexity occurs with the ITLB miss; types 4, 5 and 7. - -Type 4: The ITLB misses and starts using the dcache to fetch the page table. There is no memory -operation. Depending on where in the walk the operations could be aborted. If the tlb is not yet -updated then the walk could be aborted. However if the TLB is updated then the interrupt must be -delayed until the next instruction. - -What is the meaning of CommittedM? -This signal informs the CPU if a memory operation is not started or if it is between started -and done. Once a memory op is started it should not be interrupted. This is used to prevent the -CPU from generating an interrupt after the operation is partially or completely done. diff --git a/pipelined/src/cache/sram1rw.sv b/pipelined/src/cache/sram1rw.sv index 263e21e07..b17aa20d3 100644 --- a/pipelined/src/cache/sram1rw.sv +++ b/pipelined/src/cache/sram1rw.sv @@ -34,25 +34,21 @@ // WIDTH is number of bits in one "word" of the memory, DEPTH is number of such words module sram1rw #(parameter DEPTH=128, WIDTH=256) ( - input logic clk, - // port 1 is read only - input logic [$clog2(DEPTH)-1:0] Addr, - output logic [WIDTH-1:0] ReadData, - - // port 2 is write only - input logic [WIDTH-1:0] WriteData, - input logic WriteEnable -); + input logic clk, + input logic [$clog2(DEPTH)-1:0] Adr, + input logic [WIDTH-1:0] WriteData, + input logic WriteEnable, + output logic [WIDTH-1:0] ReadData); - logic [DEPTH-1:0][WIDTH-1:0] StoredData; // *** inconsistency in packed vs. unpacked - logic [$clog2(DEPTH)-1:0] AddrD; - logic [WIDTH-1:0] WriteDataD; - logic WriteEnableD; - + logic [WIDTH-1:0] StoredData[DEPTH-1:0]; + logic [$clog2(DEPTH)-1:0] AddrD; + logic [WIDTH-1:0] WriteDataD; + logic WriteEnableD; + //*** model as single port always_ff @(posedge clk) begin - AddrD <= Addr; - WriteDataD <= WriteData; /// ****** this is not right. there should not need to be a delay. + AddrD <= Adr; + WriteDataD <= WriteData; /// ****** this is not right. there should not need to be a delay. Implement alternative cache stall to avoid this. Eliminates a bunch of delay flops elsewhere WriteEnableD <= WriteEnable; if (WriteEnableD) begin StoredData[AddrD] <= #1 WriteDataD; @@ -60,7 +56,12 @@ module sram1rw #(parameter DEPTH=128, WIDTH=256) ( end assign ReadData = StoredData[AddrD]; - +/* + always_ff @(posedge clk) begin + ReadData <= RAM[Adr]; + if (WriteEnable) RAM[Adr] <= WriteData; + end + */ endmodule From c3122ce21408b63a4f4df3d4c65ee68c6a100335 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 18:03:22 +0000 Subject: [PATCH 027/100] sram1rw cleanup --- pipelined/src/cache/cachefsm.sv | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 7d4d2f77d..115107bcd 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -92,8 +92,8 @@ module cachefsm STATE_MISS_READ_WORD_DELAY, STATE_MISS_WRITE_WORD, - STATE_CPU_BUSY, - STATE_CPU_BUSY_FINISH_AMO, + STATE_CPU_BUSY, // *** Ross will change + STATE_CPU_BUSY_FINISH_AMO, // *** Ross will change STATE_FLUSH, STATE_FLUSH_CHECK, @@ -120,6 +120,7 @@ module cachefsm else CurrState <= #1 NextState; // next state logic and some state ouputs. + // *** Ross simplify: factor out next state and output logic always_comb begin CacheStall = 1'b0; PreSelAdr = 2'b00; From e490705865efe2fe498b2487a2195c72a9b6314b Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 22:55:55 +0000 Subject: [PATCH 028/100] E tests --- .../rv32e_unratified/E/Makefile | 3 - .../rv32e_unratified/E/Makefrag | 73 - .../E/references/add-01.reference_output | 583 --- .../E/references/addi-01.reference_output | 561 --- .../E/references/and-01.reference_output | 588 --- .../E/references/andi-01.reference_output | 554 --- .../E/references/auipc-01.reference_output | 63 - .../E/references/beq-01.reference_output | 590 --- .../E/references/bge-01.reference_output | 586 --- .../E/references/bgeu-01.reference_output | 728 ---- .../E/references/blt-01.reference_output | 586 --- .../E/references/bltu-01.reference_output | 727 ---- .../E/references/bne-01.reference_output | 585 --- .../E/references/jal-01.reference_output | 16 - .../E/references/jalr-01.reference_output | 27 - .../E/references/lb-align-01.reference_output | 18 - .../references/lbu-align-01.reference_output | 17 - .../E/references/lh-align-01.reference_output | 16 - .../references/lhu-align-01.reference_output | 16 - .../E/references/lui-01.reference_output | 63 - .../E/references/lw-align-01.reference_output | 16 - .../E/references/or-01.reference_output | 589 --- .../E/references/ori-01.reference_output | 557 --- .../E/references/sb-align-01.reference_output | 78 - .../E/references/sh-align-01.reference_output | 71 - .../E/references/sll-01.reference_output | 88 - .../E/references/slli-01.reference_output | 89 - .../E/references/slt-01.reference_output | 581 --- .../E/references/slti-01.reference_output | 561 --- .../E/references/sltiu-01.reference_output | 697 --- .../E/references/sltu-01.reference_output | 722 ---- .../E/references/sra-01.reference_output | 90 - .../E/references/srai-01.reference_output | 87 - .../E/references/srl-01.reference_output | 89 - .../E/references/srli-01.reference_output | 88 - .../E/references/sub-01.reference_output | 584 --- .../E/references/sw-align-01.reference_output | 68 - .../E/references/xor-01.reference_output | 583 --- .../E/references/xori-01.reference_output | 559 --- .../rv32e_unratified/E/src/add-01.S | 3000 ------------- .../rv32e_unratified/E/src/addi-01.S | 2890 ------------- .../rv32e_unratified/E/src/and-01.S | 3025 -------------- .../rv32e_unratified/E/src/andi-01.S | 2850 ------------- .../rv32e_unratified/E/src/auipc-01.S | 390 -- .../rv32e_unratified/E/src/beq-01.S | 3030 -------------- .../rv32e_unratified/E/src/bge-01.S | 3015 ------------- .../rv32e_unratified/E/src/bgeu-01.S | 3720 ----------------- .../rv32e_unratified/E/src/blt-01.S | 3010 ------------- .../rv32e_unratified/E/src/bltu-01.S | 3715 ---------------- .../rv32e_unratified/E/src/bne-01.S | 3010 ------------- .../rv32e_unratified/E/src/jal-01.S | 155 - .../rv32e_unratified/E/src/jalr-01.S | 215 - .../rv32e_unratified/E/src/lb-align-01.S | 165 - .../rv32e_unratified/E/src/lbu-align-01.S | 165 - .../rv32e_unratified/E/src/lh-align-01.S | 155 - .../rv32e_unratified/E/src/lhu-align-01.S | 160 - .../rv32e_unratified/E/src/lui-01.S | 390 -- .../rv32e_unratified/E/src/lw-align-01.S | 160 - .../rv32e_unratified/E/src/or-01.S | 3035 -------------- .../rv32e_unratified/E/src/ori-01.S | 2865 ------------- .../rv32e_unratified/E/src/sb-align-01.S | 465 --- .../rv32e_unratified/E/src/sh-align-01.S | 435 -- .../rv32e_unratified/E/src/sll-01.S | 520 --- .../rv32e_unratified/E/src/slli-01.S | 525 --- .../rv32e_unratified/E/src/slt-01.S | 2990 ------------- .../rv32e_unratified/E/src/slti-01.S | 2890 ------------- .../rv32e_unratified/E/src/sltiu-01.S | 3565 ---------------- .../rv32e_unratified/E/src/sltu-01.S | 3695 ---------------- .../rv32e_unratified/E/src/sra-01.S | 530 --- .../rv32e_unratified/E/src/srai-01.S | 515 --- .../rv32e_unratified/E/src/srl-01.S | 525 --- .../rv32e_unratified/E/src/srli-01.S | 520 --- .../rv32e_unratified/E/src/sub-01.S | 3005 ------------- .../rv32e_unratified/E/src/sw-align-01.S | 415 -- .../rv32e_unratified/E/src/xor-01.S | 3000 ------------- .../rv32e_unratified/E/src/xori-01.S | 2880 ------------- 76 files changed, 78192 deletions(-) delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefile delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefrag delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/add-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/addi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/and-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/andi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/auipc-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/beq-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bge-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bgeu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/blt-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bltu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bne-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jal-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jalr-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lb-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lbu-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lh-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lhu-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lui-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lw-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/or-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/ori-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sb-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sh-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sll-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slt-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slti-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltiu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sra-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srai-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srl-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sub-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sw-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xor-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xori-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/add-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/addi-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/and-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/andi-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/auipc-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/beq-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bge-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bgeu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/blt-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bltu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bne-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jal-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jalr-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lb-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lbu-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lh-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lhu-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lui-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lw-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/or-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/ori-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sb-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sh-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sll-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slli-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slt-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slti-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltiu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sra-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srai-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srl-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srli-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sub-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sw-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xor-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xori-01.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefile deleted file mode 100644 index b9410d41f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefile +++ /dev/null @@ -1,3 +0,0 @@ -include ../../Makefile.include - -$(eval $(call compile_template,-march=rv32e -mabi=ilp32e -DXLEN=$(XLEN))) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefrag deleted file mode 100644 index b7c5692a5..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefrag +++ /dev/null @@ -1,73 +0,0 @@ -# RISC-V Architecture Test RV32E Makefrag -# -# Copyright (c) 2017, Codasip Ltd. -# All rights reserved. -# -# Redistribution and use in source and binary forms, with or without -# modification, are permitted provided that the following conditions are met: -# * Redistributions of source code must retain the above copyright -# notice, this list of conditions and the following disclaimer. -# * Redistributions in binary form must reproduce the above copyright -# notice, this list of conditions and the following disclaimer in the -# documentation and/or other materials provided with the distribution. -# * Neither the name of the Codasip Ltd. nor the -# names of its contributors may be used to endorse or promote products -# derived from this software without specific prior written permission. -# -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS -# IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -# THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -# PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL Codasip Ltd. BE LIABLE FOR ANY -# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF -# THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -# -# Description: Makefrag for RV32E architectural tests - -rv32e_sc_tests = \ - add-01 \ - addi-01 \ - and-01 \ - andi-01 \ - auipc-01 \ - beq-01 \ - bge-01 \ - bgeu-01 \ - blt-01 \ - bltu-01 \ - bne-01 \ - jal-01 \ - jalr-01 \ - lb-align-01 \ - lbu-align-01 \ - lh-align-01 \ - lhu-align-01 \ - lui-01 \ - lw-align-01 \ - or-01 \ - ori-01 \ - sb-align-01 \ - sh-align-01 \ - sll-01 \ - slli-01 \ - slt-01 \ - slti-01 \ - sltiu-01 \ - sltu-01 \ - sra-01 \ - srai-01 \ - srl-01 \ - srli-01 \ - sub-01 \ - sw-align-01 \ - xor-01 \ - xori-01 - - - -rv32e_tests = $(addsuffix .elf, $(rv32e_sc_tests)) - -target_tests += $(rv32e_tests) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/add-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/add-01.reference_output deleted file mode 100644 index 4d18d6a9c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/add-01.reference_output +++ /dev/null @@ -1,583 +0,0 @@ -ffffb7fe -7ffbfffe -bfbffffe -00000040 -00000000 -f6fffffe -fc000006 -31333332 -ff0007ff -ff7fffee -ffbffffa -fddffffe -ffedfffe -ffd7fffe -fffc007f -fdfdfffe -aaa9aaa9 -3332b331 -ffffe002 -fffff008 -fff7f7fe -fffffbff -fffff5fe -55555454 -ffffff7c -ffdfffbe -ffffff5e -fffffff8 -ffffbff6 -07fffffb -ffdffffc -ffffbffd -8001ffff -26666664 -dfff7ffe -f000001f -f7ffff7e -fc0fffff -ff7ffffb -ffefffff -fffeeffe -00077fff -ffffdfff -0003efff -fffffcff -ffffddfe -ffffeefe -ffffffae -ffffffe6 -fdfffff6 -fffffff8 -80000006 -60000000 -e0000000 -baaaaaaa -08000001 -59555554 -02000040 -01000003 -007ffffa -00400010 -000fffff -00010000 -ffff7fff -ffff8afd -55557555 -00011000 -00000800 -00080400 -000001fd -fffffe7f -00000042 -ffe0000f -fffffff7 -fffffffb -ffffffc1 -40000000 -80000006 -40000005 -0fff4afc -04000006 -01fffff7 -00fffff9 -007ffffe -003ffdff -001ffff8 -c00fffff -0003ff7f -c001ffff -01008000 -c0004000 -00042000 -aaaabaaa -f80003ff -00000201 -ffff00ff -fff80007 -00016a0a -00000002 -66671b6c -3333e839 -0000b50b -aaab5fb0 -55560a5b -0000b509 -00016a08 -0000b505 -66671b6a -3333e837 -55560a59 -0000b507 -00016a09 -00000001 -66671b6b -3333e838 -0000b50a -aaab5faf -55560a5a -0000b508 -00000002 -fffe95fa -6665b164 -33327e31 -ffff4b03 -aaa9f5a8 -5554a053 -ffff4b01 -00000000 -ffff4afd -6665b162 -33327e2f -5554a051 -ffff4aff -00000001 -fffe95f9 -6665b163 -33327e30 -ffff4b02 -aaa9f5a7 -5554a052 -ffff4b00 -66671b6c -6665b164 -ccccccce -9999999b -6666666d -11111112 -bbbbbbbd -6666666b -66671b6a -66666667 -cccccccc -99999999 -bbbbbbbb -66666669 -66671b6b -6665b163 -cccccccd -9999999a -6666666c -11111111 -bbbbbbbc -6666666a -3333e839 -33327e31 -9999999b -66666668 -3333333a -dddddddf -8888888a -33333338 -3333e837 -33333334 -99999999 -66666666 -88888888 -33333336 -3333e838 -33327e30 -9999999a -66666667 -33333339 -ddddddde -88888889 -33333337 -0000b50b -ffff4b03 -6666666d -3333333a -0000000c -aaaaaab1 -5555555c -0000000a -0000b509 -00000006 -6666666b -33333338 -5555555a -00000008 -0000b50a -ffff4b02 -6666666c -33333339 -0000000b -aaaaaab0 -5555555b -00000009 -aaab5fb0 -aaa9f5a8 -11111112 -dddddddf -aaaaaab1 -55555556 -00000001 -aaaaaaaf -aaab5fae -aaaaaaab -11111110 -dddddddd -ffffffff -aaaaaaad -aaab5faf -aaa9f5a7 -11111111 -ddddddde -aaaaaab0 -55555555 -00000000 -aaaaaaae -55560a5b -5554a053 -bbbbbbbd -8888888a -5555555c -00000001 -aaaaaaac -5555555a -55560a59 -55555556 -bbbbbbbb -88888888 -aaaaaaaa -55555558 -55560a5a -5554a052 -bbbbbbbc -88888889 -5555555b -00000000 -aaaaaaab -55555559 -0000b509 -ffff4b01 -6666666b -33333338 -0000000a -aaaaaaaf -5555555a -00000008 -0000b507 -00000004 -66666669 -33333336 -55555558 -00000006 -0000b508 -ffff4b00 -6666666a -33333337 -00000009 -aaaaaaae -55555559 -00000007 -00016a08 -00000000 -66671b6a -3333e837 -0000b509 -aaab5fae -55560a59 -0000b507 -00016a06 -0000b503 -66671b68 -3333e835 -55560a57 -0000b505 -00016a07 -ffffffff -66671b69 -3333e836 -0000b508 -aaab5fad -55560a58 -0000b506 -0000b505 -ffff4afd -66666667 -33333334 -00000006 -aaaaaaab -55555556 -00000004 -0000b503 -00000000 -66666665 -33333332 -55555554 -00000002 -0000b504 -ffff4afc -66666666 -33333333 -00000005 -aaaaaaaa -55555555 -00000003 -66671b6a -6665b162 -cccccccc -99999999 -6666666b -11111110 -bbbbbbbb -66666669 -66671b68 -33333333 -99999998 -66666665 -88888887 -33333335 -3333e837 -33327e2f -99999999 -66666666 -33333338 -dddddddd -88888888 -33333336 -0000b50a -ffff4b02 -6666666c -33333339 -0000000b -aaaaaab0 -5555555b -00000009 -0000b508 -00000005 -6666666a -33333337 -55555559 -00000007 -0000b509 -ffff4b01 -6666666b -33333338 -0000000a -aaaaaaaf -5555555a -00000008 -aaab5faf -aaa9f5a7 -11111111 -ddddddde -aaaaaab0 -55555555 -00000000 -aaaaaaae -aaab5fad -aaaaaaaa -1111110f -dddddddc -fffffffe -aaaaaaac -aaab5fae -aaa9f5a6 -11111110 -dddddddd -aaaaaaaf -55555554 -ffffffff -aaaaaaad -55560a5a -5554a052 -bbbbbbbc -88888889 -5555555b -00000000 -aaaaaaab -55555559 -55560a58 -55555555 -bbbbbbba -88888887 -aaaaaaa9 -55555557 -55560a59 -5554a051 -bbbbbbbb -88888888 -5555555a -ffffffff -aaaaaaaa -55555558 -0000b508 -ffff4b00 -6666666a -33333337 -00000009 -aaaaaaae -55555559 -00000007 -0000b506 -00000003 -66666668 -33333335 -55555557 -00000005 -0000b507 -ffff4aff -66666669 -33333336 -00000008 -aaaaaaad -55555558 -00000006 -66666665 -ccccccca -99999997 -bbbbbbb9 -66666667 -66671b69 -6665b161 -cccccccb -99999998 -6666666a -1111110f -bbbbbbba -66666668 -3333e837 -33327e2f -99999999 -66666666 -33333338 -dddddddd -88888888 -33333336 -3333e835 -33333332 -99999997 -66666664 -88888886 -33333334 -3333e836 -33327e2e -99999998 -66666665 -33333337 -dddddddc -88888887 -33333335 -55560a59 -5554a051 -bbbbbbbb -88888888 -5555555a -ffffffff -aaaaaaaa -55555558 -55560a57 -55555554 -bbbbbbb9 -88888886 -aaaaaaa8 -55555556 -55560a58 -5554a050 -bbbbbbba -88888887 -55555559 -fffffffe -aaaaaaa9 -55555557 -0000b507 -ffff4aff -66666669 -33333336 -00000008 -aaaaaaad -55555558 -00000006 -0000b505 -00000002 -66666667 -33333334 -55555556 -00000004 -0000b506 -ffff4afe -66666668 -33333335 -00000007 -aaaaaaac -55555557 -00000005 -00016a09 -00000001 -66671b6b -3333e838 -0000b50a -aaab5faf -55560a5a -0000b508 -00016a07 -0000b504 -66671b69 -3333e836 -55560a58 -0000b506 -00016a08 -00000000 -66671b6a -3333e837 -0000b509 -aaab5fae -55560a59 -0000b507 -00000001 -fffe95f9 -6665b163 -33327e30 -ffff4b02 -aaa9f5a7 -5554a052 -ffff4b00 -ffffffff -ffff4afc -6665b161 -33327e2e -5554a050 -ffff4afe -00000000 -fffe95f8 -6665b162 -33327e2f -ffff4b01 -aaa9f5a6 -5554a051 -ffff4aff -66671b6b -6665b163 -cccccccd -9999999a -6666666c -11111111 -bbbbbbbc -6666666a -66671b69 -66666666 -cccccccb -99999998 -bbbbbbba -66666668 -66671b6a -6665b162 -cccccccc -99999999 -6666666b -11111110 -bbbbbbbb -66666669 -3333e838 -33327e30 -9999999a -66666667 -33333339 -ddddddde -88888889 -33333337 -3333e836 -e000001f -f0000003 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/addi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/addi-01.reference_output deleted file mode 100644 index 752d52626..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/addi-01.reference_output +++ /dev/null @@ -1,561 +0,0 @@ -1ffff800 -80000666 -00000000 -e0000555 -efffffee -f7fffffb -fbffffff -00000000 -ff000003 -ff80003f -ffbffffa -ffe00554 -ffefffee -fff7fff5 -fffbfdfe -fffe0002 -ffff0004 -ffff8005 -ffffbff7 -ffffdff9 -ffffefde -fffff9ff -fffffbf9 -fffffdde -ffffff01 -ffffffac -ffffffeb -ffffffe1 -fffffff5 -fffffff2 -00000027 -ffffffd1 -fffffff4 -0000bd02 -ffffffff -ffffeefe -07ffff7f -ffffffc3 -ffffffb6 -00000003 -fffffff9 -80000556 -3ffffc00 -10000000 -03fffff6 -0200002e -01000667 -007fffbf -003ffeff -00200555 -00100000 -00080005 -000403ff -00020000 -00010200 -00007ff9 -00004333 -00002555 -00000aaa -00000e65 -000001bf -000004ff -000006e6 -00000373 -0000081f -00000210 -00000008 -ffffffff -00000101 -000003bf -00000080 -00000020 -00008010 -00000000 -55555556 -0000b533 -0000b4d9 -0000bb6c -0000b839 -0000b50b -0000afb0 -0000ba5b -0000b509 -0000b531 -0000b505 -0000bb6a -0000b837 -0000ba59 -0000b507 -0000b532 -0000b4d8 -0000bb6b -0000b838 -0000b50a -0000afaf -0000ba5a -0000b508 -ffff4b2b -ffff4ad1 -ffff5164 -ffff4e31 -ffff4b03 -ffff45a8 -ffff5053 -ffff4b01 -ffff4b29 -ffff4afd -ffff5162 -ffff4e2f -ffff5051 -ffff4aff -ffff4b2a -ffff4ad0 -ffff5163 -ffff4e30 -ffff4b02 -ffff45a7 -ffff5052 -ffff4b00 -66666695 -6666663b -66666cce -6666699b -6666666d -66666112 -66666bbd -6666666b -66666693 -66666667 -66666ccc -66666999 -66666bbb -66666669 -66666694 -6666663a -66666ccd -6666699a -6666666c -66666111 -66666bbc -6666666a -33333362 -33333308 -3333399b -33333668 -3333333a -33332ddf -3333388a -33333338 -33333360 -33333334 -33333999 -33333666 -33333888 -33333336 -33333361 -33333307 -3333399a -33333667 -33333339 -33332dde -33333889 -33333337 -00000034 -ffffffda -0000066d -0000033a -0000000c -fffffab1 -0000055c -0000000a -00000032 -00000006 -0000066b -00000338 -0000055a -00000008 -00000033 -ffffffd9 -0000066c -00000339 -0000000b -fffffab0 -0000055b -00000009 -aaaaaad9 -aaaaaa7f -aaaab112 -aaaaaddf -aaaaaab1 -aaaaa556 -aaaab001 -aaaaaaaf -aaaaaad7 -aaaaaaab -aaaab110 -aaaaaddd -aaaaafff -aaaaaaad -aaaaaad8 -aaaaaa7e -aaaab111 -aaaaadde -aaaaaab0 -aaaaa555 -aaaab000 -aaaaaaae -55555584 -5555552a -55555bbd -5555588a -5555555c -55555001 -55555aac -5555555a -55555582 -55555556 -55555bbb -55555888 -55555aaa -55555558 -55555583 -55555529 -55555bbc -55555889 -5555555b -55555000 -55555aab -55555559 -00000032 -ffffffd8 -0000066b -00000338 -0000000a -fffffaaf -0000055a -00000008 -00000030 -00000004 -00000669 -00000336 -00000558 -00000006 -00000031 -ffffffd7 -0000066a -00000337 -00000009 -fffffaae -00000559 -00000007 -0000b531 -0000b4d7 -0000bb6a -0000b837 -0000b509 -0000afae -0000ba59 -0000b507 -0000b52f -0000b503 -0000bb68 -0000b835 -0000ba57 -0000b505 -0000b530 -0000b4d6 -0000bb69 -0000b836 -0000b508 -0000afad -0000ba58 -0000b506 -0000002e -ffffffd4 -00000667 -00000334 -00000006 -fffffaab -00000556 -00000004 -0000002c -00000000 -00000665 -00000332 -00000554 -00000002 -0000002d -ffffffd3 -00000666 -00000333 -00000005 -fffffaaa -00000555 -00000003 -66666693 -66666639 -66666ccc -66666999 -6666666b -66666110 -66666bbb -66666669 -66666691 -66666665 -aaaaa555 -aaaab000 -aaaaaaae -aaaaaad6 -aaaaaaaa -aaaab10f -aaaaaddc -aaaaaffe -aaaaaaac -aaaaaad7 -aaaaaa7d -aaaab110 -aaaaaddd -aaaaaaaf -aaaaa554 -aaaaafff -aaaaaaad -55555583 -55555529 -55555bbc -55555889 -5555555b -55555000 -55555aab -55555559 -55555581 -55555555 -55555bba -55555887 -55555aa9 -55555557 -55555582 -55555528 -55555bbb -55555888 -5555555a -55554fff -55555aaa -55555558 -00000031 -ffffffd7 -0000066a -00000337 -00000009 -fffffaae -00000559 -00000007 -0000002f -00000003 -00000668 -00000335 -00000557 -00000005 -00000030 -ffffffd6 -00000669 -00000336 -00000008 -fffffaad -00000558 -00000006 -66666cca -66666997 -66666bb9 -66666667 -66666692 -66666638 -66666ccb -66666998 -6666666a -6666610f -66666bba -66666668 -33333360 -33333306 -33333999 -33333666 -33333338 -33332ddd -33333888 -33333336 -3333335e -33333332 -33333997 -33333664 -33333886 -33333334 -3333335f -33333305 -33333998 -33333665 -33333337 -33332ddc -33333887 -33333335 -55555582 -55555528 -55555bbb -55555888 -5555555a -55554fff -55555aaa -55555558 -55555580 -55555554 -55555bb9 -55555886 -55555aa8 -55555556 -55555581 -55555527 -55555bba -55555887 -55555559 -55554ffe -55555aa9 -55555557 -00000030 -ffffffd6 -00000669 -00000336 -00000008 -fffffaad -00000558 -00000006 -0000002e -00000002 -00000667 -00000334 -00000556 -00000004 -0000002f -ffffffd5 -00000668 -00000335 -00000007 -fffffaac -00000557 -00000005 -0000b532 -0000b4d8 -0000bb6b -0000b838 -0000b50a -0000afaf -0000ba5a -0000b508 -0000b530 -0000b504 -0000bb69 -0000b836 -0000ba58 -0000b506 -0000b531 -0000b4d7 -0000bb6a -0000b837 -0000b509 -0000afae -0000ba59 -0000b507 -ffff4b2a -ffff4ad0 -ffff5163 -ffff4e30 -ffff4b02 -ffff45a7 -ffff5052 -ffff4b00 -ffff4b28 -ffff4afc -ffff5161 -ffff4e2e -ffff5050 -ffff4afe -ffff4b29 -ffff4acf -ffff5162 -ffff4e2f -ffff4b01 -ffff45a6 -ffff5051 -ffff4aff -66666694 -6666663a -66666ccd -6666699a -6666666c -66666111 -66666bbc -6666666a -66666692 -66666666 -66666ccb -66666998 -66666bba -66666668 -66666693 -66666639 -66666ccc -66666999 -6666666b -66666110 -66666bbb -66666669 -33333361 -33333307 -3333399a -33333667 -33333339 -33332dde -33333889 -33333337 -3333335f -33333333 -33333998 -33333665 -33333887 -33333335 -33333360 -33333306 -33333999 -33333666 -33333338 -33332ddd -33333888 -33333336 -00000033 -ffffffd9 -0000066c -00000339 -0000000b -fffffab0 -0000055b -00000009 -00000031 -00000005 -0000066a -00000337 -00000559 -00000007 -00000032 -ffffffd8 -0000066b -00000338 -0000000a -fffffaaf -0000055a -00000008 -aaaaaad8 -aaaaaa7e -aaaab111 -aaaaadde -aaaaaab0 -c000003f -fdffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/and-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/and-01.reference_output deleted file mode 100644 index e8e6b49d4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/and-01.reference_output +++ /dev/null @@ -1,588 +0,0 @@ -00000000 -00000003 -00000100 -00040000 -00100000 -f3ffffff -aaaaaaaa -00400000 -00000000 -ff7fffbf -01000000 -ffdfbfff -ffeffbff -00000000 -02000000 -00000000 -00000004 -55555555 -40000000 -00000004 -00000100 -aaaaa2aa -00001000 -00000001 -00000007 -00000003 -0000b504 -00000080 -0000b505 -00100000 -00004000 -33333330 -55555554 -7f7fffff -26666667 -00000003 -effffff8 -00200000 -fdfffeff -fe7fffff -ff7ffeff -ff9fffff -66466666 -ffef4afd -0000b504 -fffb7fff -55555556 -00080000 -ffff3fff -00000002 -00000020 -ffff42fc -fff7fdff -f7fffeff -55555555 -fffffddf -00000003 -00000006 -00000001 -ffff4afc -00000000 -00000000 -20000000 -10000000 -08000000 -04000000 -02000000 -01000000 -00000000 -00000000 -00100000 -00000000 -00020000 -00000000 -00000000 -00000000 -00002000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000080 -00000000 -00000010 -00000004 -00000000 -20000000 -00000000 -04000000 -00800000 -00200000 -00080000 -00020000 -00000000 -00002000 -00000400 -00000200 -00000040 -00000000 -00000000 -0000b505 -00000005 -00002405 -00003104 -00000004 -0000a001 -00001504 -00000004 -0000b501 -00000000 -00002405 -00003100 -00001504 -00000000 -0000b504 -00000004 -00002404 -00003101 -00000005 -0000a000 -00001505 -00000001 -00000005 -ffff4afd -66664265 -33330234 -00000004 -aaaa0aa9 -55554054 -00000001 -00000000 -66664265 -33330230 -55554054 -00000000 -00000004 -ffff4afc -66664264 -33330231 -00000005 -aaaa0aa8 -55554055 -00000001 -00002405 -66664265 -66666667 -22222224 -00000006 -22222223 -44444446 -00000004 -00002403 -00000000 -66666665 -22222222 -44444444 -00000002 -00002404 -66664264 -66666666 -22222223 -00000005 -22222222 -44444445 -00000003 -00003104 -33330234 -22222224 -33333334 -00000004 -22222220 -11111114 -00000004 -00003100 -00000000 -22222224 -33333330 -11111114 -00000000 -00003104 -33330234 -22222224 -33333330 -00000004 -22222220 -11111114 -00000000 -00000004 -00000004 -00000006 -00000004 -00000006 -00000002 -00000006 -00000004 -00000002 -00000000 -00000004 -00000002 -00000004 -00000002 -00000004 -00000004 -00000006 -00000002 -00000004 -00000002 -00000004 -00000002 -0000a001 -aaaa0aa9 -22222223 -22222220 -00000002 -aaaaaaab -00000002 -00000000 -0000a003 -00000000 -22222221 -22222222 -00000000 -00000002 -0000a000 -aaaa0aa8 -22222222 -22222223 -00000001 -aaaaaaaa -00000001 -00000003 -00001504 -55554054 -44444446 -11111114 -00000006 -00000002 -55555556 -00000004 -00001502 -00000000 -44444444 -11111112 -55555554 -00000002 -00001504 -55554054 -44444446 -11111112 -00000004 -00000002 -55555554 -00000002 -00000004 -00000004 -00000004 -00000004 -00000004 -00000000 -00000004 -00000004 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000004 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -0000b501 -00000001 -00002403 -00003100 -00000002 -0000a003 -00001502 -00000000 -0000b503 -00000000 -00002401 -00003102 -00001500 -00000002 -0000b500 -00000000 -00002402 -00003103 -00000001 -0000a002 -00001501 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00002405 -66664265 -66666665 -22222224 -00000004 -22222221 -44444444 -00000004 -00002401 -00000000 -22222221 -33333332 -11111110 -00000002 -00003100 -33330230 -22222222 -33333333 -00000001 -22222222 -11111111 -00000003 -00000005 -00000005 -00000005 -00000004 -00000004 -00000001 -00000004 -00000004 -00000001 -00000000 -00000005 -00000000 -00000004 -00000000 -00000004 -00000004 -00000004 -00000001 -00000005 -00000000 -00000005 -00000001 -0000a000 -aaaa0aa8 -22222222 -22222220 -00000002 -aaaaaaaa -00000002 -00000000 -0000a002 -00000000 -22222220 -22222222 -00000000 -00000002 -0000a000 -aaaa0aa8 -22222222 -22222222 -00000000 -aaaaaaaa -00000000 -00000002 -00001505 -55554055 -44444445 -11111114 -00000004 -00000001 -55555554 -00000004 -00001501 -00000000 -44444445 -11111110 -55555554 -00000000 -00001504 -55554054 -44444444 -11111111 -00000005 -00000000 -55555555 -00000001 -00000001 -00000001 -00000003 -00000000 -00000002 -00000003 -00000002 -00000000 -00000003 -00000000 -00000001 -00000002 -00000000 -00000002 -00000000 -00000000 -00000002 -00000003 -00000001 -00000002 -00000001 -00000003 -00000000 -66666665 -22222220 -44444444 -00000000 -00002404 -66664264 -66666664 -22222221 -00000005 -22222220 -44444445 -00000001 -00003100 -33330230 -22222222 -33333330 -00000002 -22222222 -11111112 -00000000 -00003102 -00000000 -22222220 -33333332 -11111110 -00000002 -00003100 -33330230 -22222222 -33333332 -00000000 -22222222 -11111110 -00000002 -00001504 -55554054 -44444444 -11111114 -00000004 -00000000 -55555554 -00000004 -00001500 -00000000 -44444444 -11111110 -55555554 -00000000 -00001504 -55554054 -44444444 -11111110 -00000004 -00000000 -55555554 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000002 -00000002 -00000000 -00000002 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000002 -00000002 -00000000 -00000002 -00000000 -00000002 -0000b504 -00000004 -00002404 -00003104 -00000004 -0000a000 -00001504 -00000004 -0000b500 -00000000 -00002404 -00003100 -00001504 -00000000 -0000b504 -00000004 -00002404 -00003100 -00000004 -0000a000 -00001504 -00000000 -00000004 -ffff4afc -66664264 -33330234 -00000004 -aaaa0aa8 -55554054 -00000004 -00000000 -00000000 -66664264 -33330230 -55554054 -00000000 -00000004 -ffff4afc -66664264 -33330230 -00000004 -aaaa0aa8 -55554054 -00000000 -00002404 -66664264 -66666666 -22222224 -00000006 -22222222 -44444446 -00000004 -00002402 -00000000 -66666664 -22222222 -44444444 -00000002 -00002404 -66664264 -66666666 -22222222 -00000004 -22222222 -44444444 -00000002 -00003101 -33330231 -22222223 -33333330 -00000002 -22222223 -11111112 -00000000 -00003103 -00040000 -00100000 -fff7fffd -fffdfff6 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/andi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/andi-01.reference_output deleted file mode 100644 index 1863cd1f2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/andi-01.reference_output +++ /dev/null @@ -1,554 +0,0 @@ -00000000 -0000002c -00000004 -00000007 -00000040 -f7fffffb -00000332 -00000006 -00000000 -00000002 -00000010 -ffdfffbf -00000006 -fff7fffc -000007ff -00000000 -00000334 -00000003 -00000002 -00000200 -ffffeaaa -00000008 -00000332 -00000080 -00000003 -0000002d -ffffff93 -ffffffd3 -fffffaaa -00000334 -00000001 -00000004 -fffffbfe -66666465 -02000000 -00010000 -00000008 -55555546 -00080000 -00000001 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -04000000 -00000000 -00800000 -00000000 -00000000 -00000000 -00040000 -00020000 -00008000 -00004000 -00000000 -00000000 -00000800 -00000400 -00000200 -00000100 -00000000 -00000040 -00000000 -00000000 -00000004 -00000000 -00000001 -00000004 -0000b504 -00000405 -00000104 -00000004 -0000b001 -00000504 -00000004 -00000004 -00000000 -00000405 -00000100 -00000504 -00000000 -00000005 -0000b501 -00000404 -00000101 -00000005 -0000b000 -00000505 -00000001 -0000002c -ffff4ad4 -00000265 -00000234 -00000004 -ffff4aa9 -00000054 -00000004 -0000002c -00000000 -00000265 -00000230 -00000054 -00000000 -0000002d -ffff4ad1 -00000264 -00000231 -00000005 -ffff4aa8 -00000055 -00000001 -00000026 -66666644 -00000667 -00000224 -00000006 -66666223 -00000446 -00000004 -00000024 -00000000 -00000665 -00000222 -00000444 -00000002 -00000025 -66666643 -00000666 -00000223 -00000005 -66666222 -00000445 -00000003 -00000024 -33333314 -00000224 -00000334 -00000004 -33333220 -00000114 -00000004 -00000024 -00000000 -00000224 -00000330 -00000114 -00000000 -00000024 -33333310 -00000224 -00000330 -00000004 -33333220 -00000114 -00000000 -00000006 -00000004 -00000006 -00000004 -00000006 -00000002 -00000006 -00000004 -00000004 -00000000 -00000004 -00000002 -00000004 -00000002 -00000004 -00000002 -00000006 -00000002 -00000004 -00000002 -00000004 -00000002 -0000002a -aaaaaa80 -00000223 -00000220 -00000002 -aaaaaaab -00000002 -00000000 -00000028 -00000000 -00000221 -00000222 -00000000 -00000002 -00000029 -aaaaaa83 -00000222 -00000223 -00000001 -aaaaaaaa -00000001 -00000003 -00000006 -55555554 -00000446 -00000114 -00000006 -55555002 -00000556 -00000004 -00000004 -00000000 -00000444 -00000112 -00000554 -00000002 -00000004 -55555552 -00000446 -00000112 -00000004 -55555002 -00000554 -00000002 -00000004 -00000004 -00000004 -00000004 -00000004 -00000000 -00000004 -00000004 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000002 -0000b500 -00000403 -00000100 -00000002 -0000b003 -00000502 -00000000 -00000000 -00000000 -00000401 -00000102 -00000500 -00000002 -00000001 -0000b503 -00000402 -00000103 -00000001 -0000b002 -00000501 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000024 -66666644 -00000665 -00000224 -00000004 -66666221 -00000444 -00000004 -00000024 -00000000 -00000665 -aaaaaaaa -00000002 -00000000 -00000028 -00000000 -00000220 -00000222 -00000000 -00000002 -00000028 -aaaaaa82 -00000222 -00000222 -00000000 -aaaaaaaa -00000000 -00000002 -00000004 -55555554 -00000445 -00000114 -00000004 -55555001 -00000554 -00000004 -00000004 -00000000 -00000445 -00000110 -00000554 -00000000 -00000005 -55555551 -00000444 -00000111 -00000005 -55555000 -00000555 -00000001 -00000002 -00000000 -00000003 -00000000 -00000002 -00000003 -00000002 -00000000 -00000000 -00000000 -00000001 -00000002 -00000000 -00000002 -00000001 -00000003 -00000002 -00000003 -00000001 -00000002 -00000001 -00000003 -00000220 -00000444 -00000000 -00000025 -66666641 -00000664 -00000221 -00000005 -66666220 -00000445 -00000001 -00000022 -33333310 -00000222 -00000330 -00000002 -33333222 -00000112 -00000000 -00000020 -00000000 -00000220 -00000332 -00000110 -00000002 -00000020 -33333312 -00000222 -00000332 -00000000 -33333222 -00000110 -00000002 -00000004 -55555554 -00000444 -00000114 -00000004 -55555000 -00000554 -00000004 -00000004 -00000000 -00000444 -00000110 -00000554 -00000000 -00000004 -55555550 -00000444 -00000110 -00000004 -55555000 -00000554 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000002 -00000002 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000002 -00000002 -00000000 -00000002 -00000000 -00000002 -00000004 -0000b504 -00000404 -00000104 -00000004 -0000b000 -00000504 -00000004 -00000004 -00000000 -00000404 -00000100 -00000504 -00000000 -00000004 -0000b500 -00000404 -00000100 -00000004 -0000b000 -00000504 -00000000 -0000002c -ffff4ad4 -00000264 -00000234 -00000004 -ffff4aa8 -00000054 -00000004 -0000002c -00000000 -00000264 -00000230 -00000054 -00000000 -0000002c -ffff4ad0 -00000264 -00000230 -00000004 -ffff4aa8 -00000054 -00000000 -00000026 -66666644 -00000666 -00000224 -00000006 -66666222 -00000446 -00000004 -00000024 -00000000 -00000664 -00000222 -00000444 -00000002 -00000024 -66666642 -00000666 -00000222 -00000004 -66666222 -00000444 -00000002 -00000022 -33333310 -00000223 -00000330 -00000002 -33333223 -00000112 -00000000 -00000020 -00000000 -00000221 -00000332 -00000110 -00000002 -00000021 -33333313 -00000222 -00000333 -00000001 -33333222 -00000111 -00000003 -00000004 -00000004 -00000005 -00000004 -00000004 -00000001 -00000004 -00000004 -00000004 -00000000 -00000005 -00000000 -00000004 -00000000 -00000005 -00000001 -00000004 -00000001 -00000005 -00000000 -00000005 -00000001 -0000002a -aaaaaa80 -00000222 -00000220 -00000002 -00000002 -00000555 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/auipc-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/auipc-01.reference_output deleted file mode 100644 index ebf77d350..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/auipc-01.reference_output +++ /dev/null @@ -1,63 +0,0 @@ -fffff000 -7ffff000 -bffff000 -dffff000 -effff000 -f7fff000 -fbfff000 -fdfff000 -fefff000 -00000000 -ffbff000 -ffdff000 -ffeff000 -fff7f000 -fffbf000 -fffdf000 -fffef000 -ffff7000 -ffffb000 -ffffd000 -ffffe000 -80000000 -40000000 -20000000 -10000000 -08000000 -04000000 -02000000 -01000000 -00800000 -00400000 -00200000 -00100000 -00080000 -00040000 -00020000 -00010000 -55555000 -00003000 -aaaaa000 -00000000 -00008000 -00004000 -00002000 -00001000 -002d5000 -66667000 -33334000 -00006000 -aaaab000 -55556000 -003fe000 -002d3000 -66665000 -33332000 -aaaa9000 -55554000 -003ff000 -002d4000 -66666000 -33333000 -00005000 -ff7ff000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/beq-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/beq-01.reference_output deleted file mode 100644 index 92f1ee6d0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/beq-01.reference_output +++ /dev/null @@ -1,590 +0,0 @@ -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bge-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bge-01.reference_output deleted file mode 100644 index cf462405e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bge-01.reference_output +++ /dev/null @@ -1,586 +0,0 @@ -00000001 -00000002 -00000001 -00000002 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000001 -00000001 -00000002 -00000002 -00000002 -00000001 -00000003 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000001 -00000001 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000002 -00000003 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000002 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000001 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000001 -00000001 -00000003 -00000003 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000001 -00000001 -00000001 -00000003 -00000001 -00000001 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000001 -00000003 -00000002 -00000001 -00000001 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000002 -00000002 -00000003 -00000003 -00000001 -00000002 -00000002 -00000001 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000002 -00000003 -00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bgeu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bgeu-01.reference_output deleted file mode 100644 index 14516e603..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bgeu-01.reference_output +++ /dev/null @@ -1,728 +0,0 @@ -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000002 -00000001 -00000002 -00000001 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000002 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000003 -00000001 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000001 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000003 -00000002 -00000001 -00000001 -00000002 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000001 -00000002 -00000003 -00000001 -00000003 -00000001 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000003 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000003 -00000001 -00000002 -00000001 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000003 -00000001 -00000002 -00000001 -00000001 -00000002 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000003 -00000001 -00000001 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000001 -00000001 -00000002 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000003 -00000001 -00000001 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000001 -00000003 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000002 -00000001 -00000001 -00000002 -00000001 -00000003 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/blt-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/blt-01.reference_output deleted file mode 100644 index f0e22e7c0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/blt-01.reference_output +++ /dev/null @@ -1,586 +0,0 @@ -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000003 -00000002 -00000002 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000003 -00000002 -00000003 -00000001 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000001 -00000001 -00000003 -00000002 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000003 -00000002 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000001 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000003 -00000001 -00000001 -00000002 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000001 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000001 -00000002 -00000001 -00000001 -00000003 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000001 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000001 -00000003 -00000001 -00000002 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000002 -00000001 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000003 -00000001 -00000001 -00000002 -00000002 -00000002 -00000001 -00000001 -00000002 -00000002 -00000003 -00000002 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000002 -00000003 -00000001 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000001 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bltu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bltu-01.reference_output deleted file mode 100644 index 4498f93a3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bltu-01.reference_output +++ /dev/null @@ -1,727 +0,0 @@ -00000002 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000001 -00000002 -00000002 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000001 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000001 -00000003 -00000003 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000001 -00000001 -00000002 -00000001 -00000002 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000001 -00000003 -00000002 -00000001 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000002 -00000001 -00000001 -00000003 -00000002 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000001 -00000002 -00000001 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000002 -00000001 -00000002 -00000002 -00000001 -00000003 -00000001 -00000001 -00000002 -00000001 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000001 -00000003 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000001 -00000002 -00000001 -00000002 -00000001 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000001 -00000003 -00000002 -00000001 -00000002 -00000001 -00000001 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000002 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000003 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bne-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bne-01.reference_output deleted file mode 100644 index 7f8a8e6c6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bne-01.reference_output +++ /dev/null @@ -1,585 +0,0 @@ -00000002 -00000001 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000002 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000001 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000001 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000002 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000002 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000002 -00000001 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000001 -00000001 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jal-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jal-01.reference_output deleted file mode 100644 index e23848aac..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jal-01.reference_output +++ /dev/null @@ -1,16 +0,0 @@ -00000025 -00000027 -0008001d -0010001d -00000000 -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jalr-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jalr-01.reference_output deleted file mode 100644 index a05f0b1d5..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jalr-01.reference_output +++ /dev/null @@ -1,27 +0,0 @@ -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000000 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lb-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lb-align-01.reference_output deleted file mode 100644 index 246a44924..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lb-align-01.reference_output +++ /dev/null @@ -1,18 +0,0 @@ -fffffffe -fffffffe -fffffffe -fffffffe -ffffffca -ffffffca -ffffffca -ffffffca -ffffffbe -ffffffbe -fffffffe -ffffffbe -ffffffbe -00000000 -ffffffba -ffffffba -ffffffba -ffffffba diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lbu-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lbu-align-01.reference_output deleted file mode 100644 index ddd4233bb..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lbu-align-01.reference_output +++ /dev/null @@ -1,17 +0,0 @@ -00000000 -000000fe -000000fe -000000fe -000000ca -000000ca -000000ca -000000ca -000000be -000000be -000000fe -000000be -000000be -000000ba -000000ba -000000ba -000000ba diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lh-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lh-align-01.reference_output deleted file mode 100644 index 9ec95d1d4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lh-align-01.reference_output +++ /dev/null @@ -1,16 +0,0 @@ -ffffcafe -ffffcafe -ffffcafe -ffffcafe -ffffbabe -ffffbabe -ffffbabe -ffffbabe -00000000 -ffffcafe -ffffcafe -ffffcafe -ffffcafe -ffffcafe -ffffcafe -ffffcafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lhu-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lhu-align-01.reference_output deleted file mode 100644 index eabf3f883..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lhu-align-01.reference_output +++ /dev/null @@ -1,16 +0,0 @@ -0000cafe -0000cafe -0000cafe -0000cafe -0000babe -0000cafe -0000babe -0000babe -0000babe -0000cafe -0000cafe -0000cafe -0000cafe -00000000 -0000cafe -0000cafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lui-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lui-01.reference_output deleted file mode 100644 index def191172..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lui-01.reference_output +++ /dev/null @@ -1,63 +0,0 @@ -fffff000 -7ffff000 -bffff000 -dffff000 -effff000 -f7fff000 -fbfff000 -fdfff000 -fefff000 -ff7ff000 -ffbff000 -ffdff000 -ffeff000 -00000000 -fffbf000 -fffdf000 -fffef000 -ffff7000 -ffffb000 -ffffd000 -ffffe000 -80000000 -40000000 -20000000 -10000000 -08000000 -04000000 -02000000 -01000000 -00800000 -00400000 -00200000 -00100000 -00080000 -00040000 -00020000 -00010000 -55555000 -00003000 -aaaaa000 -00000000 -00008000 -00004000 -00002000 -00001000 -002d5000 -66667000 -33334000 -00006000 -aaaab000 -55556000 -003fe000 -002d3000 -66665000 -33332000 -aaaa9000 -55554000 -003ff000 -002d4000 -66666000 -33333000 -00005000 -fff7f000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lw-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lw-align-01.reference_output deleted file mode 100644 index 0f578dfe8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lw-align-01.reference_output +++ /dev/null @@ -1,16 +0,0 @@ -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe -00000000 -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/or-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/or-01.reference_output deleted file mode 100644 index ea67773d1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/or-01.reference_output +++ /dev/null @@ -1,589 +0,0 @@ -ffdfffff -7fffffff -ffffffff -c0000000 -00004000 -ffffffff -ffffffff -fdffffff -00000000 -00000006 -ffbfffff -ffdfffff -ffefffff -fff7ffff -fffbffff -ffffffff -fffeffff -ffff7fff -ffffffff -ffffdfff -ffffefff -fffff7ff -ffffffff -fffffdff -fffffeff -ffffffff -ffffffbf -ffffffdf -ffffffff -ffffffff -fffffffb -ffffffff -fffffffe -bfffffff -ffffffff -ffffffff -ffffffff -fdffffff -ffffffff -ff7fffff -ffffffff -ffefffff -ffffffff -ffffffff -ffffffff -ffffffff -ffff7fff -ffffbfff -ffffefff -ffffffff -fffffbff -fffffeff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffb -80000005 -40008000 -fffff7ff -08000008 -aeaaaaaa -0200b504 -ffffdfff -fffffff9 -00400010 -ffffffff -ffff4afd -0004b503 -00020008 -fffffffe -55557556 -00001002 -00000804 -80000200 -3fffffff -00000060 -fffff7ff -00080004 -fffffdff -00000001 -ffffff7f -22000000 -10000000 -24000000 -fffffffa -01400000 -55d55555 -fffffff6 -33333334 -00100080 -00050000 -00021000 -00010006 -00002008 -fffffffd -55555d55 -00000220 -ffffff7f -02000001 -0000b505 -fffffffd -6666f767 -3333b735 -0000b507 -aaaabfaf -5555f557 -0000b505 -0000b507 -0000b505 -6666f765 -3333b737 -5555f555 -0000b507 -0000b505 -fffffffd -6666f767 -3333b737 -0000b505 -aaaabfaf -5555f555 -0000b507 -fffffffd -ffff4afd -ffff6eff -ffff7bfd -ffff4aff -ffffeaff -ffff5fff -ffff4afd -ffffffff -ffff4afd -ffff6efd -ffff7bff -ffff5ffd -ffff4aff -fffffffd -ffff4afd -ffff6eff -ffff7bff -ffff4afd -ffffeaff -ffff5ffd -ffff4aff -6666f767 -ffff6eff -66666667 -77777777 -66666667 -eeeeeeef -77777777 -66666667 -6666f767 -66666667 -66666667 -77777777 -77777777 -66666667 -6666f767 -ffff6eff -66666667 -77777777 -66666667 -eeeeeeef -77777777 -66666667 -3333b735 -ffff7bfd -77777777 -33333334 -33333336 -bbbbbbbf -77777776 -33333334 -3333b737 -33333334 -77777775 -33333336 -77777774 -33333336 -3333b734 -ffff7bfc -77777776 -33333337 -33333335 -bbbbbbbe -77777775 -33333337 -0000b507 -ffff4aff -66666667 -33333336 -00000006 -aaaaaaaf -55555556 -00000006 -0000b507 -00000006 -66666667 -33333336 -55555556 -00000006 -0000b506 -ffff4afe -66666666 -33333337 -00000007 -aaaaaaae -55555557 -00000007 -aaaabfaf -ffffeaff -eeeeeeef -bbbbbbbf -aaaaaaaf -aaaaaaab -ffffffff -aaaaaaaf -aaaabfab -aaaaaaab -eeeeeeef -bbbbbbbb -ffffffff -aaaaaaab -aaaabfaf -ffffeaff -eeeeeeef -bbbbbbbb -aaaaaaaf -aaaaaaab -ffffffff -aaaaaaab -5555f557 -ffff5fff -77777777 -77777776 -55555556 -ffffffff -55555556 -55555556 -5555f557 -55555556 -77777777 -77777776 -55555556 -55555556 -5555f556 -ffff5ffe -77777776 -77777777 -55555557 -fffffffe -55555557 -55555557 -0000b505 -ffff4afd -66666667 -33333334 -00000006 -aaaaaaaf -55555556 -00000004 -0000b507 -00000004 -66666665 -33333336 -55555554 -00000006 -0000b504 -ffff4afc -66666666 -33333337 -00000005 -aaaaaaae -55555555 -00000007 -0000b507 -ffffffff -6666f767 -3333b737 -0000b507 -aaaabfab -5555f557 -0000b507 -0000b503 -0000b503 -6666f767 -3333b733 -5555f557 -0000b503 -0000b507 -ffffffff -6666f767 -3333b733 -0000b507 -aaaabfab -5555f557 -0000b503 -0000b505 -ffff4afd -66666667 -33333334 -00000006 -aaaaaaab -55555556 -00000004 -0000b503 -00000000 -66666665 -33333332 -55555554 -00000002 -0000b504 -ffff4afc -66666666 -33333333 -00000005 -aaaaaaaa -55555555 -00000003 -6666f765 -ffff6efd -66666667 -77777775 -66666667 -eeeeeeef -77777777 -66666665 -6666f767 -66666665 -33333333 -77777777 -33333333 -77777777 -33333333 -3333b737 -ffff7bff -77777777 -33333333 -33333337 -bbbbbbbb -77777777 -33333333 -0000b505 -ffff4afd -66666667 -33333335 -00000007 -aaaaaaaf -55555557 -00000005 -0000b507 -00000005 -66666665 -33333337 -55555555 -00000007 -0000b505 -ffff4afd -66666667 -33333337 -00000005 -aaaaaaaf -55555555 -00000007 -aaaabfaf -ffffeaff -eeeeeeef -bbbbbbbe -aaaaaaae -aaaaaaab -fffffffe -aaaaaaae -aaaabfab -aaaaaaaa -eeeeeeef -bbbbbbba -fffffffe -aaaaaaaa -aaaabfae -ffffeafe -eeeeeeee -bbbbbbbb -aaaaaaaf -aaaaaaaa -ffffffff -aaaaaaab -5555f555 -ffff5ffd -77777777 -77777775 -55555557 -ffffffff -55555557 -55555555 -5555f557 -55555555 -77777775 -77777777 -55555555 -55555557 -5555f555 -ffff5ffd -77777777 -77777777 -55555555 -ffffffff -55555555 -55555557 -0000b507 -ffff4aff -66666667 -33333337 -00000007 -aaaaaaab -55555557 -00000007 -0000b503 -00000003 -66666667 -33333333 -55555557 -00000003 -0000b507 -ffff4aff -66666667 -33333333 -00000007 -aaaaaaab -55555557 -00000003 -66666665 -77777777 -77777775 -66666667 -6666f765 -ffff6efd -66666667 -77777777 -66666665 -eeeeeeef -77777775 -66666667 -3333b737 -ffff7bff -77777777 -33333336 -33333336 -bbbbbbbb -77777776 -33333336 -3333b733 -33333332 -77777777 -33333332 -77777776 -33333332 -3333b736 -ffff7bfe -77777776 -33333333 -33333337 -bbbbbbba -77777777 -33333333 -5555f555 -ffff5ffd -77777777 -77777774 -55555556 -ffffffff -55555556 -55555554 -5555f557 -55555554 -77777775 -77777776 -55555554 -55555556 -5555f554 -ffff5ffc -77777776 -77777777 -55555555 -fffffffe -55555555 -55555557 -0000b507 -ffff4aff -66666667 -33333336 -00000006 -aaaaaaab -55555556 -00000006 -0000b503 -00000002 -66666667 -33333332 -55555556 -00000002 -0000b506 -ffff4afe -66666666 -33333333 -00000007 -aaaaaaaa -55555557 -00000003 -0000b505 -fffffffd -6666f767 -3333b734 -0000b506 -aaaabfaf -5555f556 -0000b504 -0000b507 -0000b504 -6666f765 -3333b736 -5555f554 -0000b506 -0000b504 -fffffffc -6666f766 -3333b737 -0000b505 -aaaabfae -5555f555 -0000b507 -fffffffd -ffff4afd -ffff6eff -ffff7bfc -ffff4afe -ffffeaff -ffff5ffe -ffff4afc -ffffffff -ffff4afc -ffff6efd -ffff7bfe -ffff5ffc -ffff4afe -fffffffc -ffff4afc -ffff6efe -ffff7bff -ffff4afd -ffffeafe -ffff5ffd -ffff4aff -6666f767 -ffff6eff -66666667 -77777776 -66666666 -eeeeeeef -77777776 -66666666 -6666f767 -66666666 -66666667 -77777776 -77777776 -66666666 -6666f766 -ffff6efe -66666666 -77777777 -66666667 -eeeeeeee -77777777 -66666667 -3333b737 -ffff7bff -77777777 -33333337 -33333337 -bbbbbbbb -77777777 -33333337 -3333b733 -dfffffff -efffffff -feffffff -ff7fffff -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/ori-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/ori-01.reference_output deleted file mode 100644 index f97d23397..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/ori-01.reference_output +++ /dev/null @@ -1,557 +0,0 @@ -fffff800 -00000000 -bfffffff -dfffffff -efffffff -f7ffffff -fbffffff -fdffffff -feffffff -ffffffff -ffffffff -ffdfffff -ffffffff -ffffffff -fffbffff -fffdffff -fffeffff -ffff7fff -ffffbfff -ffffffff -ffffffff -fffff7ff -ffffffff -fffffdff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffff7 -fffffffb -ffffffff -ffffffff -000027ff -fffffbff -fffffdff -ffffffff -ffffffff -ffffffff -80000000 -fffffffe -20000555 -fffffaaa -ffffffff -04000332 -02000556 -fffffbff -fffffeff -00400000 -ffffffdf -fffffbff -00040556 -00010001 -00008200 -ffffffd4 -fffffff8 -fffffff8 -ffffffd3 -ffffffd4 -00000102 -00000080 -00000046 -fffffff4 -00000555 -fffffffe -000003ff -00000002 -00000001 -20000100 -00000044 -33333334 -33333333 -fffffff8 -0000b52f -ffffffd5 -0000b767 -0000b735 -0000b507 -ffffffaf -0000b557 -0000b505 -0000b52d -0000b505 -0000b765 -0000b737 -0000b555 -0000b507 -0000b52d -ffffffd7 -0000b767 -0000b737 -0000b505 -ffffffaf -0000b555 -0000b507 -ffff4aff -fffffffd -ffff4eff -ffff4bfd -ffff4aff -fffffaff -ffff4fff -ffff4afd -ffff4afd -ffff4afd -ffff4efd -ffff4bff -ffff4ffd -ffff4aff -ffff4afd -ffffffff -ffff4eff -ffff4bff -ffff4afd -fffffaff -ffff4ffd -ffff4aff -6666666f -fffffff7 -66666667 -66666777 -66666667 -fffffeef -66666777 -66666667 -6666666f -66666667 -66666667 -66666777 -66666777 -66666667 -6666666f -fffffff7 -66666667 -66666777 -66666667 -fffffeef -66666777 -66666667 -3333333e -fffffff4 -33333777 -33333334 -33333336 -fffffbbf -33333776 -33333334 -3333333c -33333334 -33333775 -33333336 -33333774 -33333336 -3333333d -fffffff7 -33333776 -33333337 -33333335 -fffffbbe -33333775 -33333337 -0000002e -ffffffd6 -00000667 -00000336 -00000006 -fffffaaf -00000556 -00000006 -0000002e -00000006 -00000667 -00000336 -00000556 -00000006 -0000002f -ffffffd7 -00000666 -00000337 -00000007 -fffffaae -00000557 -00000007 -aaaaaaaf -ffffffff -aaaaaeef -aaaaabbf -aaaaaaaf -fffffaab -aaaaafff -aaaaaaaf -aaaaaaaf -aaaaaaab -aaaaaeef -aaaaabbb -aaaaafff -aaaaaaab -aaaaaaaf -fffffffb -aaaaaeef -aaaaabbb -aaaaaaaf -fffffaab -aaaaafff -aaaaaaab -5555557e -ffffffd6 -55555777 -55555776 -55555556 -ffffffff -55555556 -55555556 -5555557e -55555556 -55555777 -55555776 -55555556 -55555556 -5555557f -ffffffd7 -55555776 -55555777 -55555557 -fffffffe -55555557 -55555557 -0000002e -ffffffd4 -00000667 -00000334 -00000006 -fffffaaf -00000556 -00000004 -0000002c -00000004 -00000665 -00000336 -00000554 -00000006 -0000002d -ffffffd7 -00000666 -00000337 -00000005 -fffffaae -00000555 -00000007 -0000b52f -ffffffd7 -0000b767 -0000b737 -0000b507 -ffffffab -0000b557 -0000b507 -0000b52f -0000b503 -0000b767 -0000b733 -0000b557 -0000b503 -0000b52f -ffffffd3 -0000b767 -0000b733 -0000b507 -ffffffab -0000b557 -0000b503 -0000002e -ffffffd4 -00000667 -00000334 -00000006 -fffffaab -00000556 -00000004 -0000002c -00000000 -00000665 -00000332 -00000554 -00000002 -0000002d -ffffffd3 -00000666 -00000333 -00000005 -fffffaaa -00000555 -00000003 -6666666f -fffffff5 -66666667 -66666775 -66666667 -fffffeef -66666777 -66666665 -6666666d -fffffaab -aaaaaffe -aaaaaaae -aaaaaaae -aaaaaaaa -aaaaaeef -aaaaabba -aaaaaffe -aaaaaaaa -aaaaaaaf -fffffffb -aaaaaeee -aaaaabbb -aaaaaaaf -fffffaaa -aaaaafff -aaaaaaab -5555557f -ffffffd5 -55555777 -55555775 -55555557 -ffffffff -55555557 -55555555 -5555557d -55555555 -55555775 -55555777 -55555555 -55555557 -5555557d -ffffffd7 -55555777 -55555777 -55555555 -ffffffff -55555555 -55555557 -0000002f -ffffffd7 -00000667 -00000337 -00000007 -fffffaab -00000557 -00000007 -0000002f -00000003 -00000667 -00000333 -00000557 -00000003 -0000002f -ffffffd3 -00000667 -00000333 -00000007 -fffffaab -00000557 -00000003 -66666665 -66666665 -66666777 -66666775 -66666667 -6666666d -fffffff7 -66666667 -66666777 -66666665 -fffffeef -66666775 -66666667 -3333333e -fffffff6 -33333777 -33333336 -33333336 -fffffbbb -33333776 -33333336 -3333333e -33333332 -33333777 -33333332 -33333776 -33333332 -3333333f -fffffff3 -33333776 -33333333 -33333337 -fffffbba -33333777 -33333333 -5555557e -ffffffd4 -55555777 -55555774 -55555556 -ffffffff -55555556 -55555554 -5555557c -55555554 -55555775 -55555776 -55555554 -55555556 -5555557d -ffffffd7 -55555776 -55555777 -55555555 -fffffffe -55555555 -55555557 -0000002e -ffffffd6 -00000667 -00000336 -00000006 -fffffaab -00000556 -00000006 -0000002e -00000002 -00000667 -00000332 -00000556 -0000002f -ffffffd3 -00000666 -00000333 -00000007 -fffffaaa -00000557 -00000003 -0000b52e -ffffffd4 -0000b767 -0000b734 -0000b506 -ffffffaf -0000b556 -0000b504 -0000b52c -0000b504 -0000b765 -0000b736 -0000b554 -0000b506 -0000b52d -ffffffd7 -0000b766 -0000b737 -0000b505 -ffffffae -0000b555 -0000b507 -ffff4afe -fffffffc -ffff4eff -ffff4bfc -ffff4afe -fffffaff -ffff4ffe -ffff4afc -ffff4afc -ffff4afc -ffff4efd -ffff4bfe -ffff4ffc -ffff4afe -ffff4afd -ffffffff -ffff4efe -ffff4bff -ffff4afd -fffffafe -ffff4ffd -ffff4aff -6666666e -fffffff6 -66666667 -66666776 -66666666 -fffffeef -66666776 -66666666 -6666666e -66666666 -66666667 -66666776 -66666776 -66666666 -6666666f -fffffff7 -66666666 -66666777 -66666667 -fffffeee -66666777 -66666667 -3333333f -fffffff7 -33333777 -33333337 -33333337 -fffffbbb -33333777 -33333337 -3333333f -33333333 -33333777 -33333333 -33333777 -33333333 -3333333f -fffffff3 -33333777 -33333333 -33333337 -fffffbbb -33333777 -33333333 -0000002f -ffffffd5 -00000667 -00000335 -00000007 -fffffaaf -00000557 -00000005 -0000002d -00000005 -00000665 -00000337 -00000555 -00000007 -0000002d -ffffffd7 -00000667 -00000337 -00000005 -fffffaaf -00000555 -00000007 -aaaaaaae -fffffffe -aaaaaeef -aaaaabbe -aaaaaaae -7fffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sb-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sb-align-01.reference_output deleted file mode 100644 index cd1d694f9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sb-align-01.reference_output +++ /dev/null @@ -1,78 +0,0 @@ -deadbe00 -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbe7f -deadbebf -deadbedf -deadbeef -deadbef7 -deadbefb -deadbefd -deadbefe -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe01 -deadbeaa -deadbe55 -deadfbef -deadffef -dead08ef -deadf8ef -de00beef -debfbeef -def8beef -de09beef -10adbeef -ffadbeef -00adbeef -deadbe40 -00adbeef -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe80 -deadbe20 -deadbe04 -deadbe02 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sh-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sh-align-01.reference_output deleted file mode 100644 index fcfc68eca..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sh-align-01.reference_output +++ /dev/null @@ -1,71 +0,0 @@ -dead0001 -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -dead0000 -deadffff -deadffff -deadffff -dead7fff -deadbfff -deaddfff -deadefff -deadf7ff -deadfbff -deadfdff -deadfeff -deadff7f -deadffbf -deadffdf -deadffef -deadfff7 -deadfffb -deadfffd -deadfffe -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -deadaaaa -dead5555 -ffffbeef -0800beef -0003beef -fffabeef -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead8000 -dead4000 -dead2000 -dead1000 -dead0400 -dead0200 -dead0100 -dead0080 -dead0040 -dead0020 -dead0010 -dead0008 -dead0004 -dead0002 -deadffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sll-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sll-01.reference_output deleted file mode 100644 index bce59d089..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sll-01.reference_output +++ /dev/null @@ -1,88 +0,0 @@ -c0000000 -fff78000 -7f800000 -c0000000 -00000000 -c0000000 -fffffe00 -fffe0000 -bffffffe -ffffc000 -c0000000 -ff800000 -ffffff00 -ffffe000 -ffe00000 -fffff800 -fffff800 -ffbffffc -effffe00 -ffff8000 -f7fffc00 -fffbfffc -fff7fff0 -ffffbfff -fbffe000 -c0000000 -f7ff0000 -ffff7fe0 -ffffbfe0 -ff7f0000 -ffdf0000 -fff70000 -fffd8000 -ffffffd0 -fffffff8 -00000000 -00000000 -00000000 -20000000 -00000000 -80000000 -10000000 -00000000 -08000000 -00400000 -00000000 -00000000 -04000000 -00000000 -00000000 -00000000 -08000000 -00000000 -00200000 -00400000 -00200000 -08000000 -00000000 -00008000 -00000000 -00010000 -00008000 -08000000 -00000000 -10000000 -80000000 -a8280000 -ff4afd00 -9999999c -66666680 -000c0000 -55560000 -aab00000 -0000b503 -00060000 -aaaa0000 -55540000 -00000000 -00000008 -66666500 -cccc8000 -55555554 -d4100000 -ccc00000 -ccccccc0 -00001400 -e0000000 -80000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slli-01.reference_output deleted file mode 100644 index 242ca0707..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slli-01.reference_output +++ /dev/null @@ -1,89 +0,0 @@ -80000000 -f8000000 -ffffff80 -bffffffe -fffc0000 -f7ffffff -00000000 -ffff8000 -fff80000 -ffff0000 -80000000 -f8000000 -fffff000 -effffe00 -fffbffff -00000000 -f7fff800 -fffe0000 -f8000000 -bffe0000 -e0000000 -fdffc000 -ff800000 -ff7fc000 -fdfe0000 -ffdfc000 -ffefc000 -ffffdf00 -fffffbc0 -ffffff70 -fffb0000 -fffffa00 -ffffff00 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00400000 -08000000 -00000000 -00000000 -00000000 -00000000 -40000000 -08000000 -00004000 -00002000 -00002000 -00000000 -00400000 -00001000 -20000000 -00000000 -00001000 -00010000 -00000010 -00400000 -00000040 -00001000 -00004000 -fffffdfc -5a828000 -7e800000 -38000000 -99a00000 -00003000 -aaac0000 -80000000 -000c0000 -aaaa0000 -aaaaaa80 -ffbffc00 -002d40c0 -cccca000 -66666664 -80000000 -6a080000 -57e00000 -c0000000 -cccccc00 -28000000 -ffffff80 -ffff0000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slt-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slt-01.reference_output deleted file mode 100644 index 211acb80a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slt-01.reference_output +++ /dev/null @@ -1,581 +0,0 @@ -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slti-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slti-01.reference_output deleted file mode 100644 index 65bf06a2b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slti-01.reference_output +++ /dev/null @@ -1,561 +0,0 @@ -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltiu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltiu-01.reference_output deleted file mode 100644 index 8bef9035d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltiu-01.reference_output +++ /dev/null @@ -1,697 +0,0 @@ -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltu-01.reference_output deleted file mode 100644 index 95fdde41a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltu-01.reference_output +++ /dev/null @@ -1,722 +0,0 @@ -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sra-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sra-01.reference_output deleted file mode 100644 index 76d4a21d6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sra-01.reference_output +++ /dev/null @@ -1,90 +0,0 @@ -aaaaaaaa -ffffffef -00000000 -ffffffff -fff55555 -00000000 -000003ff -dfffffff -ffbfffff -fff7ffff -f7ffffff -fffffff7 -00000000 -fffffbff -ffffffbf -ffffffff -ffffffbf -fffbffff -fffffdff -ffffffff -fffffffe -ffffffff -ffffefff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffd -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -fffff000 -00000008 -00100000 -00020000 -00000010 -00004000 -00000100 -00000080 -00800000 -00000800 -00001000 -00080000 -00000200 -00000000 -00000040 -00000040 -00000000 -00000000 -00000000 -00000008 -00000020 -00000000 -00000000 -00000010 -00000002 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffff4a -00006666 -000ccccc -00000000 -000002aa -00000000 -00000000 -00000aaa -0000016a -0000000c -00000199 -00aaaaaa -00000001 -ffffffff -00000001 -00000001 -00000000 -fffffffd -00000000 -fffeffff -fffeffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srai-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srai-01.reference_output deleted file mode 100644 index d0482c92b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srai-01.reference_output +++ /dev/null @@ -1,87 +0,0 @@ -00000000 -0007ffff -ff7fffff -efffffff -ffffffbf -fffeffff -ff7fffff -00000000 -ffffffff -ffffdfff -ffffbfff -ffffdfff -ffffefff -fffffbff -ffffffff -ffffefff -fffffeff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffff7f -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -fffffff5 -00000000 -e0000000 -10000000 -02000000 -00004000 -00000040 -00004000 -00010000 -00000400 -00001000 -00000020 -00020000 -00000000 -00000000 -00000002 -00000100 -00000001 -00000020 -00000000 -00000100 -00000020 -00000000 -00000000 -00000000 -00000020 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000016 -fffffffe -00666666 -00033333 -00000000 -fff55555 -00000000 -00000001 -00000000 -00000002 -15555555 -00005a81 -00006666 -00000ccc -0000000a -00000000 -ffffffff -00019999 -00000ccc -ffffffff -ffffffbf diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srl-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srl-01.reference_output deleted file mode 100644 index 1ff02aae6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srl-01.reference_output +++ /dev/null @@ -1,89 +0,0 @@ -fffffff7 -fffffffa -000001ff -00000001 -00000001 -00000002 -0000000f -00001bff -00000000 -00001eff -0000001f -03f7ffff -0003fdff -00ffbfff -00000000 -00000007 -0fffbfff -00fffdff -00000003 -00000007 -003fffef -0003ffff -00000001 -00000003 -00007fff -00000007 -0007ffff -007fffff -000007ff -0003ffff -fffffffb -00000001 -07ffffff -00000008 -00002d41 -08000000 -00000100 -01000000 -00080000 -00000400 -00001000 -00000080 -00004000 -00000010 -00000800 -00002000 -00000040 -00000002 -00000008 -00000000 -00000001 -00000001 -00000020 -00000040 -00000000 -00000000 -00000040 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -03fffd2b -00019999 -00199999 -00000000 -0002aaaa -55555556 -00000005 -00000000 -0aaaaaaa -00aaaaaa -00000000 -0000000c -00001999 -00000aaa -00000000 -0ffff4af -0000000c -00000199 -00000000 -0000001f -00000007 -0003bfff -0ffdffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srli-01.reference_output deleted file mode 100644 index 8cd9ce217..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srli-01.reference_output +++ /dev/null @@ -1,88 +0,0 @@ -00000001 -007fffff -00000000 -037fffff -003bffff -3dffffff -00003eff -0000fdff -7f7fffff -00007fbf -0001ff7f -01ffbfff -00000000 -000007ff -0000fffb -00007ffe -001fffdf -00003fff -ffffbfff -00001fff -003ffffb -000007ff -03ffffef -00001fff -00fffffe -00ffffff -3ffffff7 -00003fff -3ffffffd -03ffffff -0001ffff -000fffff -00000000 -00000006 -00000000 -00200000 -40000000 -00001000 -00000800 -00010000 -00000000 -00000040 -00000800 -00010000 -00010000 -00020000 -00000800 -00000100 -00000002 -00010000 -00000000 -00000100 -00000200 -00000000 -00000008 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000005 -01fffe95 -000ccccc -0000cccc -00000000 -55555555 -00005555 -00000000 -00000000 -00000000 -2aaaaaaa -000aaaaa -00000000 -00000333 -00cccccc -01555555 -00000000 -00ffff4a -00333333 -00000000 -00000000 -0000017f -000ffeff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sub-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sub-01.reference_output deleted file mode 100644 index 69e62adb8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sub-01.reference_output +++ /dev/null @@ -1,584 +0,0 @@ -01c00000 -ffbfffff -3ffffffb -00000000 -00000000 -07fffffc -04000001 -01fffc00 -01000011 -00800081 -00400003 -00200005 -000ffe00 -00000000 -00040001 -ffe20000 -0000fffc -00008041 -f0004000 -33335333 -20001001 -ffc00800 -00000421 -fffc0200 -ffe00100 -ffff4b7e -55555597 -00000023 -aaaaaabc -00010009 -00040005 -0000b508 -ffff4aff -d5555555 -bbffffff -bfffffff -f8008000 -fbffffff -cbcccccb -ccbccccb -ff77ffff -fffdfff9 -ffff0080 -fffecafc -ffffbff7 -ffffe003 -fffbefff -1ffff800 -07ffff00 -fffff77f -0000ffc0 -0007ffe0 -001ffff0 -07fffff8 -ffffdffd -2aaaaaab -bfff7fff -f2000000 -a2aaaaab -fdffdfff -feffffef -ffe00010 -33233333 -fef7ffff -00060000 -07ff0000 -001f8000 -f7ffbfff -1ffff000 -3ffffc00 -ffff7dff -55555454 -333332b3 -ffffffc4 -fffffbdf -fffffff2 -ffbffffb -00000000 -1fffffff -7ffffc00 -0ffffffe -00000000 -01040001 -08800001 -99d9999a -80100000 -02020001 -00008000 -00003ffb -ffff6afb -00000f80 -80000800 -ff800400 -00000204 -e0000100 -ffffc008 -00020002 -00000000 -00016a08 -999a4e9e -cccd81d1 -0000b4ff -55560a5a -aaab5faf -0000b501 -00000002 -0000b505 -999a4ea0 -cccd81d3 -aaab5fb1 -0000b503 -00000001 -00016a09 -999a4e9f -cccd81d2 -0000b500 -55560a5b -aaab5fb0 -0000b502 -fffe95f8 -00000000 -9998e496 -cccc17c9 -ffff4af7 -5554a052 -aaa9f5a7 -ffff4af9 -fffe95fa -ffff4afd -9998e498 -cccc17cb -aaa9f5a9 -ffff4afb -fffe95f9 -00000001 -9998e497 -cccc17ca -ffff4af8 -5554a053 -aaa9f5a8 -ffff4afa -6665b162 -66671b6a -00000000 -33333333 -66666661 -bbbbbbbc -11111111 -66666663 -6665b164 -66666667 -00000002 -33333335 -11111113 -66666665 -6665b163 -66671b6b -00000001 -33333334 -66666662 -bbbbbbbd -11111112 -66666664 -33327e2f -3333e837 -cccccccd -00000000 -3333332e -88888889 -ddddddde -33333330 -33327e31 -33333334 -cccccccf -00000002 -dddddde0 -33333332 -33327e30 -3333e838 -ccccccce -00000001 -3333332f -8888888a -dddddddf -33333331 -ffff4b01 -0000b509 -9999999f -ccccccd2 -00000000 -5555555b -aaaaaab0 -00000002 -ffff4b03 -00000006 -999999a1 -ccccccd4 -aaaaaab2 -00000004 -ffff4b02 -0000b50a -999999a0 -ccccccd3 -00000001 -5555555c -aaaaaab1 -00000003 -aaa9f5a6 -aaab5fae -44444444 -77777777 -aaaaaaa5 -00000000 -55555555 -aaaaaaa7 -aaa9f5a8 -aaaaaaab -44444446 -77777779 -55555557 -aaaaaaa9 -aaa9f5a7 -aaab5faf -44444445 -77777778 -aaaaaaa6 -00000001 -55555556 -aaaaaaa8 -5554a051 -55560a59 -eeeeeeef -22222222 -55555550 -aaaaaaab -00000000 -55555552 -5554a053 -55555556 -eeeeeef1 -22222224 -00000002 -55555554 -5554a052 -55560a5a -eeeeeef0 -22222223 -55555551 -aaaaaaac -00000001 -55555553 -ffff4aff -0000b507 -9999999d -ccccccd0 -fffffffe -55555559 -aaaaaaae -00000000 -ffff4b01 -00000004 -9999999f -ccccccd2 -aaaaaab0 -00000002 -ffff4b00 -0000b508 -9999999e -ccccccd1 -ffffffff -5555555a -aaaaaaaf -00000001 -fffffffe -00016a06 -999a4e9c -cccd81cf -0000b4fd -55560a58 -aaab5fad -0000b4ff -00000000 -0000b503 -999a4e9e -cccd81d1 -aaab5faf -0000b501 -ffffffff -00016a07 -999a4e9d -cccd81d0 -0000b4fe -55560a59 -aaab5fae -0000b500 -ffff4afb -0000b503 -99999999 -cccccccc -fffffffa -55555555 -aaaaaaaa -fffffffc -ffff4afd -00000000 -9999999b -ccccccce -aaaaaaac -fffffffe -ffff4afc -0000b504 -9999999a -cccccccd -fffffffb -55555556 -aaaaaaab -fffffffd -6665b160 -66671b68 -fffffffe -33333331 -6666665f -bbbbbbba -1111110f -66666661 -6665b162 -66666665 -33333333 -ccccccce -00000001 -dddddddf -33333331 -33327e2f -3333e837 -cccccccd -00000000 -3333332e -88888889 -ddddddde -33333330 -ffff4b00 -0000b508 -9999999e -ccccccd1 -ffffffff -5555555a -aaaaaaaf -00000001 -ffff4b02 -00000005 -999999a0 -ccccccd3 -aaaaaab1 -00000003 -ffff4b01 -0000b509 -9999999f -ccccccd2 -00000000 -5555555b -aaaaaab0 -00000002 -aaa9f5a5 -aaab5fad -44444443 -77777776 -aaaaaaa4 -ffffffff -55555554 -aaaaaaa6 -aaa9f5a7 -aaaaaaaa -44444445 -77777778 -55555556 -aaaaaaa8 -aaa9f5a6 -aaab5fae -44444444 -77777777 -aaaaaaa5 -00000000 -55555555 -aaaaaaa7 -5554a050 -55560a58 -eeeeeeee -22222221 -5555554f -aaaaaaaa -ffffffff -55555551 -5554a052 -55555555 -eeeeeef0 -22222223 -00000001 -55555553 -5554a051 -55560a59 -eeeeeeef -22222222 -55555550 -aaaaaaab -00000000 -55555552 -ffff4afe -0000b506 -9999999c -cccccccf -fffffffd -55555558 -aaaaaaad -ffffffff -ffff4b00 -00000003 -9999999e -ccccccd1 -aaaaaaaf -00000001 -ffff4aff -0000b507 -9999999d -ccccccd0 -fffffffe -55555559 -aaaaaaae -00000000 -00000000 -33333333 -11111111 -66666663 -6665b161 -66671b69 -ffffffff -33333332 -66666660 -bbbbbbbb -11111110 -66666662 -33327e2d -3333e835 -cccccccb -fffffffe -3333332c -88888887 -dddddddc -3333332e -33327e2f -33333332 -cccccccd -00000000 -ddddddde -33333330 -33327e2e -3333e836 -cccccccc -ffffffff -3333332d -88888888 -dddddddd -3333332f -5554a04f -55560a57 -eeeeeeed -22222220 -5555554e -aaaaaaa9 -fffffffe -55555550 -5554a051 -55555554 -eeeeeeef -22222222 -00000000 -55555552 -5554a050 -55560a58 -eeeeeeee -22222221 -5555554f -aaaaaaaa -ffffffff -55555551 -ffff4afd -0000b505 -9999999b -ccccccce -fffffffc -55555557 -aaaaaaac -fffffffe -ffff4aff -00000002 -9999999d -ccccccd0 -aaaaaaae -ffff4afe -0000b506 -9999999c -cccccccf -fffffffd -55555558 -aaaaaaad -ffffffff -ffffffff -00016a07 -999a4e9d -cccd81d0 -0000b4fe -55560a59 -aaab5fae -0000b500 -00000001 -0000b504 -999a4e9f -cccd81d2 -aaab5fb0 -0000b502 -00000000 -00016a08 -999a4e9e -cccd81d1 -0000b4ff -55560a5a -aaab5faf -0000b501 -fffe95f7 -ffffffff -9998e495 -cccc17c8 -ffff4af6 -5554a051 -aaa9f5a6 -ffff4af8 -fffe95f9 -ffff4afc -9998e497 -cccc17ca -aaa9f5a8 -ffff4afa -fffe95f8 -00000000 -9998e496 -cccc17c9 -ffff4af7 -5554a052 -aaa9f5a7 -ffff4af9 -6665b161 -66671b69 -ffffffff -33333332 -66666660 -bbbbbbbb -11111110 -66666662 -6665b163 -66666666 -00000001 -33333334 -11111112 -66666664 -6665b162 -66671b6a -00000000 -33333333 -66666661 -bbbbbbbc -11111111 -66666663 -33327e2e -3333e836 -cccccccc -ffffffff -3333332d -88888888 -dddddddd -3333332f -33327e30 -7fc00000 -1f800000 -0e000000 -0007ffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sw-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sw-align-01.reference_output deleted file mode 100644 index 3fff83574..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sw-align-01.reference_output +++ /dev/null @@ -1,68 +0,0 @@ -04000000 -7fffffff -00000000 -dfffffff -efffffff -f7ffffff -fbffffff -fdffffff -feffffff -ff7fffff -ffbfffff -ffdfffff -ffefffff -fff7ffff -fffbffff -fffdffff -fffeffff -ffff7fff -ffffbfff -ffffdfff -ffffefff -fffff7ff -fffffbff -fffffdff -fffffeff -ffffff7f -ffffffbf -ffffffdf -ffffffef -fffffff7 -fffffffb -fffffffd -fffffffe -80000000 -40000000 -20000000 -00000001 -aaaaaaaa -55555555 -00000000 -10000000 -08000000 -02000000 -01000000 -00800000 -00400000 -00200000 -00100000 -00080000 -00040000 -00020000 -00010000 -00008000 -00004000 -00002000 -00001000 -00000800 -00000400 -00000200 -00000100 -00000080 -00000040 -00000020 -00000010 -00000008 -00000004 -00000002 -bfffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xor-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xor-01.reference_output deleted file mode 100644 index dcd028b8d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xor-01.reference_output +++ /dev/null @@ -1,583 +0,0 @@ -ffedffff -80100000 -00000000 -00000000 -00000000 -91999998 -04000040 -fdff7fff -feff4afc -00800010 -ffbbffff -ffffffff -ffffffff -fffbffff -00020007 -fffefffd -00048000 -ffffbffd -ffffdffa -04001000 -fffff7fd -fffffbfd -fffffdfc -ffff7eff -40000080 -08000040 -ffffffd8 -ffffffec -fffffff7 -00000001 -aaaaaaa8 -fffffffe -2aaaaaaa -dfdfffff -1000b503 -fdfffffd -01000005 -00800020 -00400002 -55755555 -3ff7ffff -ffedffff -ffffcafb -00004005 -ffffdbff -01001000 -fffff7f6 -fefffbff -fbfffdff -effffeff -fffdff7f -ffffffdf -ccccccc4 -dffffffb -ffff4afe -00400001 -80000009 -80000000 -08000007 -02000004 -33b33333 -fdbfffff -00080100 -33373333 -fffeffff -ffffcafc -00004020 -ffffdff6 -33332333 -33333b34 -00000a00 -00000100 -00020080 -aaaaaaeb -ffffffcf -fffffdef -01000008 -08000004 -00400001 -7fff4afd -afffffff -dfffffdf -10020000 -04000000 -fdfff7ff -0080b503 -00100003 -66676667 -ffffafff -55554555 -08000200 -00000086 -fffffbbf -ffffffeb -ffffffe7 -00000000 -fffffff8 -6666d362 -33338631 -0000b503 -aaaa1fae -5555e053 -0000b501 -00000006 -0000b505 -6666d360 -33338637 -5555e051 -0000b507 -00000001 -fffffff9 -6666d363 -33338636 -0000b500 -aaaa1faf -5555e050 -0000b506 -fffffff8 -00000000 -99992c9a -cccc79c9 -ffff4afb -5555e056 -aaaa1fab -ffff4af9 -fffffffe -ffff4afd -99992c98 -cccc79cf -aaaa1fa9 -ffff4aff -fffffff9 -00000001 -99992c9b -cccc79ce -ffff4af8 -5555e057 -aaaa1fa8 -ffff4afe -6666d362 -99992c9a -00000000 -55555553 -66666661 -cccccccc -33333331 -66666663 -6666d364 -66666667 -00000002 -55555555 -33333333 -66666665 -6666d363 -99992c9b -00000001 -55555554 -66666662 -cccccccd -33333332 -66666664 -33338631 -cccc79c9 -55555553 -00000000 -33333332 -9999999f -66666662 -33333330 -33338637 -33333334 -55555551 -00000006 -66666660 -33333336 -33338630 -cccc79c8 -55555552 -00000007 -33333331 -9999999e -66666661 -33333337 -0000b503 -ffff4afb -66666661 -33333332 -00000000 -aaaaaaad -55555550 -00000002 -0000b505 -00000006 -66666663 -33333334 -55555552 -00000004 -0000b502 -ffff4afa -66666660 -33333335 -00000003 -aaaaaaac -55555553 -00000005 -aaaa1fae -5555e056 -cccccccc -9999999f -aaaaaaad -00000000 -fffffffd -aaaaaaaf -aaaa1fa8 -aaaaaaab -ccccccce -99999999 -ffffffff -aaaaaaa9 -aaaa1faf -5555e057 -cccccccd -99999998 -aaaaaaae -00000001 -fffffffe -aaaaaaa8 -5555e053 -aaaa1fab -33333331 -66666662 -55555550 -fffffffd -00000000 -55555552 -5555e055 -55555556 -33333333 -66666664 -00000002 -55555554 -5555e052 -aaaa1faa -33333330 -66666665 -55555553 -fffffffc -00000003 -55555555 -0000b501 -ffff4af9 -66666663 -33333330 -00000002 -aaaaaaaf -55555552 -00000000 -0000b507 -00000004 -66666661 -33333336 -55555550 -00000006 -0000b500 -ffff4af8 -66666662 -33333337 -00000001 -aaaaaaae -55555551 -00000007 -00000006 -fffffffe -6666d364 -33338637 -0000b505 -aaaa1fa8 -5555e055 -0000b507 -00000000 -0000b503 -6666d366 -33338631 -5555e057 -0000b501 -00000007 -ffffffff -6666d365 -33338630 -0000b506 -aaaa1fa9 -5555e056 -0000b500 -0000b505 -ffff4afd -66666667 -33333334 -00000006 -aaaaaaab -55555556 -00000004 -0000b503 -00000000 -66666665 -33333332 -55555554 -00000002 -0000b504 -ffff4afc -66666666 -33333333 -00000005 -aaaaaaaa -55555555 -00000003 -6666d360 -99992c98 -00000002 -55555551 -66666663 -ccccccce -33333333 -66666661 -6666d366 -66666665 -33333333 -55555556 -00000001 -66666667 -33333331 -33338637 -cccc79cf -55555555 -00000000 -33333336 -99999999 -66666666 -33333330 -0000b500 -ffff4af8 -66666662 -33333331 -00000003 -aaaaaaae -55555553 -00000001 -0000b506 -00000005 -66666660 -33333337 -55555551 -00000007 -0000b501 -ffff4af9 -66666663 -33333336 -00000000 -aaaaaaaf -55555550 -00000006 -aaaa1faf -5555e057 -cccccccd -9999999e -aaaaaaac -00000001 -fffffffc -aaaaaaae -aaaa1fa9 -aaaaaaaa -cccccccf -99999998 -fffffffe -aaaaaaa8 -aaaa1fae -5555e056 -cccccccc -99999999 -aaaaaaaf -00000000 -ffffffff -aaaaaaa9 -5555e050 -aaaa1fa8 -33333332 -66666661 -55555553 -fffffffe -00000003 -55555551 -5555e056 -55555555 -33333330 -66666667 -00000001 -55555557 -5555e051 -aaaa1fa9 -33333333 -66666666 -55555550 -ffffffff -00000000 -55555556 -0000b506 -ffff4afe -66666664 -33333337 -00000005 -aaaaaaa8 -55555555 -00000007 -0000b500 -00000003 -66666666 -33333331 -55555557 -00000001 -0000b507 -ffff4aff -66666665 -33333330 -00000006 -aaaaaaa9 -55555556 -00000000 -00000000 -55555557 -33333331 -66666667 -6666d361 -99992c99 -00000003 -55555556 -66666660 -cccccccf -33333330 -66666666 -33338637 -cccc79cf -55555555 -00000006 -33333334 -99999999 -66666664 -33333336 -33338631 -33333332 -55555557 -00000000 -66666666 -33333330 -33338636 -cccc79ce -55555554 -00000001 -33333337 -99999998 -66666667 -33333331 -5555e051 -aaaa1fa9 -33333333 -66666660 -55555552 -ffffffff -00000002 -55555550 -5555e057 -55555554 -33333331 -66666666 -00000000 -55555556 -5555e050 -aaaa1fa8 -33333332 -66666667 -55555551 -fffffffe -00000001 -55555557 -0000b507 -ffff4aff -66666665 -33333336 -00000004 -aaaaaaa9 -55555554 -00000006 -0000b501 -00000002 -66666667 -33333330 -55555556 -00000000 -0000b506 -ffff4afe -66666664 -33333331 -00000007 -aaaaaaa8 -55555557 -00000001 -00000001 -fffffff9 -6666d363 -33338630 -0000b502 -aaaa1faf -5555e052 -0000b500 -00000007 -0000b504 -6666d361 -33338636 -5555e050 -0000b506 -00000000 -fffffff8 -6666d362 -33338637 -0000b501 -aaaa1fae -5555e051 -0000b507 -fffffff9 -00000001 -99992c9b -cccc79c8 -ffff4afa -5555e057 -aaaa1faa -ffff4af8 -ffffffff -ffff4afc -99992c99 -cccc79ce -aaaa1fa8 -ffff4afe -fffffff8 -00000000 -99992c9a -cccc79cf -ffff4af9 -5555e056 -aaaa1fa9 -ffff4aff -6666d363 -99992c9b -00000001 -55555552 -66666660 -cccccccd -33333330 -66666662 -6666d365 -66666666 -00000003 -55555554 -33333332 -66666664 -6666d362 -99992c9a -00000000 -55555555 -66666663 -cccccccc -33333333 -66666665 -33338636 -cccc79ce -55555554 -00000007 -33333335 -99999998 -66666665 -33333337 -33338630 -bffffbff -dfffdfff -effffffe -00050000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xori-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xori-01.reference_output deleted file mode 100644 index 72862a594..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xori-01.reference_output +++ /dev/null @@ -1,559 +0,0 @@ -000207ff -80000555 -40000100 -dffffffd -effffff9 -0800002b -fbffffef -fdfffccc -fefffffa -00800040 -ffbffff8 -00200009 -ffeff99a -00080003 -fffbffd1 -00000200 -00000000 -00004000 -00002003 -ffffefd1 -fffff2ab -fffffbf9 -00000205 -00000000 -00000480 -ffffffbe -ffffffdc -00000012 -fffffaa2 -fffffaaf -fffffaab -ffffffd2 -66666199 -00001200 -00020080 -00100020 -00000011 -00100008 -00002004 -fffbfffe -7ffffff8 -40000040 -20000001 -10000006 -080003ff -04000005 -fdffffd3 -01000003 -0080002e -ffbffffd -ffdfffd4 -00100554 -fff7fdff -fffdfffe -00010003 -000087ff -ffffbfbf -00002007 -00001004 -00000c00 -00000267 -00000204 -fffffef8 -ffffff7e -00000040 -00000020 -00000015 -0000000b -ffffffd7 -00000556 -ffffffee -3ffffeff -aaaaaa2a -00000021 -fffffff1 -0000b52b -ffff4ad1 -0000b362 -0000b631 -0000b503 -ffff4fae -0000b053 -0000b501 -0000b529 -0000b505 -0000b360 -0000b637 -0000b051 -0000b507 -0000b528 -ffff4ad6 -0000b363 -0000b636 -0000b500 -ffff4faf -0000b050 -0000b506 -ffff4ad3 -0000b529 -ffff4c9a -ffff49c9 -ffff4afb -0000b056 -ffff4fab -ffff4af9 -ffff4ad1 -ffff4afd -ffff4c98 -ffff49cf -ffff4fa9 -ffff4aff -ffff4ad0 -0000b52e -ffff4c9b -ffff49ce -ffff4af8 -0000b057 -ffff4fa8 -ffff4afe -66666649 -999999b3 -66666000 -66666553 -66666661 -99999ccc -66666331 -66666663 -6666664b -66666667 -66666002 -66666555 -66666333 -66666665 -6666664a -999999b4 -66666001 -66666554 -66666662 -99999ccd -66666332 -66666664 -3333331a -cccccce0 -33333553 -33333000 -33333332 -ccccc99f -33333662 -33333330 -33333318 -33333334 -33333551 -33333006 -33333660 -33333336 -33333319 -cccccce7 -33333552 -33333007 -33333331 -ccccc99e -33333661 -33333337 -00000028 -ffffffd2 -00000661 -00000332 -00000000 -fffffaad -00000550 -00000002 -0000002a -00000006 -00000663 -00000334 -00000552 -00000004 -0000002b -ffffffd5 -00000660 -00000335 -00000003 -fffffaac -00000553 -00000005 -aaaaaa85 -5555557f -aaaaaccc -aaaaa99f -aaaaaaad -55555000 -aaaaaffd -aaaaaaaf -aaaaaa87 -aaaaaaab -aaaaacce -aaaaa999 -aaaaafff -aaaaaaa9 -aaaaaa86 -55555578 -aaaaaccd -aaaaa998 -aaaaaaae -55555001 -aaaaaffe -aaaaaaa8 -55555578 -aaaaaa82 -55555331 -55555662 -55555550 -aaaaaffd -55555000 -55555552 -5555557a -55555556 -55555333 -55555664 -55555002 -55555554 -5555557b -aaaaaa85 -55555330 -55555665 -55555553 -aaaaaffc -55555003 -55555555 -0000002a -ffffffd0 -00000663 -00000330 -00000002 -fffffaaf -00000552 -00000000 -00000028 -00000004 -00000661 -00000336 -00000550 -00000006 -00000029 -00000662 -00000337 -00000001 -fffffaae -00000551 -00000007 -0000b52d -ffff4ad7 -0000b364 -0000b637 -0000b505 -ffff4fa8 -0000b055 -0000b507 -0000b52f -0000b503 -0000b366 -0000b631 -0000b057 -0000b501 -0000b52e -ffff4ad0 -0000b365 -0000b630 -0000b506 -ffff4fa9 -0000b056 -0000b500 -0000002e -ffffffd4 -00000667 -00000334 -00000006 -fffffaab -00000556 -00000004 -0000002c -00000000 -00000665 -00000332 -00000554 -00000002 -0000002d -ffffffd3 -00000666 -00000333 -00000005 -fffffaaa -00000555 -00000003 -6666664b -999999b1 -66666002 -66666551 -66666663 -99999cce -66666333 -66666661 -66666649 -55555001 -aaaaaffc -aaaaaaae -aaaaaa86 -aaaaaaaa -aaaaaccf -aaaaa998 -aaaaaffe -aaaaaaa8 -aaaaaa87 -55555579 -aaaaaccc -aaaaa999 -aaaaaaaf -55555000 -aaaaafff -aaaaaaa9 -5555557b -aaaaaa81 -55555332 -55555661 -55555553 -aaaaaffe -55555003 -55555551 -55555579 -55555555 -55555330 -55555667 -55555001 -55555557 -55555578 -aaaaaa86 -55555333 -55555666 -55555550 -aaaaafff -55555000 -55555556 -0000002d -ffffffd7 -00000664 -00000337 -00000005 -fffffaa8 -00000555 -00000007 -0000002f -00000003 -00000666 -00000331 -00000557 -00000001 -0000002e -ffffffd0 -00000665 -00000330 -00000006 -fffffaa9 -00000556 -00000000 -66666665 -66666000 -66666557 -66666331 -66666667 -66666648 -999999b6 -66666003 -66666556 -66666660 -99999ccf -66666330 -66666666 -3333331c -cccccce6 -33333555 -33333006 -33333334 -ccccc999 -33333664 -33333336 -3333331e -33333332 -33333557 -33333000 -33333666 -33333330 -3333331f -cccccce1 -33333554 -33333001 -33333337 -ccccc998 -33333667 -33333331 -5555557a -aaaaaa80 -55555333 -55555660 -55555552 -aaaaafff -55555002 -55555550 -55555578 -55555554 -55555331 -55555666 -55555000 -55555556 -55555579 -aaaaaa87 -55555332 -55555667 -55555551 -aaaaaffe -55555001 -55555557 -0000002c -ffffffd6 -00000665 -00000336 -00000004 -fffffaa9 -00000554 -00000006 -0000002e -00000002 -00000667 -00000330 -00000000 -0000002f -ffffffd1 -00000664 -00000331 -00000007 -fffffaa8 -00000557 -00000001 -0000b52a -ffff4ad0 -0000b363 -0000b630 -0000b502 -ffff4faf -0000b052 -0000b500 -0000b528 -0000b504 -0000b361 -0000b636 -0000b050 -0000b506 -0000b529 -ffff4ad7 -0000b362 -0000b637 -0000b501 -ffff4fae -0000b051 -0000b507 -ffff4ad2 -0000b528 -ffff4c9b -ffff49c8 -ffff4afa -0000b057 -ffff4faa -ffff4af8 -ffff4ad0 -ffff4afc -ffff4c99 -ffff49ce -ffff4fa8 -ffff4afe -ffff4ad1 -0000b52f -ffff4c9a -ffff49cf -ffff4af9 -0000b056 -ffff4fa9 -ffff4aff -66666648 -999999b2 -66666001 -66666552 -66666660 -99999ccd -66666330 -66666662 -6666664a -66666666 -66666003 -66666554 -66666332 -66666664 -6666664b -999999b5 -66666000 -66666555 -66666663 -99999ccc -66666333 -66666665 -3333331d -cccccce7 -33333554 -33333007 -33333335 -ccccc998 -33333665 -33333337 -3333331f -33333333 -33333556 -33333001 -33333667 -33333331 -3333331e -cccccce0 -33333555 -33333000 -33333336 -ccccc999 -33333666 -33333330 -0000002b -ffffffd1 -00000662 -00000331 -00000003 -fffffaae -00000553 -00000001 -00000029 -00000005 -00000660 -00000337 -00000551 -00000007 -00000028 -ffffffd6 -00000663 -00000336 -00000000 -fffffaaf -00000550 -00000006 -aaaaaa84 -5555557e -aaaaaccd -aaaaa99e -aaaaaaac -fffefdff -ffff7fff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/add-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/add-01.S deleted file mode 100644 index 9336eccf9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/add-01.S +++ /dev/null @@ -1,3000 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the add instruction of the RISC-V E extension for the add covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",add) - -RVTEST_SIGBASE( x10,signature_x10_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x7, rs2==x9, rd==x5, rs1_val != rs2_val, rs1_val < 0 and rs2_val < 0, rs2_val == -16385, rs1_val == -2049 -// opcode: add ; op1:x7; op2:x9; dest:x5; op1val:-0x801; op2val:-0x4001 -TEST_RR_OP(add, x5, x7, x9, 0xffffb7fe, -0x801, -0x4001, x10, 0, x11) - -inst_1: -// rs1 == rd != rs2, rs1==x12, rs2==x3, rd==x12, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -262145, rs2_val == (2**(xlen-1)-1) -// opcode: add ; op1:x12; op2:x3; dest:x12; op1val:-0x40001; op2val:0x7fffffff -TEST_RR_OP(add, x12, x12, x3, 0x7ffbfffe, -0x40001, 0x7fffffff, x10, 4, x11) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x1, rd==x1, rs2_val == -1073741825, rs1_val == -4194305 -// opcode: add ; op1:x6; op2:x1; dest:x1; op1val:-0x400001; op2val:-0x40000001 -TEST_RR_OP(add, x1, x6, x1, 0xbfbffffe, -0x400001, -0x40000001, x10, 8, x11) - -inst_3: -// rs1 == rs2 != rd, rs1==x2, rs2==x2, rd==x7, rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x2; op2:x2; dest:x7; op1val:0x20; op2val:0x20 -TEST_RR_OP(add, x7, x2, x2, 0x40, 0x20, 0x20, x10, 12, x11) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x0, x0, x0, 0, 0x0, 0x0, x10, 16, x11) - -inst_5: -// rs1==x8, rs2==x4, rd==x14, rs2_val == -134217729, rs1_val == -16777217 -// opcode: add ; op1:x8; op2:x4; dest:x14; op1val:-0x1000001; op2val:-0x8000001 -TEST_RR_OP(add, x14, x8, x4, 0xf6fffffe, -0x1000001, -0x8000001, x10, 20, x11) - -inst_6: -// rs1==x4, rs2==x5, rd==x15, rs2_val == -67108865, -// opcode: add ; op1:x4; op2:x5; dest:x15; op1val:0x7; op2val:-0x4000001 -TEST_RR_OP(add, x15, x4, x5, 0xfc000006, 0x7, -0x4000001, x10, 24, x1) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x14, rs2==x11, rd==x6, rs2_val == -33554433, -// opcode: add ; op1:x14; op2:x11; dest:x6; op1val:0x33333333; op2val:-0x2000001 -TEST_RR_OP(add, x6, x14, x11, 0x31333332, 0x33333333, -0x2000001, x4, 0, x1) - -inst_8: -// rs1==x3, rs2==x10, rd==x2, rs2_val == -16777217, rs1_val == 2048 -// opcode: add ; op1:x3; op2:x10; dest:x2; op1val:0x800; op2val:-0x1000001 -TEST_RR_OP(add, x2, x3, x10, 0xff0007ff, 0x800, -0x1000001, x4, 4, x1) - -inst_9: -// rs1==x13, rs2==x6, rd==x9, rs2_val == -8388609, rs1_val == -17 -// opcode: add ; op1:x13; op2:x6; dest:x9; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(add, x9, x13, x6, 0xff7fffee, -0x11, -0x800001, x4, 8, x1) - -inst_10: -// rs1==x15, rs2==x14, rd==x13, rs2_val == -4194305, rs1_val == -5 -// opcode: add ; op1:x15; op2:x14; dest:x13; op1val:-0x5; op2val:-0x400001 -TEST_RR_OP(add, x13, x15, x14, 0xffbffffa, -0x5, -0x400001, x4, 12, x1) - -inst_11: -// rs1==x11, rs2==x12, rd==x3, rs2_val == -2097153, rs1_val == -33554433 -// opcode: add ; op1:x11; op2:x12; dest:x3; op1val:-0x2000001; op2val:-0x200001 -TEST_RR_OP(add, x3, x11, x12, 0xfddffffe, -0x2000001, -0x200001, x4, 16, x1) - -inst_12: -// rs1==x5, rs2==x15, rd==x11, rs2_val == -1048577, rs1_val == -131073 -// opcode: add ; op1:x5; op2:x15; dest:x11; op1val:-0x20001; op2val:-0x100001 -TEST_RR_OP(add, x11, x5, x15, 0xffedfffe, -0x20001, -0x100001, x4, 20, x2) - -inst_13: -// rs1==x1, rs2==x13, rd==x10, rs2_val == -524289, rs1_val == -2097153 -// opcode: add ; op1:x1; op2:x13; dest:x10; op1val:-0x200001; op2val:-0x80001 -TEST_RR_OP(add, x10, x1, x13, 0xffd7fffe, -0x200001, -0x80001, x4, 24, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_14: -// rs1==x10, rs2==x8, rd==x4, rs2_val == -262145, rs1_val == 128 -// opcode: add ; op1:x10; op2:x8; dest:x4; op1val:0x80; op2val:-0x40001 -TEST_RR_OP(add, x4, x10, x8, 0xfffc007f, 0x80, -0x40001, x1, 0, x2) - -inst_15: -// rs1==x9, rs2==x7, rd==x8, rs2_val == -131073, -// opcode: add ; op1:x9; op2:x7; dest:x8; op1val:-0x2000001; op2val:-0x20001 -TEST_RR_OP(add, x8, x9, x7, 0xfdfdfffe, -0x2000001, -0x20001, x1, 4, x2) - -inst_16: -// rs2_val == -65537, rs1_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9aaa9, -0x55555556, -0x10001, x1, 8, x2) - -inst_17: -// rs2_val == -32769, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0x3332b331, 0x33333332, -0x8001, x1, 12, x2) - -inst_18: -// rs2_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffe002, 0x3, -0x2001, x1, 16, x2) - -inst_19: -// rs2_val == -4097, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffff008, 0x9, -0x1001, x1, 20, x2) - -inst_20: -// rs2_val == -2049, rs1_val == -524289 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x801 -TEST_RR_OP(add, x12, x10, x11, 0xfff7f7fe, -0x80001, -0x801, x1, 24, x2) - -inst_21: -// rs2_val == -1025, rs1_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x401 -TEST_RR_OP(add, x12, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 28, x2) - -inst_22: -// rs2_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0xfffff5fe, -0x801, -0x201, x1, 32, x2) - -inst_23: -// rs2_val == -257, rs1_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x101 -TEST_RR_OP(add, x12, x10, x11, 0x55555454, 0x55555555, -0x101, x1, 36, x2) - -inst_24: -// rs2_val == -129, rs1_val == -3 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xffffff7c, -0x3, -0x81, x1, 40, x2) - -inst_25: -// rs2_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x41 -TEST_RR_OP(add, x12, x10, x11, 0xffdfffbe, -0x200001, -0x41, x1, 44, x2) - -inst_26: -// rs2_val == -33, rs1_val == -129 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x21 -TEST_RR_OP(add, x12, x10, x11, 0xffffff5e, -0x81, -0x21, x1, 48, x2) - -inst_27: -// rs2_val == -17, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, 0x9, -0x11, x1, 52, x2) - -inst_28: -// rs2_val == -9, rs1_val == -16385 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0xffffbff6, -0x4001, -0x9, x1, 56, x2) - -inst_29: -// rs2_val == -5, rs1_val == 134217728 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7fffffb, 0x8000000, -0x5, x1, 60, x2) - -inst_30: -// rs2_val == -3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffdffffc, -0x200001, -0x3, x1, 64, x2) - -inst_31: -// rs2_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffbffd, -0x4001, -0x2, x1, 68, x2) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 131072 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000 -TEST_RR_OP(add, x12, x10, x11, 0x8001ffff, 0x7fffffff, 0x20000, x1, 72, x2) - -inst_33: -// rs1_val == -1073741825, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x26666664, -0x40000001, 0x66666665, x1, 76, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0xdfff7ffe, -0x20000001, -0x8001, x1, 80, x2) - -inst_35: -// rs1_val == -268435457, rs2_val == 32 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x20 -TEST_RR_OP(add, x12, x10, x11, 0xf000001f, -0x10000001, 0x20, x1, 84, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xf7ffff7e, -0x8000001, -0x81, x1, 88, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 1048576 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x100000 -TEST_RR_OP(add, x12, x10, x11, 0xfc0fffff, -0x4000001, 0x100000, x1, 92, x2) - -inst_38: -// rs1_val == -8388609, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x4 -TEST_RR_OP(add, x12, x10, x11, 0xff7ffffb, -0x800001, -0x4, x1, 96, x2) - -inst_39: -// rs1_val == -1048577, rs2_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 100, x2) - -inst_40: -// rs1_val == -65537, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x1, 104, x2) - -inst_41: -// rs1_val == -32769, rs2_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x80000 -TEST_RR_OP(add, x12, x10, x11, 0x77fff, -0x8001, 0x80000, x1, 108, x2) - -inst_42: -// rs1_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffffdfff, -0x2001, 0x0, x1, 112, x2) - -inst_43: -// rs1_val == -4097, rs2_val == 262144 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x3efff, -0x1001, 0x40000, x1, 116, x2) - -inst_44: -// rs1_val == -1025, rs2_val == 256 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x100 -TEST_RR_OP(add, x12, x10, x11, 0xfffffcff, -0x401, 0x100, x1, 120, x2) - -inst_45: -// rs1_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffddfe, -0x201, -0x2001, x1, 124, x2) - -inst_46: -// rs1_val == -257, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xffffeefe, -0x101, -0x1001, x1, 128, x2) - -inst_47: -// rs1_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xffffffae, -0x41, -0x11, x1, 132, x2) - -inst_48: -// rs1_val == -33, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x7 -TEST_RR_OP(add, x12, x10, x11, 0xffffffe6, -0x21, 0x7, x1, 136, x2) - -inst_49: -// rs1_val == -9, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x2000001 -TEST_RR_OP(add, x12, x10, x11, 0xfdfffff6, -0x9, -0x2000001, x1, 140, x2) - -inst_50: -// rs1_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x6 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, -0x2, -0x6, x1, 144, x2) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x80000000 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, 0x6, -0x80000000, x1, 148, x2) - -inst_52: -// rs2_val == 1073741824, rs1_val == 536870912 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0x60000000, 0x20000000, 0x40000000, x1, 152, x2) - -inst_53: -// rs2_val == 536870912, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x20000000 -TEST_RR_OP(add, x12, x10, x11, 0xe0000000, -0x40000000, 0x20000000, x1, 156, x2) - -inst_54: -// rs2_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000000 -TEST_RR_OP(add, x12, x10, x11, 0xbaaaaaaa, -0x55555556, 0x10000000, x1, 160, x2) - -inst_55: -// rs2_val == 134217728, rs1_val == 1 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8000000 -TEST_RR_OP(add, x12, x10, x11, 0x8000001, 0x1, 0x8000000, x1, 164, x2) - -inst_56: -// rs2_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4000000 -TEST_RR_OP(add, x12, x10, x11, 0x59555554, 0x55555554, 0x4000000, x1, 168, x2) - -inst_57: -// rs2_val == 33554432, rs1_val == 64 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2000000 -TEST_RR_OP(add, x12, x10, x11, 0x2000040, 0x40, 0x2000000, x1, 172, x2) - -inst_58: -// rs2_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1000003, 0x3, 0x1000000, x1, 176, x2) - -inst_59: -// rs2_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:0x800000 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffa, -0x6, 0x800000, x1, 180, x2) - -inst_60: -// rs2_val == 4194304, rs1_val == 16 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(add, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x200000 -TEST_RR_OP(add, x12, x10, x11, 0xfffff, -0x100001, 0x200000, x1, 188, x2) - -inst_62: -// rs2_val == 65536, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(add, x12, x10, x11, 0x10000, 0x0, 0x10000, x1, 192, x2) - -inst_63: -// rs2_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x8000 -TEST_RR_OP(add, x12, x10, x11, 0xffff7fff, -0x10001, 0x8000, x1, 196, x2) - -inst_64: -// rs2_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4000 -TEST_RR_OP(add, x12, x10, x11, 0xffff8afd, -0xb503, 0x4000, x1, 200, x2) - -inst_65: -// rs2_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2000 -TEST_RR_OP(add, x12, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 204, x2) - -inst_66: -// rs2_val == 4096, rs1_val == 65536 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000 -TEST_RR_OP(add, x12, x10, x11, 0x11000, 0x10000, 0x1000, x1, 208, x2) - -inst_67: -// rs2_val == 2048, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 -TEST_RR_OP(add, x12, x10, x11, 0x800, 0x0, 0x800, x1, 212, x2) - -inst_68: -// rs2_val == 1024, rs1_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x400 -TEST_RR_OP(add, x12, x10, x11, 0x80400, 0x80000, 0x400, x1, 216, x2) - -inst_69: -// rs2_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x200 -TEST_RR_OP(add, x12, x10, x11, 0x1fd, -0x3, 0x200, x1, 220, x2) - -inst_70: -// rs2_val == 128, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x80 -TEST_RR_OP(add, x12, x10, x11, 0xfffffe7f, -0x201, 0x80, x1, 224, x2) - -inst_71: -// rs2_val == 64, rs1_val == 2 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40 -TEST_RR_OP(add, x12, x10, x11, 0x42, 0x2, 0x40, x1, 228, x2) - -inst_72: -// rs2_val == 16, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x10 -TEST_RR_OP(add, x12, x10, x11, 0xffe0000f, -0x200001, 0x10, x1, 232, x2) - -inst_73: -// rs2_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x8 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff7, -0x11, 0x8, x1, 236, x2) - -inst_74: -// rs2_val == 4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffb, -0x9, 0x4, x1, 240, x2) - -inst_75: -// rs2_val == 2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffffc1, -0x41, 0x2, x1, 244, x2) - -inst_76: -// rs2_val == 1, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x40000000, 0x3fffffff, 0x1, x1, 248, x2) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, -0x80000000, 0x6, x1, 252, x2) - -inst_78: -// rs1_val == 1073741824, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x40000005, 0x40000000, 0x5, x1, 256, x2) - -inst_79: -// rs1_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfff4afc, 0x10000000, -0xb504, x1, 260, x2) - -inst_80: -// rs1_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x4000006, 0x4000000, 0x6, x1, 264, x2) - -inst_81: -// rs1_val == 33554432, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0x1fffff7, 0x2000000, -0x9, x1, 268, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x7 -TEST_RR_OP(add, x12, x10, x11, 0xfffff9, 0x1000000, -0x7, x1, 272, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffe, 0x800000, -0x2, x1, 276, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0x3ffdff, 0x400000, -0x201, x1, 280, x2) - -inst_85: -// rs1_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x8 -TEST_RR_OP(add, x12, x10, x11, 0x1ffff8, 0x200000, -0x8, x1, 284, x2) - -inst_86: -// rs1_val == 1048576, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc00fffff, 0x100000, -0x40000001, x1, 288, x2) - -inst_87: -// rs1_val == 262144, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0x3ff7f, 0x40000, -0x81, x1, 292, x2) - -inst_88: -// rs1_val == 131072, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc001ffff, 0x20000, -0x40000001, x1, 296, x2) - -inst_89: -// rs1_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1008000, 0x8000, 0x1000000, x1, 300, x2) - -inst_90: -// rs1_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0xc0004000, 0x4000, -0x40000000, x1, 304, x2) - -inst_91: -// rs1_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x42000, 0x2000, 0x40000, x1, 308, x2) - -inst_92: -// rs1_val == 4096, rs2_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaabaaa, 0x1000, -0x55555556, x1, 312, x2) - -inst_93: -// rs1_val == 1024, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x8000001 -TEST_RR_OP(add, x12, x10, x11, 0xf80003ff, 0x400, -0x8000001, x1, 316, x2) - -inst_94: -// rs1_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x201, 0x200, 0x1, x1, 320, x2) - -inst_95: -// rs1_val == 256, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xffff00ff, 0x100, -0x10001, x1, 324, x2) - -inst_96: -// rs1_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80001 -TEST_RR_OP(add, x12, x10, x11, 0xfff80007, 0x8, -0x80001, x1, 328, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a0a, 0xb505, 0xb505, x1, 332, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0xb505, -0xb503, x1, 336, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x1, 340, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0xb505, 0x33333334, x1, 344, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0xb505, 0x6, x1, 348, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x1, 352, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x1, 356, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb505, 0x4, x1, 360, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb505, 0xb503, x1, 364, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 368, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x1, 372, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb505, 0x33333332, x1, 376, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb505, 0x55555554, x1, 380, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 384, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb505, 0xb504, x1, 388, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb505, -0xb504, x1, 392, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x1, 396, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb505, 0x33333333, x1, 400, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb505, 0x5, x1, 404, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x1, 408, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x1, 412, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb505, 0x3, x1, 416, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x2, -0xb503, 0xb505, x1, 420, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x1, 424, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, -0xb503, 0x66666667, x1, 428, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, -0xb503, 0x33333334, x1, 432, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, -0xb503, 0x6, x1, 436, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x1, 440, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, -0xb503, 0x55555556, x1, 444, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb503, 0x4, x1, 448, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb503, 0xb503, x1, 452, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 456, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb503, 0x66666665, x1, 460, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x1, 464, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb503, 0x55555554, x1, 468, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 472, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb503, 0xb504, x1, 476, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x1, 480, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb503, 0x66666666, x1, 484, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb503, 0x33333333, x1, 488, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb503, 0x5, x1, 492, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x1, 496, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb503, 0x55555555, x1, 500, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb503, 0x3, x1, 504, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x1, 508, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, 0x66666667, -0xb503, x1, 512, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x1, 516, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x1, 520, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x66666667, 0x6, x1, 524, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x1, 528, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x1, 532, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666667, 0x4, x1, 536, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x1, 540, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 544, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x1, 548, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666667, 0x33333332, x1, 552, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x1, 556, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666667, 0x2, x1, 560, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x1, 564, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666667, -0xb504, x1, 568, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x1, 572, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x1, 576, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666667, 0x5, x1, 580, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x1, 584, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x1, 588, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666667, 0x3, x1, 592, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0x33333334, 0xb505, x1, 596, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, 0x33333334, -0xb503, x1, 600, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x1, 604, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x33333334, 0x33333334, x1, 608, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x33333334, 0x6, x1, 612, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x1, 616, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x1, 620, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333334, 0x4, x1, 624, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333334, 0xb503, x1, 628, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 632, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333334, 0x66666665, x1, 636, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333334, 0x33333332, x1, 640, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333334, 0x55555554, x1, 644, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 648, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333334, 0xb504, x1, 652, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333334, -0xb504, x1, 656, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x1, 660, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333334, 0x33333333, x1, 664, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333334, 0x5, x1, 668, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x1, 672, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333334, 0x55555555, x1, 676, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 680, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0x6, 0xb505, x1, 684, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, 0x6, -0xb503, x1, 688, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x6, 0x66666667, x1, 692, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x6, 0x33333334, x1, 696, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xc, 0x6, 0x6, x1, 700, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x1, 704, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x6, 0x55555556, x1, 708, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x6, 0x4, x1, 712, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x6, 0xb503, x1, 716, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x6, 0x0, x1, 720, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x6, 0x66666665, x1, 724, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x6, 0x33333332, x1, 728, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x6, 0x55555554, x1, 732, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x6, 0x2, x1, 736, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x6, 0xb504, x1, 740, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x6, -0xb504, x1, 744, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x6, 0x66666666, x1, 748, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x6, 0x33333333, x1, 752, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x6, 0x5, x1, 756, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x1, 760, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x6, 0x55555555, x1, 764, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x6, 0x3, x1, 768, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x1, 772, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x1, 776, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, -0x55555555, 0x66666667, x1, 780, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x1, 784, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x1, 788, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, -0x55555555, -0x55555555, x1, 792, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x1, 796, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 800, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x1, 804, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 808, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555555, 0x66666665, x1, 812, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x1, 816, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 820, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x1, 824, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x1, 828, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x1, 832, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555555, 0x66666666, x1, 836, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x1, 840, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x1, 844, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555555, -0x55555556, x1, 848, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x1, 852, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x1, 856, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x1, 860, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, 0x55555556, -0xb503, x1, 864, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x1, 868, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x1, 872, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x55555556, 0x6, x1, 876, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x1, 880, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x1, 884, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555556, 0x4, x1, 888, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555556, 0xb503, x1, 892, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 896, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x1, 900, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555556, 0x33333332, x1, 904, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x1, 908, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555556, 0x2, x1, 912, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x1, 916, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555556, -0xb504, x1, 920, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x1, 924, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555556, 0x33333333, x1, 928, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555556, 0x5, x1, 932, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x1, 936, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x1, 940, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555556, 0x3, x1, 944, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x4, 0xb505, x1, 948, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x4, -0xb503, x1, 952, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x4, 0x66666667, x1, 956, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x4, 0x33333334, x1, 960, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x4, 0x6, x1, 964, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 968, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x4, 0x55555556, x1, 972, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x4, 0x4, x1, 976, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 980, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x4, 0x0, x1, 984, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x4, 0x66666665, x1, 988, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 992, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x4, 0x55555554, x1, 996, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x4, 0x2, x1, 1000, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x4, 0xb504, x1, 1004, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x4, -0xb504, x1, 1008, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x4, 0x66666666, x1, 1012, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1016, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x4, 0x5, x1, 1020, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1024, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x4, 0x55555555, x1, 1028, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1032, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb503, 0xb505, x1, 1036, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb503, -0xb503, x1, 1040, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x1, 1044, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb503, 0x33333334, x1, 1048, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb503, 0x6, x1, 1052, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x1, 1056, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb503, 0x55555556, x1, 1060, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1064, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a06, 0xb503, 0xb503, x1, 1068, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1072, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0xb503, 0x66666665, x1, 1076, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0xb503, 0x33333332, x1, 1080, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0xb503, 0x55555554, x1, 1084, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb503, 0x2, x1, 1088, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb503, 0xb504, x1, 1092, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1096, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb503, 0x66666666, x1, 1100, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb503, 0x33333333, x1, 1104, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb503, 0x5, x1, 1108, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x1, 1112, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb503, 0x55555555, x1, 1116, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb503, 0x3, x1, 1120, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1124, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1128, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1132, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1136, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1140, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1144, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1148, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1152, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1156, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1160, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1164, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1168, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1172, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1176, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1180, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1184, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1188, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1192, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1196, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1200, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1204, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1208, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x1, 1212, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666665, -0xb503, x1, 1216, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x1, 1220, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666665, 0x33333334, x1, 1224, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666665, 0x6, x1, 1228, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x1, 1232, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x1, 1236, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666665, 0x4, x1, 1240, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0x66666665, 0xb503, x1, 1244, x2) - -inst_326: -// rs1_val==858993459 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333333, 0x2, x1, 1264, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333333, 0xb504, x1, 1268, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x1, 1272, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333333, 0x5, x1, 1284, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333333, 0x3, x1, 1296, x2) - -inst_339: -// rs1_val==5 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x5, 0xb505, x1, 1300, x2) - -inst_340: -// rs1_val==5 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x5, -0xb503, x1, 1304, x2) - -inst_341: -// rs1_val==5 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x5, 0x66666667, x1, 1308, x2) - -inst_342: -// rs1_val==5 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x5, 0x33333334, x1, 1312, x2) - -inst_343: -// rs1_val==5 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x5, 0x6, x1, 1316, x2) - -inst_344: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x1, 1320, x2) - -inst_345: -// rs1_val==5 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x5, 0x55555556, x1, 1324, x2) - -inst_346: -// rs1_val==5 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x5, 0x4, x1, 1328, x2) - -inst_347: -// rs1_val==5 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x5, 0xb503, x1, 1332, x2) - -inst_348: -// rs1_val==5 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_349: -// rs1_val==5 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x5, 0x66666665, x1, 1340, x2) - -inst_350: -// rs1_val==5 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1344, x2) - -inst_351: -// rs1_val==5 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x5, 0x55555554, x1, 1348, x2) - -inst_352: -// rs1_val==5 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1352, x2) - -inst_353: -// rs1_val==5 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x5, 0xb504, x1, 1356, x2) - -inst_354: -// rs1_val==5 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x5, -0xb504, x1, 1360, x2) - -inst_355: -// rs1_val==5 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x5, 0x66666666, x1, 1364, x2) - -inst_356: -// rs1_val==5 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x5, 0x33333333, x1, 1368, x2) - -inst_357: -// rs1_val==5 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x5, 0x5, x1, 1372, x2) - -inst_358: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1376, x2) - -inst_359: -// rs1_val==5 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x5, 0x55555555, x1, 1380, x2) - -inst_360: -// rs1_val==5 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x5, 0x3, x1, 1384, x2) - -inst_361: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x1, 1388, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x1, 1392, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x1, 1404, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1416, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x1, 1420, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x1, 1440, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x1, 1444, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x1, 1448, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1460, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x1, 1472, x2) - -inst_383: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x1, 1476, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555555, -0xb503, x1, 1480, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555555, 0x6, x1, 1492, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555555, 0x4, x1, 1504, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555555, 0xb503, x1, 1508, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1528, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555555, 0xb504, x1, 1532, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555555, -0xb504, x1, 1536, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555555, 0x5, x1, 1548, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555555, 0x3, x1, 1560, x2) - -inst_405: -// rs1_val==3 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x3, 0xb505, x1, 1564, x2) - -inst_406: -// rs1_val==3 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x3, -0xb503, x1, 1568, x2) - -inst_407: -// rs1_val==3 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x3, 0x66666667, x1, 1572, x2) - -inst_408: -// rs1_val==3 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1576, x2) - -inst_409: -// rs1_val==3 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x3, 0x6, x1, 1580, x2) - -inst_410: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x1, 1584, x2) - -inst_411: -// rs1_val==3 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x3, 0x55555556, x1, 1588, x2) - -inst_412: -// rs1_val==3 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1592, x2) - -inst_413: -// rs1_val==3 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x3, 0xb503, x1, 1596, x2) - -inst_414: -// rs1_val==3 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_415: -// rs1_val==3 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x3, 0x66666665, x1, 1604, x2) - -inst_416: -// rs1_val==3 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x3, 0x33333332, x1, 1608, x2) - -inst_417: -// rs1_val==3 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1612, x2) - -inst_418: -// rs1_val==3 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x3, 0x2, x1, 1616, x2) - -inst_419: -// rs1_val==3 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1620, x2) - -inst_420: -// rs1_val==3 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1624, x2) - -inst_421: -// rs1_val==3 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x3, 0x66666666, x1, 1628, x2) - -inst_422: -// rs1_val==3 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x3, 0x33333333, x1, 1632, x2) - -inst_423: -// rs1_val==3 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x3, 0x5, x1, 1636, x2) - -inst_424: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x1, 1640, x2) - -inst_425: -// rs1_val==3 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x3, 0x55555555, x1, 1644, x2) - -inst_426: -// rs1_val==3 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x3, 0x3, x1, 1648, x2) - -inst_427: -// rs1_val==1717986917 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1652, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x1, 1656, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x66666665, 0x33333332, x1, 1660, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x1, 1664, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1668, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666665, 0xb504, x1, 1672, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, 0x66666665, -0xb504, x1, 1676, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x1, 1680, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666665, 0x33333333, x1, 1684, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666665, 0x5, x1, 1688, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x1, 1692, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x1, 1696, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666665, 0x3, x1, 1700, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333332, 0xb505, x1, 1704, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x1, 1708, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333332, 0x66666667, x1, 1712, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333332, 0x33333334, x1, 1716, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333332, 0x6, x1, 1720, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x1, 1724, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333332, 0x55555556, x1, 1728, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1732, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0x33333332, 0xb503, x1, 1736, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1740, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x33333332, 0x66666665, x1, 1744, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666664, 0x33333332, 0x33333332, x1, 1748, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x33333332, 0x55555554, x1, 1752, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333332, 0x2, x1, 1756, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333332, 0xb504, x1, 1760, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x1, 1764, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333332, 0x66666666, x1, 1768, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333332, 0x33333333, x1, 1772, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1776, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x1, 1780, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333332, 0x55555555, x1, 1784, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333332, 0x3, x1, 1788, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555554, 0xb505, x1, 1792, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555554, -0xb503, x1, 1796, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x1, 1800, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555554, 0x33333334, x1, 1804, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555554, 0x6, x1, 1808, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1812, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x1, 1816, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555554, 0x4, x1, 1820, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0x55555554, 0xb503, x1, 1824, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1828, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x1, 1832, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x55555554, 0x33333332, x1, 1836, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x1, 1840, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1844, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555554, 0xb504, x1, 1848, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, 0x55555554, -0xb504, x1, 1852, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x1, 1856, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555554, 0x33333333, x1, 1860, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555554, 0x5, x1, 1864, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1868, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x1, 1872, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1876, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1880, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1884, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x2, 0x66666667, x1, 1888, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1892, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x2, 0x6, x1, 1896, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x1, 1900, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x2, 0x55555556, x1, 1904, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1908, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x2, 0xb503, x1, 1912, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1916, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1920, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x2, 0x33333332, x1, 1924, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1928, x2) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x2, 0x2, x1, 1932, x2) - -inst_498: -// rs1_val==2 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1936, x2) - -inst_499: -// rs1_val==2 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1940, x2) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x2, 0x66666666, x1, 1944, x2) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x2, 0x33333333, x1, 1948, x2) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1952, x2) - -inst_503: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x1, 1956, x2) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1960, x2) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x2, 0x3, x1, 1964, x2) - -inst_506: -// rs1_val==46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb504, 0xb505, x1, 1968, x2) - -inst_507: -// rs1_val==46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb504, -0xb503, x1, 1972, x2) - -inst_508: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x1, 1976, x2) - -inst_509: -// rs1_val==46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb504, 0x33333334, x1, 1980, x2) - -inst_510: -// rs1_val==46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb504, 0x6, x1, 1984, x2) - -inst_511: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x1, 1988, x2) - -inst_512: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x1, 1992, x2) - -inst_513: -// rs1_val==46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb504, 0x4, x1, 1996, x2) - -inst_514: -// rs1_val==46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb504, 0xb503, x1, 2000, x2) - -inst_515: -// rs1_val==46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 2004, x2) - -inst_516: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb504, 0x66666665, x1, 2008, x2) - -inst_517: -// rs1_val==46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb504, 0x33333332, x1, 2012, x2) - -inst_518: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb504, 0x55555554, x1, 2016, x2) - -inst_519: -// rs1_val==46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2020, x2) - -inst_520: -// rs1_val==46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb504, 0xb504, x1, 2024, x2) - -inst_521: -// rs1_val==46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb504, -0xb504, x1, 2028, x2) - -inst_522: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x1, 2032, x2) - -inst_523: -// rs1_val==46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb504, 0x33333333, x1, 2036, x2) - -inst_524: -// rs1_val==46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb504, 0x5, x1, 2040, x2) - -inst_525: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_526: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb504, 0x55555555, x1, 0, x2) - -inst_527: -// rs1_val==46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 4, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb504, 0xb505, x1, 8, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x1, 12, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb504, 0x66666667, x1, 16, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb504, 0x33333334, x1, 20, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb504, 0x6, x1, 24, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x1, 28, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb504, 0x55555556, x1, 32, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb504, 0x4, x1, 36, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 40, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 44, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, -0xb504, 0x66666665, x1, 48, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x1, 52, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, -0xb504, 0x55555554, x1, 56, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 60, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb504, 0xb504, x1, 64, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x1, 68, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb504, 0x66666666, x1, 72, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x1, 76, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb504, 0x5, x1, 80, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x1, 84, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb504, 0x55555555, x1, 88, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 92, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x1, 96, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666666, -0xb503, x1, 100, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x1, 104, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x1, 108, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666666, 0x6, x1, 112, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x1, 116, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x1, 120, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666666, 0x4, x1, 124, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666666, 0xb503, x1, 128, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 132, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x1, 136, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666666, 0x33333332, x1, 140, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x1, 144, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666666, 0x2, x1, 148, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x1, 152, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666666, -0xb504, x1, 156, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x1, 160, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666666, 0x33333333, x1, 164, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666666, 0x5, x1, 168, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x1, 172, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x1, 176, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666666, 0x3, x1, 180, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333333, 0xb505, x1, 184, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333333, -0xb503, x1, 188, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x1, 192, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333333, 0x33333334, x1, 196, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333333, 0x6, x1, 200, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x1, 204, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333333, 0x55555556, x1, 208, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 212, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333333, 0xb503, x1, 216, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x20000001 -TEST_RR_OP(add, x12, x10, x11, 0xe000001f, 0x20, -0x20000001, x1, 220, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10000001 -TEST_RR_OP(add, x12, x10, x11, 0xf0000003, 0x4, -0x10000001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/addi-01.S deleted file mode 100644 index 839748400..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/addi-01.S +++ /dev/null @@ -1,2890 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the addi instruction of the RISC-V E extension for the addi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",addi) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x6, rd==x5, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 536870912 -// opcode: addi ; op1:x6; dest:x5; op1val:0x20000000; immval:-0x800 -TEST_IMM_OP( addi, x5, x6, 0x1ffff800, 0x20000000, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: addi ; op1:x10; dest:x10; op1val:0x7fffffff; immval:0x667 -TEST_IMM_OP( addi, x10, x10, 0x80000666, 0x7fffffff, 0x667, x4, 4, x8) - -inst_2: -// rs1==x14, rd==x0, rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x14; dest:x0; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x0, x14, 0, -0x40000001, 0x40, x4, 8, x8) - -inst_3: -// rs1==x2, rd==x11, rs1_val == -536870913, -// opcode: addi ; op1:x2; dest:x11; op1val:-0x20000001; immval:0x556 -TEST_IMM_OP( addi, x11, x2, 0xe0000555, -0x20000001, 0x556, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x6, rs1_val == -268435457, imm_val == -17, rs1_val < 0 and imm_val < 0 -// opcode: addi ; op1:x15; dest:x6; op1val:-0x10000001; immval:-0x11 -TEST_IMM_OP( addi, x6, x15, 0xefffffee, -0x10000001, -0x11, x4, 16, x8) - -inst_5: -// rs1==x13, rd==x1, rs1_val == -134217729, -// opcode: addi ; op1:x13; dest:x1; op1val:-0x8000001; immval:-0x4 -TEST_IMM_OP( addi, x1, x13, 0xf7fffffb, -0x8000001, -0x4, x4, 20, x8) - -inst_6: -// rs1==x5, rd==x3, rs1_val == -67108865, imm_val == 0 -// opcode: addi ; op1:x5; dest:x3; op1val:-0x4000001; immval:0x0 -TEST_IMM_OP( addi, x3, x5, 0xfbffffff, -0x4000001, 0x0, x4, 24, x8) - -inst_7: -// rs1==x0, rd==x15, rs1_val == -33554433, -// opcode: addi ; op1:x0; dest:x15; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x15, x0, 0x0, 0x0, 0x0, x4, 28, x8) - -inst_8: -// rs1==x12, rd==x7, rs1_val == -16777217, imm_val == 4 -// opcode: addi ; op1:x12; dest:x7; op1val:-0x1000001; immval:0x4 -TEST_IMM_OP( addi, x7, x12, 0xff000003, -0x1000001, 0x4, x4, 32, x8) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_9: -// rs1==x1, rd==x8, rs1_val == -8388609, -// opcode: addi ; op1:x1; dest:x8; op1val:-0x800001; immval:0x40 -TEST_IMM_OP( addi, x8, x1, 0xff80003f, -0x800001, 0x40, x5, 0, x6) - -inst_10: -// rs1==x11, rd==x4, rs1_val == -4194305, imm_val == -5 -// opcode: addi ; op1:x11; dest:x4; op1val:-0x400001; immval:-0x5 -TEST_IMM_OP( addi, x4, x11, 0xffbffffa, -0x400001, -0x5, x5, 4, x6) - -inst_11: -// rs1==x7, rd==x13, rs1_val == -2097153, imm_val == 1365 -// opcode: addi ; op1:x7; dest:x13; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( addi, x13, x7, 0xffe00554, -0x200001, 0x555, x5, 8, x6) - -inst_12: -// rs1==x3, rd==x14, rs1_val == -1048577, -// opcode: addi ; op1:x3; dest:x14; op1val:-0x100001; immval:-0x11 -TEST_IMM_OP( addi, x14, x3, 0xffefffee, -0x100001, -0x11, x5, 12, x6) - -inst_13: -// rs1==x4, rd==x2, rs1_val == -524289, -// opcode: addi ; op1:x4; dest:x2; op1val:-0x80001; immval:-0xa -TEST_IMM_OP( addi, x2, x4, 0xfff7fff5, -0x80001, -0xa, x5, 16, x6) - -inst_14: -// rs1==x8, rd==x9, rs1_val == -262145, imm_val == -513 -// opcode: addi ; op1:x8; dest:x9; op1val:-0x40001; immval:-0x201 -TEST_IMM_OP( addi, x9, x8, 0xfffbfdfe, -0x40001, -0x201, x5, 20, x6) - -inst_15: -// rs1==x9, rd==x12, rs1_val == -131073, -// opcode: addi ; op1:x9; dest:x12; op1val:-0x20001; immval:0x3 -TEST_IMM_OP( addi, x12, x9, 0xfffe0002, -0x20001, 0x3, x5, 24, x6) - -inst_16: -// rs1_val == -65537, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff0004, -0x10001, 0x5, x5, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs1_val == -32769, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff8005, -0x8001, 0x6, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x8 -TEST_IMM_OP( addi, x11, x10, 0xffffbff7, -0x4001, -0x8, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xffffdff9, -0x2001, -0x6, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == -33 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xffffefde, -0x1001, -0x21, x1, 12, x2) - -inst_21: -// rs1_val == -2049, imm_val == 512 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x801; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0xfffff9ff, -0x801, 0x200, x1, 16, x2) - -inst_22: -// rs1_val == -1025, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x401; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffbf9, -0x401, -0x6, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x201; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xfffffdde, -0x201, -0x21, x1, 24, x2) - -inst_24: -// rs1_val == -257, imm_val == 2 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x101; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffff01, -0x101, 0x2, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffac, -0x81, 0x2d, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffeb, -0x41, 0x2c, x1, 36, x2) - -inst_27: -// rs1_val == -33, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffffe1, -0x21, 0x2, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffff5, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x9; immval:-0x5 -TEST_IMM_OP( addi, x11, x10, 0xfffffff2, -0x9, -0x5, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x27, -0x5, 0x2c, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd1, -0x3, -0x2c, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0xfffffff4, -0x2, -0xa, x1, 60, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0xbd02, 0xb503, 0x7ff, x1, 64, x2) - -inst_34: -// imm_val == -1025, rs1_val == 1024 -// opcode: addi ; op1:x10; dest:x11; op1val:0x400; immval:-0x401 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x400, -0x401, x1, 68, x2) - -inst_35: -// imm_val == -257, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0xffffeefe, -0x1001, -0x101, x1, 72, x2) - -inst_36: -// imm_val == -129, rs1_val == 134217728 -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x81 -TEST_IMM_OP( addi, x11, x10, 0x7ffff7f, 0x8000000, -0x81, x1, 76, x2) - -inst_37: -// imm_val == -65, rs1_val == 4 -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0xffffffc3, 0x4, -0x41, x1, 80, x2) - -inst_38: -// imm_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x9 -TEST_IMM_OP( addi, x11, x10, 0xffffffb6, -0x41, -0x9, x1, 84, x2) - -inst_39: -// imm_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x6, -0x3, x1, 88, x2) - -inst_40: -// imm_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:-0x2 -TEST_IMM_OP( addi, x11, x10, 0xfffffff9, -0x5, -0x2, x1, 92, x2) - -inst_41: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: addi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x80000556, -0x80000000, 0x556, x1, 96, x2) - -inst_42: -// rs1_val == 1073741824, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x400 -TEST_IMM_OP( addi, x11, x10, 0x3ffffc00, 0x40000000, -0x400, x1, 100, x2) - -inst_43: -// rs1_val == 268435456, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x10000000, 0x10000000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 67108864, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0x3fffff6, 0x4000000, -0xa, x1, 108, x2) - -inst_45: -// rs1_val == 33554432, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000000; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x200002e, 0x2000000, 0x2e, x1, 112, x2) - -inst_46: -// rs1_val == 16777216, -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x1000667, 0x1000000, 0x667, x1, 116, x2) - -inst_47: -// rs1_val == 8388608, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x7fffbf, 0x800000, -0x41, x1, 120, x2) - -inst_48: -// rs1_val == 4194304, -// opcode: addi ; op1:x10; dest:x11; op1val:0x400000; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0x3ffeff, 0x400000, -0x101, x1, 124, x2) - -inst_49: -// rs1_val == 2097152, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x200555, 0x200000, 0x555, x1, 128, x2) - -inst_50: -// rs1_val == 1048576, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x100000, 0x100000, 0x0, x1, 132, x2) - -inst_51: -// rs1_val == 524288, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80000; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x80005, 0x80000, 0x5, x1, 136, x2) - -inst_52: -// rs1_val == 262144, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x403ff, 0x40000, 0x3ff, x1, 140, x2) - -inst_53: -// rs1_val == 131072, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x20000, 0x20000, 0x0, x1, 144, x2) - -inst_54: -// rs1_val == 65536, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x10200, 0x10000, 0x200, x1, 148, x2) - -inst_55: -// rs1_val == 32768, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x7 -TEST_IMM_OP( addi, x11, x10, 0x7ff9, 0x8000, -0x7, x1, 152, x2) - -inst_56: -// rs1_val == 16384, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x4333, 0x4000, 0x333, x1, 156, x2) - -inst_57: -// rs1_val == 8192, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x2555, 0x2000, 0x555, x1, 160, x2) - -inst_58: -// rs1_val == 4096, imm_val == -1366 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaa, 0x1000, -0x556, x1, 164, x2) - -inst_59: -// rs1_val == 2048, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xe65, 0x800, 0x665, x1, 168, x2) - -inst_60: -// rs1_val == 512, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x1bf, 0x200, -0x41, x1, 172, x2) - -inst_61: -// rs1_val == 256, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x4ff, 0x100, 0x3ff, x1, 176, x2) - -inst_62: -// rs1_val == 128, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x6e6, 0x80, 0x666, x1, 180, x2) - -inst_63: -// rs1_val == 64, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x373, 0x40, 0x333, x1, 184, x2) - -inst_64: -// rs1_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0x81f, 0x20, 0x7ff, x1, 188, x2) - -inst_65: -// rs1_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x210, 0x10, 0x200, x1, 192, x2) - -inst_66: -// rs1_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x8, 0x0, x1, 196, x2) - -inst_67: -// rs1_val == 2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x2, -0x3, x1, 200, x2) - -inst_68: -// rs1_val == 1, imm_val == 256 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1; immval:0x100 -TEST_IMM_OP( addi, x11, x10, 0x101, 0x1, 0x100, x1, 204, x2) - -inst_69: -// imm_val == 1024, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x400 -TEST_IMM_OP( addi, x11, x10, 0x3bf, -0x41, 0x400, x1, 208, x2) - -inst_70: -// imm_val == 128, rs1_val == 0 -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x80 -TEST_IMM_OP( addi, x11, x10, 0x80, 0x0, 0x80, x1, 212, x2) - -inst_71: -// imm_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x20 -TEST_IMM_OP( addi, x11, x10, 0x20, 0x0, 0x20, x1, 216, x2) - -inst_72: -// imm_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( addi, x11, x10, 0x8010, 0x8000, 0x10, x1, 220, x2) - -inst_73: -// imm_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( addi, x11, x10, 0x0, -0x8, 0x8, x1, 224, x2) - -inst_74: -// imm_val == 1, rs1_val == 1431655765 -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555555, 0x1, x1, 228, x2) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb533, 0xb505, 0x2e, x1, 232, x2) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d9, 0xb505, -0x2c, x1, 236, x2) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6c, 0xb505, 0x667, x1, 240, x2) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb839, 0xb505, 0x334, x1, 244, x2) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50b, 0xb505, 0x6, x1, 248, x2) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafb0, 0xb505, -0x555, x1, 252, x2) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5b, 0xb505, 0x556, x1, 256, x2) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb505, 0x4, x1, 260, x2) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb505, 0x2c, x1, 264, x2) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb505, 0x0, x1, 268, x2) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb505, 0x665, x1, 272, x2) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb505, 0x332, x1, 276, x2) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb505, 0x554, x1, 280, x2) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb505, 0x2, x1, 284, x2) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb505, 0x2d, x1, 288, x2) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb505, -0x2d, x1, 292, x2) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb505, 0x666, x1, 296, x2) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb505, 0x333, x1, 300, x2) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb505, 0x5, x1, 304, x2) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb505, -0x556, x1, 308, x2) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb505, 0x555, x1, 312, x2) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb505, 0x3, x1, 316, x2) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2b, -0xb503, 0x2e, x1, 320, x2) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad1, -0xb503, -0x2c, x1, 324, x2) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5164, -0xb503, 0x667, x1, 328, x2) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e31, -0xb503, 0x334, x1, 332, x2) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b03, -0xb503, 0x6, x1, 336, x2) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a8, -0xb503, -0x555, x1, 340, x2) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5053, -0xb503, 0x556, x1, 344, x2) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb503, 0x4, x1, 348, x2) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb503, 0x2c, x1, 352, x2) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afd, -0xb503, 0x0, x1, 356, x2) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb503, 0x665, x1, 360, x2) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb503, 0x332, x1, 364, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb503, 0x554, x1, 368, x2) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb503, 0x2, x1, 372, x2) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb503, 0x2d, x1, 376, x2) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb503, -0x2d, x1, 380, x2) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb503, 0x666, x1, 384, x2) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb503, 0x333, x1, 388, x2) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb503, 0x5, x1, 392, x2) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb503, -0x556, x1, 396, x2) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb503, 0x555, x1, 400, x2) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb503, 0x3, x1, 404, x2) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666695, 0x66666667, 0x2e, x1, 408, x2) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663b, 0x66666667, -0x2c, x1, 412, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666cce, 0x66666667, 0x667, x1, 416, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699b, 0x66666667, 0x334, x1, 420, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666d, 0x66666667, 0x6, x1, 424, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666112, 0x66666667, -0x555, x1, 428, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbd, 0x66666667, 0x556, x1, 432, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666667, 0x4, x1, 436, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666667, 0x2c, x1, 440, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666667, 0x0, x1, 444, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666667, 0x665, x1, 448, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666667, 0x332, x1, 452, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666667, 0x554, x1, 456, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666667, 0x2, x1, 460, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666667, 0x2d, x1, 464, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666667, -0x2d, x1, 468, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666667, 0x666, x1, 472, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666667, 0x333, x1, 476, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666667, 0x5, x1, 480, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666667, -0x556, x1, 484, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666667, 0x555, x1, 488, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666667, 0x3, x1, 492, x2) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333362, 0x33333334, 0x2e, x1, 496, x2) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333308, 0x33333334, -0x2c, x1, 500, x2) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399b, 0x33333334, 0x667, x1, 504, x2) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333668, 0x33333334, 0x334, x1, 508, x2) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x3333333a, 0x33333334, 0x6, x1, 512, x2) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddf, 0x33333334, -0x555, x1, 516, x2) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x3333388a, 0x33333334, 0x556, x1, 520, x2) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333334, 0x4, x1, 524, x2) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333334, 0x2c, x1, 528, x2) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333334, 0x0, x1, 532, x2) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333334, 0x665, x1, 536, x2) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333334, 0x332, x1, 540, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333334, 0x554, x1, 544, x2) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333334, 0x2, x1, 548, x2) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333334, 0x2d, x1, 552, x2) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333334, -0x2d, x1, 556, x2) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333334, 0x666, x1, 560, x2) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333334, 0x333, x1, 564, x2) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333334, 0x5, x1, 568, x2) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333334, -0x556, x1, 572, x2) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333334, 0x555, x1, 576, x2) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333334, 0x3, x1, 580, x2) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x34, 0x6, 0x2e, x1, 584, x2) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffda, 0x6, -0x2c, x1, 588, x2) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66d, 0x6, 0x667, x1, 592, x2) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33a, 0x6, 0x334, x1, 596, x2) - -inst_167: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xc, 0x6, 0x6, x1, 600, x2) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab1, 0x6, -0x555, x1, 604, x2) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55c, 0x6, 0x556, x1, 608, x2) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x6, 0x4, x1, 612, x2) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x32, 0x6, 0x2c, x1, 616, x2) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x6, 0x0, x1, 620, x2) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x6, 0x665, x1, 624, x2) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x6, 0x332, x1, 628, x2) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x6, 0x554, x1, 632, x2) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x6, 0x2, x1, 636, x2) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33, 0x6, 0x2d, x1, 640, x2) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x6, -0x2d, x1, 644, x2) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x6, 0x666, x1, 648, x2) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x6, 0x333, x1, 652, x2) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x6, 0x5, x1, 656, x2) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x6, -0x556, x1, 660, x2) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x6, 0x555, x1, 664, x2) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x6, 0x3, x1, 668, x2) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad9, -0x55555555, 0x2e, x1, 672, x2) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7f, -0x55555555, -0x2c, x1, 676, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab112, -0x55555555, 0x667, x1, 680, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddf, -0x55555555, 0x334, x1, 684, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 688, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa556, -0x55555555, -0x555, x1, 692, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab001, -0x55555555, 0x556, x1, 696, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 700, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555555, 0x2c, x1, 704, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 708, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555555, 0x665, x1, 712, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555555, 0x332, x1, 716, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x1, 720, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 724, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555555, 0x2d, x1, 728, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555555, -0x2d, x1, 732, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555555, 0x666, x1, 736, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555555, 0x333, x1, 740, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 744, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555555, -0x556, x1, 748, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555555, 0x555, x1, 752, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 756, x2) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555584, 0x55555556, 0x2e, x1, 760, x2) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x5555552a, 0x55555556, -0x2c, x1, 764, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbd, 0x55555556, 0x667, x1, 768, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x5555588a, 0x55555556, 0x334, x1, 772, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555c, 0x55555556, 0x6, x1, 776, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555001, 0x55555556, -0x555, x1, 780, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aac, 0x55555556, 0x556, x1, 784, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555556, 0x4, x1, 788, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555556, 0x2c, x1, 792, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555556, 0x0, x1, 796, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555556, 0x665, x1, 800, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555556, 0x332, x1, 804, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555556, 0x554, x1, 808, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555556, 0x2, x1, 812, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555556, 0x2d, x1, 816, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555556, -0x2d, x1, 820, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555556, 0x666, x1, 824, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555556, 0x333, x1, 828, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555556, 0x5, x1, 832, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555556, -0x556, x1, 836, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555556, 0x555, x1, 840, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555556, 0x3, x1, 844, x2) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x32, 0x4, 0x2e, x1, 848, x2) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x4, -0x2c, x1, 852, x2) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x4, 0x667, x1, 856, x2) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x4, 0x334, x1, 860, x2) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x4, 0x6, x1, 864, x2) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x4, -0x555, x1, 868, x2) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x4, 0x556, x1, 872, x2) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x4, 0x4, x1, 876, x2) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x30, 0x4, 0x2c, x1, 880, x2) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x4, 0x0, x1, 884, x2) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x4, 0x665, x1, 888, x2) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x4, 0x332, x1, 892, x2) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x4, 0x554, x1, 896, x2) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x4, 0x2, x1, 900, x2) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x31, 0x4, 0x2d, x1, 904, x2) - -inst_244: -// rs1_val==4 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x4, -0x2d, x1, 908, x2) - -inst_245: -// rs1_val==4 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x4, 0x666, x1, 912, x2) - -inst_246: -// rs1_val==4 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x4, 0x333, x1, 916, x2) - -inst_247: -// rs1_val==4 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x4, 0x5, x1, 920, x2) - -inst_248: -// rs1_val==4 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x4, -0x556, x1, 924, x2) - -inst_249: -// rs1_val==4 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x4, 0x555, x1, 928, x2) - -inst_250: -// rs1_val==4 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x4, 0x3, x1, 932, x2) - -inst_251: -// rs1_val==46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb503, 0x2e, x1, 936, x2) - -inst_252: -// rs1_val==46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb503, -0x2c, x1, 940, x2) - -inst_253: -// rs1_val==46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb503, 0x667, x1, 944, x2) - -inst_254: -// rs1_val==46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb503, 0x334, x1, 948, x2) - -inst_255: -// rs1_val==46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb503, 0x6, x1, 952, x2) - -inst_256: -// rs1_val==46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb503, -0x555, x1, 956, x2) - -inst_257: -// rs1_val==46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb503, 0x556, x1, 960, x2) - -inst_258: -// rs1_val==46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb503, 0x4, x1, 964, x2) - -inst_259: -// rs1_val==46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb52f, 0xb503, 0x2c, x1, 968, x2) - -inst_260: -// rs1_val==46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb503, 0xb503, 0x0, x1, 972, x2) - -inst_261: -// rs1_val==46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb68, 0xb503, 0x665, x1, 976, x2) - -inst_262: -// rs1_val==46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb835, 0xb503, 0x332, x1, 980, x2) - -inst_263: -// rs1_val==46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba57, 0xb503, 0x554, x1, 984, x2) - -inst_264: -// rs1_val==46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb503, 0x2, x1, 988, x2) - -inst_265: -// rs1_val==46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb503, 0x2d, x1, 992, x2) - -inst_266: -// rs1_val==46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d6, 0xb503, -0x2d, x1, 996, x2) - -inst_267: -// rs1_val==46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb503, 0x666, x1, 1000, x2) - -inst_268: -// rs1_val==46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb503, 0x333, x1, 1004, x2) - -inst_269: -// rs1_val==46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb503, 0x5, x1, 1008, x2) - -inst_270: -// rs1_val==46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafad, 0xb503, -0x556, x1, 1012, x2) - -inst_271: -// rs1_val==46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb503, 0x555, x1, 1016, x2) - -inst_272: -// rs1_val==46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb503, 0x3, x1, 1020, x2) - -inst_273: -// rs1_val==0 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x0, 0x2e, x1, 1024, x2) - -inst_274: -// rs1_val==0 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd4, 0x0, -0x2c, x1, 1028, x2) - -inst_275: -// rs1_val==0 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x0, 0x667, x1, 1032, x2) - -inst_276: -// rs1_val==0 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x0, 0x334, x1, 1036, x2) - -inst_277: -// rs1_val==0 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x0, 0x6, x1, 1040, x2) - -inst_278: -// rs1_val==0 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaab, 0x0, -0x555, x1, 1044, x2) - -inst_279: -// rs1_val==0 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x0, 0x556, x1, 1048, x2) - -inst_280: -// rs1_val==0 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x0, 0x4, x1, 1052, x2) - -inst_281: -// rs1_val==0 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2c, 0x0, 0x2c, x1, 1056, x2) - -inst_282: -// rs1_val==0 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x0, 0x0, 0x0, x1, 1060, x2) - -inst_283: -// rs1_val==0 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x665, 0x0, 0x665, x1, 1064, x2) - -inst_284: -// rs1_val==0 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x332, 0x0, 0x332, x1, 1068, x2) - -inst_285: -// rs1_val==0 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x554, 0x0, 0x554, x1, 1072, x2) - -inst_286: -// rs1_val==0 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x0, 0x2, x1, 1076, x2) - -inst_287: -// rs1_val==0 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2d, 0x0, 0x2d, x1, 1080, x2) - -inst_288: -// rs1_val==0 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd3, 0x0, -0x2d, x1, 1084, x2) - -inst_289: -// rs1_val==0 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x666, 0x0, 0x666, x1, 1088, x2) - -inst_290: -// rs1_val==0 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x333, 0x0, 0x333, x1, 1092, x2) - -inst_291: -// rs1_val==0 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x0, 0x5, x1, 1096, x2) - -inst_292: -// rs1_val==0 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaa, 0x0, -0x556, x1, 1100, x2) - -inst_293: -// rs1_val==0 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x555, 0x0, 0x555, x1, 1104, x2) - -inst_294: -// rs1_val==0 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x0, 0x3, x1, 1108, x2) - -inst_295: -// rs1_val==1717986917 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666665, 0x2e, x1, 1112, x2) - -inst_296: -// rs1_val==1717986917 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666665, -0x2c, x1, 1116, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666665, 0x667, x1, 1120, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666665, 0x334, x1, 1124, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666665, 0x6, x1, 1128, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666665, -0x555, x1, 1132, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666665, 0x556, x1, 1136, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666665, 0x4, x1, 1140, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666691, 0x66666665, 0x2c, x1, 1144, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666665, 0x66666665, 0x0, x1, 1148, x2) - -inst_305: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555556, -0x555, x1, 1152, x2) - -inst_306: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555556, 0x556, x1, 1156, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1160, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad6, -0x55555556, 0x2c, x1, 1164, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1168, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab10f, -0x55555556, 0x665, x1, 1172, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddc, -0x55555556, 0x332, x1, 1176, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x1, 1180, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1184, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555556, 0x2d, x1, 1188, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7d, -0x55555556, -0x2d, x1, 1192, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555556, 0x666, x1, 1196, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555556, 0x333, x1, 1200, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1204, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa554, -0x55555556, -0x556, x1, 1208, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x1, 1212, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1216, x2) - -inst_322: -// rs1_val==1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555555, 0x2e, x1, 1220, x2) - -inst_323: -// rs1_val==1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555555, -0x2c, x1, 1224, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555555, 0x667, x1, 1228, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555555, 0x334, x1, 1232, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555555, 0x6, x1, 1236, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555555, -0x555, x1, 1240, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555555, 0x556, x1, 1244, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555555, 0x4, x1, 1248, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555555, 0x2c, x1, 1252, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555555, 0x55555555, 0x0, x1, 1256, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555555, 0x665, x1, 1260, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555555, 0x332, x1, 1264, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555555, 0x554, x1, 1268, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555555, 0x2, x1, 1272, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555555, 0x2d, x1, 1276, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555555, -0x2d, x1, 1280, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555555, 0x666, x1, 1284, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555555, 0x333, x1, 1288, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555555, 0x5, x1, 1292, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555555, -0x556, x1, 1296, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555555, 0x555, x1, 1300, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555555, 0x3, x1, 1304, x2) - -inst_344: -// rs1_val==3 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x31, 0x3, 0x2e, x1, 1308, x2) - -inst_345: -// rs1_val==3 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x3, -0x2c, x1, 1312, x2) - -inst_346: -// rs1_val==3 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x3, 0x667, x1, 1316, x2) - -inst_347: -// rs1_val==3 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x3, 0x334, x1, 1320, x2) - -inst_348: -// rs1_val==3 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x3, 0x6, x1, 1324, x2) - -inst_349: -// rs1_val==3 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x3, -0x555, x1, 1328, x2) - -inst_350: -// rs1_val==3 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x3, 0x556, x1, 1332, x2) - -inst_351: -// rs1_val==3 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x3, 0x4, x1, 1336, x2) - -inst_352: -// rs1_val==3 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x3, 0x2c, x1, 1340, x2) - -inst_353: -// rs1_val==3 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x3, 0x0, x1, 1344, x2) - -inst_354: -// rs1_val==3 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x3, 0x665, x1, 1348, x2) - -inst_355: -// rs1_val==3 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x3, 0x332, x1, 1352, x2) - -inst_356: -// rs1_val==3 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x3, 0x554, x1, 1356, x2) - -inst_357: -// rs1_val==3 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x3, 0x2, x1, 1360, x2) - -inst_358: -// rs1_val==3 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x30, 0x3, 0x2d, x1, 1364, x2) - -inst_359: -// rs1_val==3 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x3, -0x2d, x1, 1368, x2) - -inst_360: -// rs1_val==3 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x3, 0x666, x1, 1372, x2) - -inst_361: -// rs1_val==3 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x3, 0x333, x1, 1376, x2) - -inst_362: -// rs1_val==3 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x3, 0x5, x1, 1380, x2) - -inst_363: -// rs1_val==3 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x3, -0x556, x1, 1384, x2) - -inst_364: -// rs1_val==3 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x3, 0x555, x1, 1388, x2) - -inst_365: -// rs1_val==3 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x3, 0x3, x1, 1392, x2) - -inst_366: -// rs1_val==1717986917 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666cca, 0x66666665, 0x665, x1, 1396, x2) - -inst_367: -// rs1_val==1717986917 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666997, 0x66666665, 0x332, x1, 1400, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bb9, 0x66666665, 0x554, x1, 1404, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666665, 0x2, x1, 1408, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666665, 0x2d, x1, 1412, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666638, 0x66666665, -0x2d, x1, 1416, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666665, 0x666, x1, 1420, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666665, 0x333, x1, 1424, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666665, 0x5, x1, 1428, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x6666610f, 0x66666665, -0x556, x1, 1432, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666665, 0x555, x1, 1436, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666665, 0x3, x1, 1440, x2) - -inst_378: -// rs1_val==858993458 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333332, 0x2e, x1, 1444, x2) - -inst_379: -// rs1_val==858993458 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333332, -0x2c, x1, 1448, x2) - -inst_380: -// rs1_val==858993458 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333332, 0x667, x1, 1452, x2) - -inst_381: -// rs1_val==858993458 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333332, 0x334, x1, 1456, x2) - -inst_382: -// rs1_val==858993458 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333332, 0x6, x1, 1460, x2) - -inst_383: -// rs1_val==858993458 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333332, -0x555, x1, 1464, x2) - -inst_384: -// rs1_val==858993458 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333332, 0x556, x1, 1468, x2) - -inst_385: -// rs1_val==858993458 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333332, 0x4, x1, 1472, x2) - -inst_386: -// rs1_val==858993458 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335e, 0x33333332, 0x2c, x1, 1476, x2) - -inst_387: -// rs1_val==858993458 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333332, 0x33333332, 0x0, x1, 1480, x2) - -inst_388: -// rs1_val==858993458 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333997, 0x33333332, 0x665, x1, 1484, x2) - -inst_389: -// rs1_val==858993458 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333664, 0x33333332, 0x332, x1, 1488, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333886, 0x33333332, 0x554, x1, 1492, x2) - -inst_391: -// rs1_val==858993458 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333332, 0x2, x1, 1496, x2) - -inst_392: -// rs1_val==858993458 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333332, 0x2d, x1, 1500, x2) - -inst_393: -// rs1_val==858993458 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333305, 0x33333332, -0x2d, x1, 1504, x2) - -inst_394: -// rs1_val==858993458 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333332, 0x666, x1, 1508, x2) - -inst_395: -// rs1_val==858993458 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333332, 0x333, x1, 1512, x2) - -inst_396: -// rs1_val==858993458 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333332, 0x5, x1, 1516, x2) - -inst_397: -// rs1_val==858993458 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddc, 0x33333332, -0x556, x1, 1520, x2) - -inst_398: -// rs1_val==858993458 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333332, 0x555, x1, 1524, x2) - -inst_399: -// rs1_val==858993458 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333332, 0x3, x1, 1528, x2) - -inst_400: -// rs1_val==1431655764 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555554, 0x2e, x1, 1532, x2) - -inst_401: -// rs1_val==1431655764 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555554, -0x2c, x1, 1536, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555554, 0x667, x1, 1540, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555554, 0x334, x1, 1544, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555554, 0x6, x1, 1548, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555554, -0x555, x1, 1552, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555554, 0x556, x1, 1556, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555554, 0x4, x1, 1560, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555580, 0x55555554, 0x2c, x1, 1564, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555554, 0x55555554, 0x0, x1, 1568, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bb9, 0x55555554, 0x665, x1, 1572, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555886, 0x55555554, 0x332, x1, 1576, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa8, 0x55555554, 0x554, x1, 1580, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555554, 0x2, x1, 1584, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555554, 0x2d, x1, 1588, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555527, 0x55555554, -0x2d, x1, 1592, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555554, 0x666, x1, 1596, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555554, 0x333, x1, 1600, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555554, 0x5, x1, 1604, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554ffe, 0x55555554, -0x556, x1, 1608, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555554, 0x555, x1, 1612, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555554, 0x3, x1, 1616, x2) - -inst_422: -// rs1_val==2 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x30, 0x2, 0x2e, x1, 1620, x2) - -inst_423: -// rs1_val==2 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x2, -0x2c, x1, 1624, x2) - -inst_424: -// rs1_val==2 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x2, 0x667, x1, 1628, x2) - -inst_425: -// rs1_val==2 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x2, 0x334, x1, 1632, x2) - -inst_426: -// rs1_val==2 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x2, 0x6, x1, 1636, x2) - -inst_427: -// rs1_val==2 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x2, -0x555, x1, 1640, x2) - -inst_428: -// rs1_val==2 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x2, 0x556, x1, 1644, x2) - -inst_429: -// rs1_val==2 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x2, 0x4, x1, 1648, x2) - -inst_430: -// rs1_val==2 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x2, 0x2c, x1, 1652, x2) - -inst_431: -// rs1_val==2 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x2, 0x0, x1, 1656, x2) - -inst_432: -// rs1_val==2 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x2, 0x665, x1, 1660, x2) - -inst_433: -// rs1_val==2 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x2, 0x332, x1, 1664, x2) - -inst_434: -// rs1_val==2 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x2, 0x554, x1, 1668, x2) - -inst_435: -// rs1_val==2 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x2, 0x2, x1, 1672, x2) - -inst_436: -// rs1_val==2 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x2, 0x2d, x1, 1676, x2) - -inst_437: -// rs1_val==2 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd5, 0x2, -0x2d, x1, 1680, x2) - -inst_438: -// rs1_val==2 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x2, 0x666, x1, 1684, x2) - -inst_439: -// rs1_val==2 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x2, 0x333, x1, 1688, x2) - -inst_440: -// rs1_val==2 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x2, 0x5, x1, 1692, x2) - -inst_441: -// rs1_val==2 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaac, 0x2, -0x556, x1, 1696, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x2, 0x555, x1, 1700, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x2, 0x3, x1, 1704, x2) - -inst_444: -// rs1_val==46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb504, 0x2e, x1, 1708, x2) - -inst_445: -// rs1_val==46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb504, -0x2c, x1, 1712, x2) - -inst_446: -// rs1_val==46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb504, 0x667, x1, 1716, x2) - -inst_447: -// rs1_val==46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb504, 0x334, x1, 1720, x2) - -inst_448: -// rs1_val==46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb504, 0x6, x1, 1724, x2) - -inst_449: -// rs1_val==46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb504, -0x555, x1, 1728, x2) - -inst_450: -// rs1_val==46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb504, 0x556, x1, 1732, x2) - -inst_451: -// rs1_val==46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb504, 0x4, x1, 1736, x2) - -inst_452: -// rs1_val==46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb504, 0x2c, x1, 1740, x2) - -inst_453: -// rs1_val==46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb504, 0xb504, 0x0, x1, 1744, x2) - -inst_454: -// rs1_val==46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb504, 0x665, x1, 1748, x2) - -inst_455: -// rs1_val==46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb504, 0x332, x1, 1752, x2) - -inst_456: -// rs1_val==46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb504, 0x554, x1, 1756, x2) - -inst_457: -// rs1_val==46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb504, 0x2, x1, 1760, x2) - -inst_458: -// rs1_val==46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb504, 0x2d, x1, 1764, x2) - -inst_459: -// rs1_val==46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb504, -0x2d, x1, 1768, x2) - -inst_460: -// rs1_val==46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb504, 0x666, x1, 1772, x2) - -inst_461: -// rs1_val==46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb504, 0x333, x1, 1776, x2) - -inst_462: -// rs1_val==46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb504, 0x5, x1, 1780, x2) - -inst_463: -// rs1_val==46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb504, -0x556, x1, 1784, x2) - -inst_464: -// rs1_val==46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb504, 0x555, x1, 1788, x2) - -inst_465: -// rs1_val==46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb504, 0x3, x1, 1792, x2) - -inst_466: -// rs1_val==-46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb504, 0x2e, x1, 1796, x2) - -inst_467: -// rs1_val==-46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb504, -0x2c, x1, 1800, x2) - -inst_468: -// rs1_val==-46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb504, 0x667, x1, 1804, x2) - -inst_469: -// rs1_val==-46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb504, 0x334, x1, 1808, x2) - -inst_470: -// rs1_val==-46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb504, 0x6, x1, 1812, x2) - -inst_471: -// rs1_val==-46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb504, -0x555, x1, 1816, x2) - -inst_472: -// rs1_val==-46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb504, 0x556, x1, 1820, x2) - -inst_473: -// rs1_val==-46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb504, 0x4, x1, 1824, x2) - -inst_474: -// rs1_val==-46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b28, -0xb504, 0x2c, x1, 1828, x2) - -inst_475: -// rs1_val==-46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afc, -0xb504, 0x0, x1, 1832, x2) - -inst_476: -// rs1_val==-46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5161, -0xb504, 0x665, x1, 1836, x2) - -inst_477: -// rs1_val==-46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2e, -0xb504, 0x332, x1, 1840, x2) - -inst_478: -// rs1_val==-46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5050, -0xb504, 0x554, x1, 1844, x2) - -inst_479: -// rs1_val==-46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4afe, -0xb504, 0x2, x1, 1848, x2) - -inst_480: -// rs1_val==-46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb504, 0x2d, x1, 1852, x2) - -inst_481: -// rs1_val==-46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4acf, -0xb504, -0x2d, x1, 1856, x2) - -inst_482: -// rs1_val==-46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb504, 0x666, x1, 1860, x2) - -inst_483: -// rs1_val==-46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb504, 0x333, x1, 1864, x2) - -inst_484: -// rs1_val==-46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb504, 0x5, x1, 1868, x2) - -inst_485: -// rs1_val==-46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a6, -0xb504, -0x556, x1, 1872, x2) - -inst_486: -// rs1_val==-46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb504, 0x555, x1, 1876, x2) - -inst_487: -// rs1_val==-46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb504, 0x3, x1, 1880, x2) - -inst_488: -// rs1_val==1717986918 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666666, 0x2e, x1, 1884, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666666, -0x2c, x1, 1888, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666666, 0x667, x1, 1892, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666666, 0x334, x1, 1896, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666666, 0x6, x1, 1900, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666666, -0x555, x1, 1904, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666666, 0x556, x1, 1908, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666666, 0x4, x1, 1912, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666666, 0x2c, x1, 1916, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666666, 0x66666666, 0x0, x1, 1920, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666666, 0x665, x1, 1924, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666666, 0x332, x1, 1928, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666666, 0x554, x1, 1932, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666666, 0x2, x1, 1936, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666666, 0x2d, x1, 1940, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666666, -0x2d, x1, 1944, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666666, 0x666, x1, 1948, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666666, 0x333, x1, 1952, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666666, 0x5, x1, 1956, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666666, -0x556, x1, 1960, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666666, 0x555, x1, 1964, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666666, 0x3, x1, 1968, x2) - -inst_510: -// rs1_val==858993459 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333333, 0x2e, x1, 1972, x2) - -inst_511: -// rs1_val==858993459 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333333, -0x2c, x1, 1976, x2) - -inst_512: -// rs1_val==858993459 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333333, 0x667, x1, 1980, x2) - -inst_513: -// rs1_val==858993459 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333333, 0x334, x1, 1984, x2) - -inst_514: -// rs1_val==858993459 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333333, 0x6, x1, 1988, x2) - -inst_515: -// rs1_val==858993459 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333333, -0x555, x1, 1992, x2) - -inst_516: -// rs1_val==858993459 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333333, 0x556, x1, 1996, x2) - -inst_517: -// rs1_val==858993459 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333333, 0x4, x1, 2000, x2) - -inst_518: -// rs1_val==858993459 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333333, 0x2c, x1, 2004, x2) - -inst_519: -// rs1_val==858993459 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333333, 0x33333333, 0x0, x1, 2008, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333333, 0x665, x1, 2012, x2) - -inst_521: -// rs1_val==858993459 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333333, 0x332, x1, 2016, x2) - -inst_522: -// rs1_val==858993459 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333333, 0x554, x1, 2020, x2) - -inst_523: -// rs1_val==858993459 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333333, 0x2, x1, 2024, x2) - -inst_524: -// rs1_val==858993459 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333333, 0x2d, x1, 2028, x2) - -inst_525: -// rs1_val==858993459 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333333, -0x2d, x1, 2032, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333333, 0x666, x1, 2036, x2) - -inst_527: -// rs1_val==858993459 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333333, 0x333, x1, 2040, x2) - -inst_528: -// rs1_val==858993459 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333333, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==858993459 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333333, -0x556, x1, 0, x2) - -inst_530: -// rs1_val==858993459 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333333, 0x555, x1, 4, x2) - -inst_531: -// rs1_val==858993459 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333333, 0x3, x1, 8, x2) - -inst_532: -// rs1_val==5 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33, 0x5, 0x2e, x1, 12, x2) - -inst_533: -// rs1_val==5 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x5, -0x2c, x1, 16, x2) - -inst_534: -// rs1_val==5 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x5, 0x667, x1, 20, x2) - -inst_535: -// rs1_val==5 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x5, 0x334, x1, 24, x2) - -inst_536: -// rs1_val==5 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x5, 0x6, x1, 28, x2) - -inst_537: -// rs1_val==5 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x5, -0x555, x1, 32, x2) - -inst_538: -// rs1_val==5 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x5, 0x556, x1, 36, x2) - -inst_539: -// rs1_val==5 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x5, 0x4, x1, 40, x2) - -inst_540: -// rs1_val==5 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x31, 0x5, 0x2c, x1, 44, x2) - -inst_541: -// rs1_val==5 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x5, 0x0, x1, 48, x2) - -inst_542: -// rs1_val==5 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x5, 0x665, x1, 52, x2) - -inst_543: -// rs1_val==5 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x5, 0x332, x1, 56, x2) - -inst_544: -// rs1_val==5 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x5, 0x554, x1, 60, x2) - -inst_545: -// rs1_val==5 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x5, 0x2, x1, 64, x2) - -inst_546: -// rs1_val==5 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x32, 0x5, 0x2d, x1, 68, x2) - -inst_547: -// rs1_val==5 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x5, -0x2d, x1, 72, x2) - -inst_548: -// rs1_val==5 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x5, 0x666, x1, 76, x2) - -inst_549: -// rs1_val==5 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x5, 0x333, x1, 80, x2) - -inst_550: -// rs1_val==5 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x5, 0x5, x1, 84, x2) - -inst_551: -// rs1_val==5 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x5, -0x556, x1, 88, x2) - -inst_552: -// rs1_val==5 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x5, 0x555, x1, 92, x2) - -inst_553: -// rs1_val==5 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x5, 0x3, x1, 96, x2) - -inst_554: -// rs1_val==-1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555556, 0x2e, x1, 100, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555556, -0x2c, x1, 104, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555556, 0x667, x1, 108, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555556, 0x334, x1, 112, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 116, x2) - -inst_559: -// rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x11, x10, 0xc000003f, -0x40000001, 0x40, x1, 120, x2) - -inst_560: -// rs1_val == -33554433, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2000001; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xfdffffff, -0x2000001, 0x0, x1, 124, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 32*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/and-01.S deleted file mode 100644 index 41d5e406f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/and-01.S +++ /dev/null @@ -1,3025 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the and instruction of the RISC-V E extension for the and covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",and) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x3, rd==x10, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs1_val == 16, rs2_val == 8 -// opcode: and ; op1:x11; op2:x3; dest:x10; op1val:0x10; op2val:0x8 -TEST_RR_OP(and, x10, x11, x3, 0x0, 0x10, 0x8, x1, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x13, rs2==x11, rd==x13, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: and ; op1:x13; op2:x11; dest:x13; op1val:0x3; op2val:0x7fffffff -TEST_RR_OP(and, x13, x13, x11, 0x3, 0x3, 0x7fffffff, x1, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x12, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val == 256, rs1_val > 0 and rs2_val < 0 -// opcode: and ; op1:x12; op2:x6; dest:x6; op1val:0x100; op2val:-0x40000001 -TEST_RR_OP(and, x6, x12, x6, 0x100, 0x100, -0x40000001, x1, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x5, rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x8; op2:x8; dest:x5; op1val:0x40000; op2val:0x40000 -TEST_RR_OP(and, x5, x8, x8, 0x40000, 0x40000, 0x40000, x1, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x4; op2:x4; dest:x4; op1val:0x100000; op2val:0x100000 -TEST_RR_OP(and, x4, x4, x4, 0x100000, 0x100000, 0x100000, x1, 16, x7) - -inst_5: -// rs1==x15, rs2==x2, rd==x12, rs2_val == -134217729, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 -// opcode: and ; op1:x15; op2:x2; dest:x12; op1val:-0x4000001; op2val:-0x8000001 -TEST_RR_OP(and, x12, x15, x2, 0xf3ffffff, -0x4000001, -0x8000001, x1, 20, x7) - -inst_6: -// rs1==x6, rs2==x15, rd==x8, rs2_val == -67108865, rs1_val == -1431655766 -// opcode: and ; op1:x6; op2:x15; dest:x8; op1val:-0x55555556; op2val:-0x4000001 -TEST_RR_OP(and, x8, x6, x15, 0xaaaaaaaa, -0x55555556, -0x4000001, x1, 24, x3) - -inst_7: -// rs1==x10, rs2==x5, rd==x9, rs2_val == -33554433, rs1_val == 4194304 -// opcode: and ; op1:x10; op2:x5; dest:x9; op1val:0x400000; op2val:-0x2000001 -TEST_RR_OP(and, x9, x10, x5, 0x400000, 0x400000, -0x2000001, x1, 28, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x2, rs2==x0, rd==x1, rs2_val == -16777217, -// opcode: and ; op1:x2; op2:x0; dest:x1; op1val:0x40000; op2val:0x0 -TEST_RR_OP(and, x1, x2, x0, 0x0, 0x40000, 0x0, x4, 0, x3) - -inst_9: -// rs1==x5, rs2==x1, rd==x15, rs2_val == -8388609, rs1_val == -65 -// opcode: and ; op1:x5; op2:x1; dest:x15; op1val:-0x41; op2val:-0x800001 -TEST_RR_OP(and, x15, x5, x1, 0xff7fffbf, -0x41, -0x800001, x4, 4, x3) - -inst_10: -// rs1==x7, rs2==x10, rd==x2, rs2_val == -4194305, rs1_val == 16777216 -// opcode: and ; op1:x7; op2:x10; dest:x2; op1val:0x1000000; op2val:-0x400001 -TEST_RR_OP(and, x2, x7, x10, 0x1000000, 0x1000000, -0x400001, x4, 8, x3) - -inst_11: -// rs1==x1, rs2==x9, rd==x14, rs2_val == -2097153, rs1_val == -16385 -// opcode: and ; op1:x1; op2:x9; dest:x14; op1val:-0x4001; op2val:-0x200001 -TEST_RR_OP(and, x14, x1, x9, 0xffdfbfff, -0x4001, -0x200001, x4, 12, x3) - -inst_12: -// rs1==x14, rs2==x13, rd==x7, rs2_val == -1048577, rs1_val == -1025 -// opcode: and ; op1:x14; op2:x13; dest:x7; op1val:-0x401; op2val:-0x100001 -TEST_RR_OP(and, x7, x14, x13, 0xffeffbff, -0x401, -0x100001, x4, 16, x3) - -inst_13: -// rs1==x3, rs2==x14, rd==x0, rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x3; op2:x14; dest:x0; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x0, x3, x14, 0, -0x3, -0x80001, x4, 20, x2) - -inst_14: -// rs1==x9, rs2==x7, rd==x11, rs2_val == -262145, rs1_val == 33554432 -// opcode: and ; op1:x9; op2:x7; dest:x11; op1val:0x2000000; op2val:-0x40001 -TEST_RR_OP(and, x11, x9, x7, 0x2000000, 0x2000000, -0x40001, x4, 24, x2) - -inst_15: -// rs1==x0, rs2==x12, rd==x3, rs2_val == -131073, -// opcode: and ; op1:x0; op2:x12; dest:x3; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(and, x3, x0, x12, 0x0, 0x0, -0x20001, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs2_val == -65537, rs1_val == 4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x10001, x1, 0, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, -0x8001, x1, 4, x2) - -inst_18: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0x40000000, 0x40000000, -0x4001, x1, 8, x2) - -inst_19: -// rs2_val == -8193, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x2001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x2001, x1, 12, x2) - -inst_20: -// rs2_val == -4097, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x1001 -TEST_RR_OP(and, x12, x10, x11, 0x100, 0x100, -0x1001, x1, 16, x2) - -inst_21: -// rs2_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x801 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaa2aa, -0x55555556, -0x801, x1, 20, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 4096 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x401 -TEST_RR_OP(and, x12, x10, x11, 0x1000, 0x1000, -0x401, x1, 24, x2) - -inst_23: -// rs2_val == -513, rs1_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x1, -0x201, x1, 28, x2) - -inst_24: -// rs2_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0x7, 0x7, -0x101, x1, 32, x2) - -inst_25: -// rs2_val == -129, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x81 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x81, x1, 36, x2) - -inst_26: -// rs2_val == -65, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x41 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, -0x41, x1, 40, x2) - -inst_27: -// rs2_val == -33, rs1_val == 128 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x21 -TEST_RR_OP(and, x12, x10, x11, 0x80, 0x80, -0x21, x1, 44, x2) - -inst_28: -// rs2_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x11 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, -0x11, x1, 48, x2) - -inst_29: -// rs2_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x9, x1, 52, x2) - -inst_30: -// rs2_val == -5, rs1_val == 16384 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4000, 0x4000, -0x5, x1, 56, x2) - -inst_31: -// rs2_val == -3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x3 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, -0x3, x1, 60, x2) - -inst_32: -// rs2_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x2 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, -0x2, x1, 64, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0x7f7fffff, 0x7fffffff, -0x800001, x1, 68, x2) - -inst_34: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x26666667, -0x40000001, 0x66666667, x1, 72, x2) - -inst_35: -// rs1_val == -536870913, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x20000001, 0x3, x1, 76, x2) - -inst_36: -// rs1_val == -268435457, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x8 -TEST_RR_OP(and, x12, x10, x11, 0xeffffff8, -0x10000001, -0x8, x1, 80, x2) - -inst_37: -// rs1_val == -134217729, rs2_val == 2097152 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x200000 -TEST_RR_OP(and, x12, x10, x11, 0x200000, -0x8000001, 0x200000, x1, 84, x2) - -inst_38: -// rs1_val == -33554433, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xfdfffeff, -0x2000001, -0x101, x1, 88, x2) - -inst_39: -// rs1_val == -16777217, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0xfe7fffff, -0x1000001, -0x800001, x1, 92, x2) - -inst_40: -// rs1_val == -8388609, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xff7ffeff, -0x800001, -0x101, x1, 96, x2) - -inst_41: -// rs1_val == -4194305, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x200001 -TEST_RR_OP(and, x12, x10, x11, 0xff9fffff, -0x400001, -0x200001, x1, 100, x2) - -inst_42: -// rs1_val == -2097153, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66466666, -0x200001, 0x66666666, x1, 104, x2) - -inst_43: -// rs1_val == -1048577, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffef4afd, -0x100001, -0xb503, x1, 108, x2) - -inst_44: -// rs1_val == -524289, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, -0x80001, 0xb504, x1, 112, x2) - -inst_45: -// rs1_val == -262145, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0xfffb7fff, -0x40001, -0x8001, x1, 116, x2) - -inst_46: -// rs1_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, -0x20001, 0x55555556, x1, 120, x2) - -inst_47: -// rs1_val == -65537, rs2_val == 524288 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x80000 -TEST_RR_OP(and, x12, x10, x11, 0x80000, -0x10001, 0x80000, x1, 124, x2) - -inst_48: -// rs1_val == -32769, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0xffff3fff, -0x8001, -0x4001, x1, 128, x2) - -inst_49: -// rs1_val == -8193, rs2_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x2001, 0x2, x1, 132, x2) - -inst_50: -// rs1_val == -4097, rs2_val == 32 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x20 -TEST_RR_OP(and, x12, x10, x11, 0x20, -0x1001, 0x20, x1, 136, x2) - -inst_51: -// rs1_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff42fc, -0x801, -0xb504, x1, 140, x2) - -inst_52: -// rs1_val == -513, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fdff, -0x201, -0x80001, x1, 144, x2) - -inst_53: -// rs1_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(and, x12, x10, x11, 0xf7fffeff, -0x101, -0x8000001, x1, 148, x2) - -inst_54: -// rs1_val == -129, rs2_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, -0x81, 0x55555555, x1, 152, x2) - -inst_55: -// rs1_val == -33, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0xfffffddf, -0x21, -0x201, x1, 156, x2) - -inst_56: -// rs1_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x11, 0x3, x1, 160, x2) - -inst_57: -// rs1_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, -0x9, 0x6, x1, 164, x2) - -inst_58: -// rs1_val == -5, rs2_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x5, 0x1, x1, 168, x2) - -inst_59: -// rs1_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0x2, -0xb503, x1, 172, x2) - -inst_60: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x80000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0x80000000, x1, 176, x2) - -inst_61: -// rs2_val == 1073741824, rs1_val == 2048 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x40000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x40000000, x1, 180, x2) - -inst_62: -// rs2_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x20000000 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, -0x4000001, 0x20000000, x1, 184, x2) - -inst_63: -// rs2_val == 268435456, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x10000000, -0x100001, 0x10000000, x1, 188, x2) - -inst_64: -// rs2_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8000000 -TEST_RR_OP(and, x12, x10, x11, 0x8000000, -0xb503, 0x8000000, x1, 192, x2) - -inst_65: -// rs2_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x4000000 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, -0x1, 0x4000000, x1, 196, x2) - -inst_66: -// rs2_val == 33554432, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x2000000, -0x55555555, 0x2000000, x1, 200, x2) - -inst_67: -// rs2_val == 16777216, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x1000000 -TEST_RR_OP(and, x12, x10, x11, 0x1000000, -0x10000001, 0x1000000, x1, 204, x2) - -inst_68: -// rs2_val == 8388608, rs1_val == 268435456 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000000, 0x800000, x1, 208, x2) - -inst_69: -// rs2_val == 4194304, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x400000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x400000, x1, 212, x2) - -inst_70: -// rs2_val == 1048576, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x100000 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x55555555, 0x100000, x1, 216, x2) - -inst_71: -// rs2_val == 262144, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:0x40000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x9, 0x40000, x1, 220, x2) - -inst_72: -// rs2_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x20000 -TEST_RR_OP(and, x12, x10, x11, 0x20000, -0x55555555, 0x20000, x1, 224, x2) - -inst_73: -// rs2_val == 65536, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 228, x2) - -inst_74: -// rs2_val == 32768, rs1_val == 65536 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000, 0x8000, x1, 232, x2) - -inst_75: -// rs2_val == 16384, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4000, x1, 236, x2) - -inst_76: -// rs2_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(and, x12, x10, x11, 0x2000, -0xa, 0x2000, x1, 240, x2) - -inst_77: -// rs2_val == 4096, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1000 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x1000, x1, 244, x2) - -inst_78: -// rs2_val == 2048, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x800 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x800, x1, 248, x2) - -inst_79: -// rs2_val == 1024, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x400, x1, 252, x2) - -inst_80: -// rs2_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x200, x1, 256, x2) - -inst_81: -// rs2_val == 256, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x100, x1, 260, x2) - -inst_82: -// rs2_val == 128, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(and, x12, x10, x11, 0x80, -0x20000001, 0x80, x1, 264, x2) - -inst_83: -// rs2_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x40 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x40, x1, 268, x2) - -inst_84: -// rs2_val == 16, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x10 -TEST_RR_OP(and, x12, x10, x11, 0x10, -0x7, 0x10, x1, 272, x2) - -inst_85: -// rs2_val == 4, rs1_val==-46339 and rs2_val==4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x4, x1, 276, x2) - -inst_86: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x80000000, 0x33333334, x1, 280, x2) - -inst_87: -// rs1_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, 0x20000000, -0xb504, x1, 284, x2) - -inst_88: -// rs1_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000000, 0xb504, x1, 288, x2) - -inst_89: -// rs1_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, 0x4000000, -0xb504, x1, 292, x2) - -inst_90: -// rs1_val == 8388608, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x3fffffff -TEST_RR_OP(and, x12, x10, x11, 0x800000, 0x800000, 0x3fffffff, x1, 296, x2) - -inst_91: -// rs1_val == 2097152, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x200000, 0x200000, -0x9, x1, 300, x2) - -inst_92: -// rs1_val == 524288, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7fffffff -TEST_RR_OP(and, x12, x10, x11, 0x80000, 0x80000, 0x7fffffff, x1, 304, x2) - -inst_93: -// rs1_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x4 -TEST_RR_OP(and, x12, x10, x11, 0x20000, 0x20000, -0x4, x1, 308, x2) - -inst_94: -// rs1_val == 32768, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000, 0x10000000, x1, 312, x2) - -inst_95: -// rs1_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x1000001 -TEST_RR_OP(and, x12, x10, x11, 0x2000, 0x2000, -0x1000001, x1, 316, x2) - -inst_96: -// rs1_val == 1024, rs1_val == rs2_val -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x400, 0x400, 0x400, x1, 320, x2) - -inst_97: -// rs1_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x200, 0x200, -0x20000001, x1, 324, x2) - -inst_98: -// rs1_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x40000001 -TEST_RR_OP(and, x12, x10, x11, 0x40, 0x40, -0x40000001, x1, 328, x2) - -inst_99: -// rs1_val == 32, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x20, 0x2000000, x1, 332, x2) - -inst_100: -// rs1_val == 8, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 336, x2) - -inst_101: -// rs1_val==46341 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 340, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, -0xb503, x1, 344, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 348, x2) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 352, x2) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x6, x1, 356, x2) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 360, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 364, x2) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x4, x1, 368, x2) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb505, 0xb503, x1, 372, x2) - -inst_110: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 376, x2) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 380, x2) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 384, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 388, x2) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 392, x2) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb505, 0xb504, x1, 396, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, -0xb504, x1, 400, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 404, x2) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 408, x2) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, 0x5, x1, 412, x2) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 416, x2) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 420, x2) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb505, 0x3, x1, 424, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0xb505, x1, 428, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 432, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 436, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 440, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x6, x1, 444, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 448, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 452, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0xb503, x1, 456, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 460, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 464, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 468, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 472, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x2, x1, 476, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0xb504, x1, 480, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 484, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 488, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 492, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0x5, x1, 496, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 500, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 504, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0x3, x1, 508, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 512, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 516, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 520, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 524, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666667, 0x6, x1, 528, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 532, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 536, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666667, 0x4, x1, 540, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 544, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 548, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 552, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 556, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 560, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666667, 0x2, x1, 564, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 568, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 572, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 576, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 580, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666667, 0x5, x1, 584, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 588, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 592, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x66666667, 0x3, x1, 596, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 600, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 604, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 608, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 612, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 616, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 620, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 624, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x4, x1, 628, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 632, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 636, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 640, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 644, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 648, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 652, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 656, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 660, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 664, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 668, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x5, x1, 672, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 676, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 680, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 684, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb505, x1, 688, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb503, x1, 692, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 696, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x33333334, x1, 700, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x6, x1, 704, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555555, x1, 708, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 712, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x4, x1, 716, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0xb503, x1, 720, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x6, 0x0, x1, 724, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x66666665, x1, 728, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333332, x1, 732, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555554, x1, 736, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x2, x1, 740, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb504, x1, 744, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb504, x1, 748, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 752, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333333, x1, 756, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x5, x1, 760, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555556, x1, 764, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555555, x1, 768, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x3, x1, 772, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 776, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 780, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 784, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 788, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x6, x1, 792, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 796, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 800, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x4, x1, 804, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 808, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 812, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 816, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 820, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 824, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x2, x1, 828, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 832, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 836, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 840, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 844, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x5, x1, 848, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 852, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 856, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x55555555, 0x3, x1, 860, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 864, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 868, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 872, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 876, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x55555556, 0x6, x1, 880, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 884, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 888, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x4, x1, 892, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 896, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 900, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 904, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 908, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 912, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x2, x1, 916, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 920, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 924, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 928, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 932, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x5, x1, 936, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 940, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 944, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 948, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 952, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb503, x1, 956, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 960, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 964, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x6, x1, 968, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 972, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 976, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x4, x1, 980, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 984, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x0, x1, 988, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 992, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 996, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1000, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1004, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1008, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb504, x1, 1012, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1016, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1020, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1024, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1028, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1032, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1036, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1040, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, -0xb503, x1, 1044, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1048, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1052, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x6, x1, 1056, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1060, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1064, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1068, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1072, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1076, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1080, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1084, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1088, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x2, x1, 1092, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1096, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1100, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1104, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1108, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, 0x5, x1, 1112, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1116, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1120, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0xb503, 0x3, x1, 1124, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, rs1_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1128, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1132, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1136, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1140, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1144, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1148, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1152, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1156, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1160, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1164, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1168, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1172, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1176, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1180, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1184, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1188, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1192, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1196, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1200, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1204, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1208, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1212, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1216, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1220, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1224, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1228, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x6, x1, 1232, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1236, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1240, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x4, x1, 1244, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1248, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1252, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1256, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1260, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1264, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x2, x1, 1268, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1272, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1276, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1280, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1284, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x33333333, 0x5, x1, 1288, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1292, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1296, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x33333333, 0x3, x1, 1300, x2) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 1304, x2) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, -0xb503, x1, 1308, x2) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 1312, x2) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x33333334, x1, 1316, x2) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x6, x1, 1320, x2) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, -0x55555555, x1, 1324, x2) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555556, x1, 1328, x2) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x4, x1, 1332, x2) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0xb503, x1, 1336, x2) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1340, x2) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 1344, x2) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1348, x2) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555554, x1, 1352, x2) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1356, x2) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0xb504, x1, 1360, x2) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, -0xb504, x1, 1364, x2) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x66666666, x1, 1368, x2) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x33333333, x1, 1372, x2) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1376, x2) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1380, x2) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 1384, x2) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1388, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1392, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1396, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1400, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1404, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x6, x1, 1408, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1412, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1416, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x4, x1, 1420, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1424, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1428, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1432, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1436, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1440, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x2, x1, 1444, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1448, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1452, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1456, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1460, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x5, x1, 1464, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1468, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1472, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x3, x1, 1476, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1480, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1484, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1488, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1492, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x6, x1, 1496, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1500, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1504, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x4, x1, 1508, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1512, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 1516, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1520, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1524, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1528, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 1532, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1536, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1540, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1544, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1548, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x55555555, 0x5, x1, 1552, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1556, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1560, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 1564, x2) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0xb505, x1, 1568, x2) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, -0xb503, x1, 1572, x2) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 1576, x2) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1580, x2) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x6, x1, 1584, x2) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x55555555, x1, 1588, x2) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x55555556, x1, 1592, x2) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1596, x2) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 1600, x2) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x0, x1, 1604, x2) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 1608, x2) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x33333332, x1, 1612, x2) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1616, x2) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x2, x1, 1620, x2) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1624, x2) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1628, x2) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x66666666, x1, 1632, x2) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 1636, x2) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x5, x1, 1640, x2) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, -0x55555556, x1, 1644, x2) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x55555555, x1, 1648, x2) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1652, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1656, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1660, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1664, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1668, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1672, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1676, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1680, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1684, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1688, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666665, 0x5, x1, 1692, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1696, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1700, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1704, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1708, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1712, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1716, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1720, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1724, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1728, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1732, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1736, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1740, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1744, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1748, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1752, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1756, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x2, x1, 1760, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1764, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1768, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1772, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1776, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1780, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1784, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1788, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1792, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1796, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1800, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1804, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1808, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x6, x1, 1812, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1816, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1820, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x4, x1, 1824, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1828, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1832, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1836, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1840, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1844, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1848, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1852, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1856, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1860, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1864, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1868, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1872, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1876, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1880, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1884, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1888, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1892, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1896, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1900, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555555, x1, 1904, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1908, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1912, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1916, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1920, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1924, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1928, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1932, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1936, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1940, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1944, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1948, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1952, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1956, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555556, x1, 1960, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1964, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1968, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 1972, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb503, x1, 1976, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 1980, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 1984, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x6, x1, 1988, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 1992, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 1996, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x4, x1, 2000, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb504, 0xb503, x1, 2004, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 2008, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 2012, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 2016, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 2020, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 2024, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2028, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb504, x1, 2032, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 2036, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 2040, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_3) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 0, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 4, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 8, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb505, x1, 12, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 16, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 20, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 24, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x6, x1, 28, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 32, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 36, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x4, x1, 40, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0xb503, x1, 44, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 48, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 52, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 56, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 60, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x2, x1, 64, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb504, x1, 68, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 72, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 76, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 80, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x5, x1, 84, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 88, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 92, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x3, x1, 96, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 100, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 104, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 108, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 112, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666666, 0x6, x1, 116, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 120, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 124, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x4, x1, 128, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 132, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 136, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 140, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 144, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 148, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x2, x1, 152, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 156, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 160, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 164, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 168, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x5, x1, 172, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 176, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 180, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x3, x1, 184, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 188, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 192, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 196, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 200, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x6, x1, 204, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 208, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 212, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 216, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 220, x2) - -inst_584: -// rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x40000, 0x40000, -0x20000001, x1, 224, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x10000001 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x10000001, x1, 228, x2) - -inst_586: -// rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fffd, -0x3, -0x80001, x1, 232, x2) - -inst_587: -// rs2_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:-0x20001 -TEST_RR_OP(and, x12, x10, x11, 0xfffdfff6, -0xa, -0x20001, x1, 236, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_3: - .fill 60*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/andi-01.S deleted file mode 100644 index 3dcd009d2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/andi-01.S +++ /dev/null @@ -1,2850 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the andi instruction of the RISC-V E extension for the andi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",andi) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x11, imm_val == (-2**(12-1)), rs1_val == 8, rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048 -// opcode: andi ; op1:x14; dest:x11; op1val:0x8; immval:-0x800 -TEST_IMM_OP( andi, x11, x14, 0x0, 0x8, -0x800, x1, 0, x8) - -inst_1: -// rs1 == rd, rs1==x2, rd==x2, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: andi ; op1:x2; dest:x2; op1val:0x7fffffff; immval:0x2c -TEST_IMM_OP( andi, x2, x2, 0x2c, 0x7fffffff, 0x2c, x1, 4, x8) - -inst_2: -// rs1==x10, rd==x5, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 4 -// opcode: andi ; op1:x10; dest:x5; op1val:-0x40000001; immval:0x4 -TEST_IMM_OP( andi, x5, x10, 0x4, -0x40000001, 0x4, x1, 8, x8) - -inst_3: -// rs1==x9, rd==x14, rs1_val == -536870913, -// opcode: andi ; op1:x9; dest:x14; op1val:-0x20000001; immval:0x7 -TEST_IMM_OP( andi, x14, x9, 0x7, -0x20000001, 0x7, x1, 12, x8) - -inst_4: -// rs1==x12, rd==x3, rs1_val == -268435457, imm_val == 64 -// opcode: andi ; op1:x12; dest:x3; op1val:-0x10000001; immval:0x40 -TEST_IMM_OP( andi, x3, x12, 0x40, -0x10000001, 0x40, x1, 16, x8) - -inst_5: -// rs1==x4, rd==x7, rs1_val == -134217729, imm_val == -5, rs1_val < 0 and imm_val < 0 -// opcode: andi ; op1:x4; dest:x7; op1val:-0x8000001; immval:-0x5 -TEST_IMM_OP( andi, x7, x4, 0xf7fffffb, -0x8000001, -0x5, x1, 20, x8) - -inst_6: -// rs1==x6, rd==x9, rs1_val == -67108865, -// opcode: andi ; op1:x6; dest:x9; op1val:-0x4000001; immval:0x332 -TEST_IMM_OP( andi, x9, x6, 0x332, -0x4000001, 0x332, x1, 24, x8) - -inst_7: -// rs1==x7, rd==x15, rs1_val == -33554433, -// opcode: andi ; op1:x7; dest:x15; op1val:-0x2000001; immval:0x6 -TEST_IMM_OP( andi, x15, x7, 0x6, -0x2000001, 0x6, x1, 28, x9) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x15, rd==x0, rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x15; dest:x0; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x0, x15, 0, -0x1000001, 0x2, x2, 0, x9) - -inst_9: -// rs1==x3, rd==x6, rs1_val == -8388609, -// opcode: andi ; op1:x3; dest:x6; op1val:-0x800001; immval:0x2 -TEST_IMM_OP( andi, x6, x3, 0x2, -0x800001, 0x2, x2, 4, x9) - -inst_10: -// rs1==x8, rd==x10, rs1_val == -4194305, imm_val == 16 -// opcode: andi ; op1:x8; dest:x10; op1val:-0x400001; immval:0x10 -TEST_IMM_OP( andi, x10, x8, 0x10, -0x400001, 0x10, x2, 8, x9) - -inst_11: -// rs1==x5, rd==x4, rs1_val == -2097153, imm_val == -65 -// opcode: andi ; op1:x5; dest:x4; op1val:-0x200001; immval:-0x41 -TEST_IMM_OP( andi, x4, x5, 0xffdfffbf, -0x200001, -0x41, x2, 12, x9) - -inst_12: -// rs1==x13, rd==x1, rs1_val == -1048577, -// opcode: andi ; op1:x13; dest:x1; op1val:-0x100001; immval:0x6 -TEST_IMM_OP( andi, x1, x13, 0x6, -0x100001, 0x6, x2, 16, x9) - -inst_13: -// rs1==x1, rd==x8, rs1_val == -524289, -// opcode: andi ; op1:x1; dest:x8; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( andi, x8, x1, 0xfff7fffc, -0x80001, -0x4, x2, 20, x9) - -inst_14: -// rs1==x11, rd==x12, rs1_val == -262145, imm_val == (2**(12-1)-1), imm_val == 2047 -// opcode: andi ; op1:x11; dest:x12; op1val:-0x40001; immval:0x7ff -TEST_IMM_OP( andi, x12, x11, 0x7ff, -0x40001, 0x7ff, x2, 24, x9) - -inst_15: -// rs1==x0, rd==x13, rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x0; dest:x13; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x13, x0, 0x0, 0x0, 0x555, x2, 28, x1) - -inst_16: -// rs1_val == -65537, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x10001, 0x334, x2, 32, x1) - -inst_17: -// rs1_val == -32769, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x8001, 0x3, x2, 36, x1) - -inst_18: -// rs1_val == -16385, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x4001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x4001, 0x2, x2, 40, x1) - -inst_19: -// rs1_val == -8193, imm_val == 512 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2001; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x200, -0x2001, 0x200, x2, 44, x1) - -inst_20: -// rs1_val == -4097, imm_val == -1366 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffffeaaa, -0x1001, -0x556, x2, 48, x1) - -inst_21: -// rs1_val == -2049, imm_val == 8 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x801; immval:0x8 -TEST_IMM_OP( andi, x11, x10, 0x8, -0x801, 0x8, x2, 52, x1) - -inst_22: -// rs1_val == -1025, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x401; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, -0x401, 0x332, x2, 56, x1) - -inst_23: -// rs1_val == -513, imm_val == 128 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x201; immval:0x80 -TEST_IMM_OP( andi, x11, x10, 0x80, -0x201, 0x80, x2, 60, x1) - -inst_24: -// rs1_val == -257, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x101, 0x3, x2, 64, x1) - -inst_25: -// rs1_val == -129, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0x81, 0x2d, x2, 68, x1) - -inst_26: -// rs1_val == -65, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffff93, -0x41, -0x2d, x2, 72, x1) - -inst_27: -// rs1_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x21; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffffd3, -0x21, -0x2d, x2, 76, x1) - -inst_28: -// rs1_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x11; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xfffffaaa, -0x11, -0x556, x2, 80, x1) - -inst_29: -// rs1_val == -9, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x9; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x9, 0x334, x2, 84, x1) - -inst_30: -// rs1_val == -5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x5, 0x5, x2, 88, x1) - -inst_31: -// rs1_val == -3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0x3, 0x4, x2, 92, x1) - -inst_32: -// rs1_val == -2, imm_val == -1025 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0xfffffbfe, -0x2, -0x401, x2, 96, x1) - -inst_33: -// imm_val == -513, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x66666465, 0x66666665, -0x201, x2, 100, x1) - -inst_34: -// imm_val == -257, rs1_val == 33554432 -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x101 -TEST_IMM_OP( andi, x11, x10, 0x2000000, 0x2000000, -0x101, x2, 104, x1) - -inst_35: -// imm_val == -129, rs1_val == 65536 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x10000, 0x10000, -0x81, x2, 108, x1) - -inst_36: -// imm_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8; immval:-0x21 -TEST_IMM_OP( andi, x11, x10, 0x8, 0x8, -0x21, x2, 112, x1) - -inst_37: -// imm_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x11 -TEST_IMM_OP( andi, x11, x10, 0x55555546, 0x55555556, -0x11, x2, 116, x1) - -inst_38: -// imm_val == -9, rs1_val == 524288 -// opcode: andi ; op1:x10; dest:x11; op1val:0x80000; immval:-0x9 -TEST_IMM_OP( andi, x11, x10, 0x80000, 0x80000, -0x9, x2, 120, x1) - -inst_39: -// imm_val == -3, rs1_val == 1 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x1, -0x3, x2, 124, x1) - -inst_40: -// imm_val == -2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2, x2, 128, x1) - -inst_41: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: andi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x80000000, 0x0, x2, 132, x1) - -inst_42: -// rs1_val == 1073741824, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x40000000, 0x7ff, x2, 136, x1) - -inst_43: -// rs1_val == 536870912, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20000000, 0x7ff, x2, 140, x1) - -inst_44: -// rs1_val == 268435456, -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10000000, 0x7, x2, 144, x1) - -inst_45: -// rs1_val == 134217728, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000000; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x8000000, 0x200, x2, 148, x1) - -inst_46: -// rs1_val == 67108864, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x4000000, 0x4000000, -0x201, x2, 152, x1) - -inst_47: -// rs1_val == 16777216, -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000000, 0x2, x2, 156, x1) - -inst_48: -// rs1_val == 8388608, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0x800000, 0x800000, -0x401, x2, 160, x1) - -inst_49: -// rs1_val == 4194304, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x400000, 0x0, x2, 164, x1) - -inst_50: -// rs1_val == 2097152, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x200000, 0x0, x2, 168, x1) - -inst_51: -// rs1_val == 1048576, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100000; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x100000, 0x4, x2, 172, x1) - -inst_52: -// rs1_val == 262144, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x40000, 0x40000, -0x7, x2, 176, x1) - -inst_53: -// rs1_val == 131072, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000; immval:-0x5 -TEST_IMM_OP( andi, x11, x10, 0x20000, 0x20000, -0x5, x2, 180, x1) - -inst_54: -// rs1_val == 32768, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x8000, 0x8000, -0x81, x2, 184, x1) - -inst_55: -// rs1_val == 16384, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x4000, 0x4000, -0x7, x2, 188, x1) - -inst_56: -// rs1_val == 8192, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2000, 0x7ff, x2, 192, x1) - -inst_57: -// rs1_val == 4096, imm_val == 32 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000; immval:0x20 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000, 0x20, x2, 196, x1) - -inst_58: -// rs1_val == 2048, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800; immval:-0x41 -TEST_IMM_OP( andi, x11, x10, 0x800, 0x800, -0x41, x2, 200, x1) - -inst_59: -// rs1_val == 1024, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x400, 0x400, 0x666, x2, 204, x1) - -inst_60: -// rs1_val == 512, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x200, 0x200, -0x3, x2, 208, x1) - -inst_61: -// rs1_val == 256, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100; immval:-0x6 -TEST_IMM_OP( andi, x11, x10, 0x100, 0x100, -0x6, x2, 212, x1) - -inst_62: -// rs1_val == 128, -// opcode: andi ; op1:x10; dest:x11; op1val:0x80; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x80, 0x554, x2, 216, x1) - -inst_63: -// rs1_val == 64, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x40, 0x40, 0x556, x2, 220, x1) - -inst_64: -// rs1_val == 32, imm_val == 1024 -// opcode: andi ; op1:x10; dest:x11; op1val:0x20; immval:0x400 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20, 0x400, x2, 224, x1) - -inst_65: -// rs1_val == 16, imm_val == 256 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10; immval:0x100 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10, 0x100, x2, 228, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==1638 -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x666, x2, 232, x1) - -inst_67: -// rs1_val == 2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x10 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x10, x2, 236, x1) - -inst_68: -// imm_val == 1, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x1, x2, 240, x1) - -inst_69: -// rs1_val==46341 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2e, x2, 244, x1) - -inst_70: -// rs1_val==46341 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb505, -0x2c, x2, 248, x1) - -inst_71: -// rs1_val==46341 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x667, x2, 252, x1) - -inst_72: -// rs1_val==46341 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb505, 0x334, x2, 256, x1) - -inst_73: -// rs1_val==46341 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x6, x2, 260, x1) - -inst_74: -// rs1_val==46341 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb001, 0xb505, -0x555, x2, 264, x1) - -inst_75: -// rs1_val==46341 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x556, x2, 268, x1) - -inst_76: -// rs1_val==46341 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x4, x2, 272, x1) - -inst_77: -// rs1_val==46341 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2c, x2, 276, x1) - -inst_78: -// rs1_val==46341 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x0, x2, 280, x1) - -inst_79: -// rs1_val==46341 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x665, x2, 284, x1) - -inst_80: -// rs1_val==46341 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb505, 0x332, x2, 288, x1) - -inst_81: -// rs1_val==46341 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x554, x2, 292, x1) - -inst_82: -// rs1_val==46341 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x2, x2, 296, x1) - -inst_83: -// rs1_val==46341 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x2d, x2, 300, x1) - -inst_84: -// rs1_val==46341 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb501, 0xb505, -0x2d, x2, 304, x1) - -inst_85: -// rs1_val==46341 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb505, 0x666, x2, 308, x1) - -inst_86: -// rs1_val==46341 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x101, 0xb505, 0x333, x2, 312, x1) - -inst_87: -// rs1_val==46341 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x5, x2, 316, x1) - -inst_88: -// rs1_val==46341 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb505, -0x556, x2, 320, x1) - -inst_89: -// rs1_val==46341 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x505, 0xb505, 0x555, x2, 324, x1) - -inst_90: -// rs1_val==46341 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb505, 0x3, x2, 328, x1) - -inst_91: -// rs1_val==-46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2e, x2, 332, x1) - -inst_92: -// rs1_val==-46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb503, -0x2c, x2, 336, x1) - -inst_93: -// rs1_val==-46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x667, x2, 340, x1) - -inst_94: -// rs1_val==-46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb503, 0x334, x2, 344, x1) - -inst_95: -// rs1_val==-46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x6, x2, 348, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa9, -0xb503, -0x555, x2, 352, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x556, x2, 356, x1) - -inst_98: -// rs1_val==-46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x4, x2, 360, x1) - -inst_99: -// rs1_val==-46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2c, x2, 364, x1) - -inst_100: -// rs1_val==-46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x0, x2, 368, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x665, x2, 372, x1) - -inst_102: -// rs1_val==-46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb503, 0x332, x2, 376, x1) - -inst_103: -// rs1_val==-46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x554, x2, 380, x1) - -inst_104: -// rs1_val==-46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x2, x2, 384, x1) - -inst_105: -// rs1_val==-46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0xb503, 0x2d, x2, 388, x1) - -inst_106: -// rs1_val==-46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad1, -0xb503, -0x2d, x2, 392, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb503, 0x666, x2, 396, x1) - -inst_108: -// rs1_val==-46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x231, -0xb503, 0x333, x2, 400, x1) - -inst_109: -// rs1_val==-46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, -0xb503, 0x5, x2, 404, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb503, -0x556, x2, 408, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x55, -0xb503, 0x555, x2, 412, x1) - -inst_112: -// rs1_val==-46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, -0xb503, 0x3, x2, 416, x1) - -inst_113: -// rs1_val==1717986919 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666667, 0x2e, x2, 420, x1) - -inst_114: -// rs1_val==1717986919 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666667, -0x2c, x2, 424, x1) - -inst_115: -// rs1_val==1717986919 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x667, 0x66666667, 0x667, x2, 428, x1) - -inst_116: -// rs1_val==1717986919 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666667, 0x334, x2, 432, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666667, 0x6, x2, 436, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666223, 0x66666667, -0x555, x2, 440, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666667, 0x556, x2, 444, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666667, 0x4, x2, 448, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666667, 0x2c, x2, 452, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666667, 0x0, x2, 456, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666667, 0x665, x2, 460, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666667, 0x332, x2, 464, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666667, 0x554, x2, 468, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666667, 0x2, x2, 472, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666667, 0x2d, x2, 476, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666643, 0x66666667, -0x2d, x2, 480, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666667, 0x666, x2, 484, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x66666667, 0x333, x2, 488, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666667, 0x5, x2, 492, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666667, -0x556, x2, 496, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666667, 0x555, x2, 500, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x66666667, 0x3, x2, 504, x1) - -inst_135: -// rs1_val==858993460 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2e, x2, 508, x1) - -inst_136: -// rs1_val==858993460 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333314, 0x33333334, -0x2c, x2, 512, x1) - -inst_137: -// rs1_val==858993460 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x667, x2, 516, x1) - -inst_138: -// rs1_val==858993460 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, 0x33333334, 0x334, x2, 520, x1) - -inst_139: -// rs1_val==858993460 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x6, x2, 524, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x555, x2, 528, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x556, x2, 532, x1) - -inst_142: -// rs1_val==858993460 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x4, x2, 536, x1) - -inst_143: -// rs1_val==858993460 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2c, x2, 540, x1) - -inst_144: -// rs1_val==858993460 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x0, x2, 544, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x665, x2, 548, x1) - -inst_146: -// rs1_val==858993460 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x332, x2, 552, x1) - -inst_147: -// rs1_val==858993460 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x554, x2, 556, x1) - -inst_148: -// rs1_val==858993460 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x2, x2, 560, x1) - -inst_149: -// rs1_val==858993460 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2d, x2, 564, x1) - -inst_150: -// rs1_val==858993460 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333334, -0x2d, x2, 568, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x666, x2, 572, x1) - -inst_152: -// rs1_val==858993460 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x333, x2, 576, x1) - -inst_153: -// rs1_val==858993460 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x5, x2, 580, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x556, x2, 584, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x555, x2, 588, x1) - -inst_156: -// rs1_val==858993460 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x3, x2, 592, x1) - -inst_157: -// rs1_val==6 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x2e, x2, 596, x1) - -inst_158: -// rs1_val==6 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, -0x2c, x2, 600, x1) - -inst_159: -// rs1_val==6 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x667, x2, 604, x1) - -inst_160: -// rs1_val==6 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x334, x2, 608, x1) - -inst_161: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x6, x2, 612, x1) - -inst_162: -// rs1_val==6 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x555, x2, 616, x1) - -inst_163: -// rs1_val==6 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x556, x2, 620, x1) - -inst_164: -// rs1_val==6 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x4, x2, 624, x1) - -inst_165: -// rs1_val==6 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2c, x2, 628, x1) - -inst_166: -// rs1_val==6 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x6, 0x0, x2, 632, x1) - -inst_167: -// rs1_val==6 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x665, x2, 636, x1) - -inst_168: -// rs1_val==6 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x332, x2, 640, x1) - -inst_169: -// rs1_val==6 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x554, x2, 644, x1) - -inst_170: -// rs1_val==6 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x2, x2, 648, x1) - -inst_171: -// rs1_val==6 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2d, x2, 652, x1) - -inst_172: -// rs1_val==6 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x2d, x2, 656, x1) - -inst_173: -// rs1_val==6 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x666, x2, 660, x1) - -inst_174: -// rs1_val==6 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x333, x2, 664, x1) - -inst_175: -// rs1_val==6 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x5, x2, 668, x1) - -inst_176: -// rs1_val==6 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x556, x2, 672, x1) - -inst_177: -// rs1_val==6 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x555, x2, 676, x1) - -inst_178: -// rs1_val==6 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x3, x2, 680, x1) - -inst_179: -// rs1_val==-1431655765 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555555, 0x2e, x2, 684, x1) - -inst_180: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555555, -0x2c, x2, 688, x1) - -inst_181: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x667, x2, 692, x1) - -inst_182: -// rs1_val==-1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555555, 0x334, x2, 696, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x6, x2, 700, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaab, -0x55555555, -0x555, x2, 704, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x556, x2, 708, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x4, x2, 712, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555555, 0x2c, x2, 716, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x0, x2, 720, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, -0x55555555, 0x665, x2, 724, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x332, x2, 728, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x554, x2, 732, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x2, x2, 736, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x29, -0x55555555, 0x2d, x2, 740, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa83, -0x55555555, -0x2d, x2, 744, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x666, x2, 748, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x333, x2, 752, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x5, x2, 756, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555555, -0x556, x2, 760, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x555, x2, 764, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x55555555, 0x3, x2, 768, x1) - -inst_201: -// rs1_val==1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x2e, x2, 772, x1) - -inst_202: -// rs1_val==1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555556, -0x2c, x2, 776, x1) - -inst_203: -// rs1_val==1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x667, x2, 780, x1) - -inst_204: -// rs1_val==1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555556, 0x334, x2, 784, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x6, x2, 788, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x555, x2, 792, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x556, 0x55555556, 0x556, x2, 796, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x4, x2, 800, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2c, x2, 804, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555556, 0x0, x2, 808, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555556, 0x665, x2, 812, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x332, x2, 816, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x554, x2, 820, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x2, x2, 824, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2d, x2, 828, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555552, 0x55555556, -0x2d, x2, 832, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x666, x2, 836, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x333, x2, 840, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x5, x2, 844, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x556, x2, 848, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x555, x2, 852, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x3, x2, 856, x1) - -inst_223: -// rs1_val==4 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2e, x2, 860, x1) - -inst_224: -// rs1_val==4 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, -0x2c, x2, 864, x1) - -inst_225: -// rs1_val==4 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x667, x2, 868, x1) - -inst_226: -// rs1_val==4 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x334, x2, 872, x1) - -inst_227: -// rs1_val==4 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x6, x2, 876, x1) - -inst_228: -// rs1_val==4 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x555, x2, 880, x1) - -inst_229: -// rs1_val==4 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x556, x2, 884, x1) - -inst_230: -// rs1_val==4 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x4, x2, 888, x1) - -inst_231: -// rs1_val==4 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2c, x2, 892, x1) - -inst_232: -// rs1_val==4 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x0, x2, 896, x1) - -inst_233: -// rs1_val==4 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x665, x2, 900, x1) - -inst_234: -// rs1_val==4 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x332, x2, 904, x1) - -inst_235: -// rs1_val==4 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x554, x2, 908, x1) - -inst_236: -// rs1_val==4 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x2, x2, 912, x1) - -inst_237: -// rs1_val==4 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2d, x2, 916, x1) - -inst_238: -// rs1_val==4 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x2d, x2, 920, x1) - -inst_239: -// rs1_val==4 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x333, x2, 924, x1) - -inst_240: -// rs1_val==4 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x5, x2, 928, x1) - -inst_241: -// rs1_val==4 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x556, x2, 932, x1) - -inst_242: -// rs1_val==4 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x555, x2, 936, x1) - -inst_243: -// rs1_val==4 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x3, x2, 940, x1) - -inst_244: -// rs1_val==46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2e, x2, 944, x1) - -inst_245: -// rs1_val==46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb503, -0x2c, x2, 948, x1) - -inst_246: -// rs1_val==46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x403, 0xb503, 0x667, x2, 952, x1) - -inst_247: -// rs1_val==46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb503, 0x334, x2, 956, x1) - -inst_248: -// rs1_val==46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x6, x2, 960, x1) - -inst_249: -// rs1_val==46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb003, 0xb503, -0x555, x2, 964, x1) - -inst_250: -// rs1_val==46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x502, 0xb503, 0x556, x2, 968, x1) - -inst_251: -// rs1_val==46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x4, x2, 972, x1) - -inst_252: -// rs1_val==46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x2c, x2, 976, x1) - -inst_253: -// rs1_val==46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x0, x2, 980, x1) - -inst_254: -// rs1_val==46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x401, 0xb503, 0x665, x2, 984, x1) - -inst_255: -// rs1_val==46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x102, 0xb503, 0x332, x2, 988, x1) - -inst_256: -// rs1_val==46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x500, 0xb503, 0x554, x2, 992, x1) - -inst_257: -// rs1_val==46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2, x2, 996, x1) - -inst_258: -// rs1_val==46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x2d, x2, 1000, x1) - -inst_259: -// rs1_val==46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb503, 0xb503, -0x2d, x2, 1004, x1) - -inst_260: -// rs1_val==46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x402, 0xb503, 0x666, x2, 1008, x1) - -inst_261: -// rs1_val==46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x103, 0xb503, 0x333, x2, 1012, x1) - -inst_262: -// rs1_val==46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x5, x2, 1016, x1) - -inst_263: -// rs1_val==46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb002, 0xb503, -0x556, x2, 1020, x1) - -inst_264: -// rs1_val==46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x501, 0xb503, 0x555, x2, 1024, x1) - -inst_265: -// rs1_val==46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0xb503, 0x3, x2, 1028, x1) - -inst_266: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2e, x2, 1032, x1) - -inst_267: -// rs1_val==0 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2c, x2, 1036, x1) - -inst_268: -// rs1_val==0 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x667, x2, 1040, x1) - -inst_269: -// rs1_val==0 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x334, x2, 1044, x1) - -inst_270: -// rs1_val==0 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x6, x2, 1048, x1) - -inst_271: -// rs1_val==0 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x555, x2, 1052, x1) - -inst_272: -// rs1_val==0 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x556, x2, 1056, x1) - -inst_273: -// rs1_val==0 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x4, x2, 1060, x1) - -inst_274: -// rs1_val==0 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2c, x2, 1064, x1) - -inst_275: -// rs1_val==0 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x0, x2, 1068, x1) - -inst_276: -// rs1_val==0 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x665, x2, 1072, x1) - -inst_277: -// rs1_val==0 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x332, x2, 1076, x1) - -inst_278: -// rs1_val==0 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x554, x2, 1080, x1) - -inst_279: -// rs1_val==0 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2, x2, 1084, x1) - -inst_280: -// rs1_val==0 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2d, x2, 1088, x1) - -inst_281: -// rs1_val==0 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2d, x2, 1092, x1) - -inst_282: -// rs1_val==0 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x666, x2, 1096, x1) - -inst_283: -// rs1_val==0 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x333, x2, 1100, x1) - -inst_284: -// rs1_val==0 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x5, x2, 1104, x1) - -inst_285: -// rs1_val==0 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x556, x2, 1108, x1) - -inst_286: -// rs1_val==0 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x555, x2, 1112, x1) - -inst_287: -// rs1_val==0 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x3, x2, 1116, x1) - -inst_288: -// rs1_val==1717986917 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2e, x2, 1120, x1) - -inst_289: -// rs1_val==1717986917 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666665, -0x2c, x2, 1124, x1) - -inst_290: -// rs1_val==1717986917 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x667, x2, 1128, x1) - -inst_291: -// rs1_val==1717986917 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666665, 0x334, x2, 1132, x1) - -inst_292: -// rs1_val==1717986917 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x6, x2, 1136, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666221, 0x66666665, -0x555, x2, 1140, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x556, x2, 1144, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x4, x2, 1148, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2c, x2, 1152, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x0, x2, 1156, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x665, x2, 1160, x1) - -inst_299: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x555, x2, 1164, x1) - -inst_300: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x556, x2, 1168, x1) - -inst_301: -// rs1_val==-1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x4, x2, 1172, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2c, x2, 1176, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x0, x2, 1180, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x665, x2, 1184, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x332, x2, 1188, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x554, x2, 1192, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x2, x2, 1196, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2d, x2, 1200, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa82, -0x55555556, -0x2d, x2, 1204, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x666, x2, 1208, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x333, x2, 1212, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x5, x2, 1216, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x556, x2, 1220, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x555, x2, 1224, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x3, x2, 1228, x1) - -inst_316: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2e, x2, 1232, x1) - -inst_317: -// rs1_val==1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555555, -0x2c, x2, 1236, x1) - -inst_318: -// rs1_val==1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x667, x2, 1240, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555555, 0x334, x2, 1244, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x6, x2, 1248, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555001, 0x55555555, -0x555, x2, 1252, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x556, x2, 1256, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x4, x2, 1260, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2c, x2, 1264, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x0, x2, 1268, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x665, x2, 1272, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555555, 0x332, x2, 1276, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x554, x2, 1280, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x2, x2, 1284, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x2d, x2, 1288, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555551, 0x55555555, -0x2d, x2, 1292, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555555, 0x666, x2, 1296, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x55555555, 0x333, x2, 1300, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x5, x2, 1304, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555555, -0x556, x2, 1308, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, 0x55555555, 0x555, x2, 1312, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x55555555, 0x3, x2, 1316, x1) - -inst_338: -// rs1_val==3 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2e, x2, 1320, x1) - -inst_339: -// rs1_val==3 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, -0x2c, x2, 1324, x1) - -inst_340: -// rs1_val==3 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x667, x2, 1328, x1) - -inst_341: -// rs1_val==3 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x334, x2, 1332, x1) - -inst_342: -// rs1_val==3 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x6, x2, 1336, x1) - -inst_343: -// rs1_val==3 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x555, x2, 1340, x1) - -inst_344: -// rs1_val==3 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x556, x2, 1344, x1) - -inst_345: -// rs1_val==3 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x4, x2, 1348, x1) - -inst_346: -// rs1_val==3 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x2c, x2, 1352, x1) - -inst_347: -// rs1_val==3 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x0, x2, 1356, x1) - -inst_348: -// rs1_val==3 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x665, x2, 1360, x1) - -inst_349: -// rs1_val==3 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x332, x2, 1364, x1) - -inst_350: -// rs1_val==3 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x554, x2, 1368, x1) - -inst_351: -// rs1_val==3 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2, x2, 1372, x1) - -inst_352: -// rs1_val==3 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x2d, x2, 1376, x1) - -inst_353: -// rs1_val==3 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x2d, x2, 1380, x1) - -inst_354: -// rs1_val==3 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x666, x2, 1384, x1) - -inst_355: -// rs1_val==3 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x333, x2, 1388, x1) - -inst_356: -// rs1_val==3 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x5, x2, 1392, x1) - -inst_357: -// rs1_val==3 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, -0x556, x2, 1396, x1) - -inst_358: -// rs1_val==3 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x555, x2, 1400, x1) - -inst_359: -// rs1_val==3 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x3, x2, 1404, x1) - -inst_360: -// rs1_val==1717986917 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x66666665, 0x332, x2, 1408, x1) - -inst_361: -// rs1_val==1717986917 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x554, x2, 1412, x1) - -inst_362: -// rs1_val==1717986917 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x2, x2, 1416, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666665, 0x2d, x2, 1420, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666641, 0x66666665, -0x2d, x2, 1424, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666665, 0x666, x2, 1428, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x66666665, 0x333, x2, 1432, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666665, 0x5, x2, 1436, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666220, 0x66666665, -0x556, x2, 1440, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666665, 0x555, x2, 1444, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x3, x2, 1448, x1) - -inst_371: -// rs1_val==858993458 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333332, 0x2e, x2, 1452, x1) - -inst_372: -// rs1_val==858993458 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333332, -0x2c, x2, 1456, x1) - -inst_373: -// rs1_val==858993458 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x667, x2, 1460, x1) - -inst_374: -// rs1_val==858993458 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333332, 0x334, x2, 1464, x1) - -inst_375: -// rs1_val==858993458 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x6, x2, 1468, x1) - -inst_376: -// rs1_val==858993458 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x555, x2, 1472, x1) - -inst_377: -// rs1_val==858993458 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333332, 0x556, x2, 1476, x1) - -inst_378: -// rs1_val==858993458 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x4, x2, 1480, x1) - -inst_379: -// rs1_val==858993458 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2c, x2, 1484, x1) - -inst_380: -// rs1_val==858993458 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x0, x2, 1488, x1) - -inst_381: -// rs1_val==858993458 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x33333332, 0x665, x2, 1492, x1) - -inst_382: -// rs1_val==858993458 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x332, x2, 1496, x1) - -inst_383: -// rs1_val==858993458 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x554, x2, 1500, x1) - -inst_384: -// rs1_val==858993458 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x2, x2, 1504, x1) - -inst_385: -// rs1_val==858993458 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2d, x2, 1508, x1) - -inst_386: -// rs1_val==858993458 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333312, 0x33333332, -0x2d, x2, 1512, x1) - -inst_387: -// rs1_val==858993458 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x666, x2, 1516, x1) - -inst_388: -// rs1_val==858993458 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x333, x2, 1520, x1) - -inst_389: -// rs1_val==858993458 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x5, x2, 1524, x1) - -inst_390: -// rs1_val==858993458 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x556, x2, 1528, x1) - -inst_391: -// rs1_val==858993458 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x555, x2, 1532, x1) - -inst_392: -// rs1_val==858993458 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x3, x2, 1536, x1) - -inst_393: -// rs1_val==1431655764 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2e, x2, 1540, x1) - -inst_394: -// rs1_val==1431655764 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555554, -0x2c, x2, 1544, x1) - -inst_395: -// rs1_val==1431655764 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x667, x2, 1548, x1) - -inst_396: -// rs1_val==1431655764 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555554, 0x334, x2, 1552, x1) - -inst_397: -// rs1_val==1431655764 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x6, x2, 1556, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x555, x2, 1560, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x556, x2, 1564, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x4, x2, 1568, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2c, x2, 1572, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x0, x2, 1576, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x665, x2, 1580, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x332, x2, 1584, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x554, x2, 1588, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x2, x2, 1592, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2d, x2, 1596, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555550, 0x55555554, -0x2d, x2, 1600, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x666, x2, 1604, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x333, x2, 1608, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x5, x2, 1612, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x556, x2, 1616, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x555, x2, 1620, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x3, x2, 1624, x1) - -inst_415: -// rs1_val==2 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2e, x2, 1628, x1) - -inst_416: -// rs1_val==2 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, -0x2c, x2, 1632, x1) - -inst_417: -// rs1_val==2 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x667, x2, 1636, x1) - -inst_418: -// rs1_val==2 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x334, x2, 1640, x1) - -inst_419: -// rs1_val==2 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x6, x2, 1644, x1) - -inst_420: -// rs1_val==2 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x555, x2, 1648, x1) - -inst_421: -// rs1_val==2 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x556, x2, 1652, x1) - -inst_422: -// rs1_val==2 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x4, x2, 1656, x1) - -inst_423: -// rs1_val==2 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2c, x2, 1660, x1) - -inst_424: -// rs1_val==2 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x0, x2, 1664, x1) - -inst_425: -// rs1_val==2 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x665, x2, 1668, x1) - -inst_426: -// rs1_val==2 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x332, x2, 1672, x1) - -inst_427: -// rs1_val==2 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x554, x2, 1676, x1) - -inst_428: -// rs1_val==2 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2, x2, 1680, x1) - -inst_429: -// rs1_val==2 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2d, x2, 1684, x1) - -inst_430: -// rs1_val==2 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x2d, x2, 1688, x1) - -inst_431: -// rs1_val==2 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x666, x2, 1692, x1) - -inst_432: -// rs1_val==2 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x333, x2, 1696, x1) - -inst_433: -// rs1_val==2 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x5, x2, 1700, x1) - -inst_434: -// rs1_val==2 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x556, x2, 1704, x1) - -inst_435: -// rs1_val==2 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x555, x2, 1708, x1) - -inst_436: -// rs1_val==2 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x3, x2, 1712, x1) - -inst_437: -// rs1_val==46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2e, x2, 1716, x1) - -inst_438: -// rs1_val==46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb504, -0x2c, x2, 1720, x1) - -inst_439: -// rs1_val==46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x667, x2, 1724, x1) - -inst_440: -// rs1_val==46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb504, 0x334, x2, 1728, x1) - -inst_441: -// rs1_val==46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x6, x2, 1732, x1) - -inst_442: -// rs1_val==46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x555, x2, 1736, x1) - -inst_443: -// rs1_val==46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x556, x2, 1740, x1) - -inst_444: -// rs1_val==46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x4, x2, 1744, x1) - -inst_445: -// rs1_val==46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2c, x2, 1748, x1) - -inst_446: -// rs1_val==46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x0, x2, 1752, x1) - -inst_447: -// rs1_val==46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x665, x2, 1756, x1) - -inst_448: -// rs1_val==46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x332, x2, 1760, x1) - -inst_449: -// rs1_val==46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x554, x2, 1764, x1) - -inst_450: -// rs1_val==46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x2, x2, 1768, x1) - -inst_451: -// rs1_val==46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2d, x2, 1772, x1) - -inst_452: -// rs1_val==46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb504, -0x2d, x2, 1776, x1) - -inst_453: -// rs1_val==46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x666, x2, 1780, x1) - -inst_454: -// rs1_val==46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x333, x2, 1784, x1) - -inst_455: -// rs1_val==46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x5, x2, 1788, x1) - -inst_456: -// rs1_val==46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x556, x2, 1792, x1) - -inst_457: -// rs1_val==46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x555, x2, 1796, x1) - -inst_458: -// rs1_val==46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x3, x2, 1800, x1) - -inst_459: -// rs1_val==-46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2e, x2, 1804, x1) - -inst_460: -// rs1_val==-46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb504, -0x2c, x2, 1808, x1) - -inst_461: -// rs1_val==-46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x667, x2, 1812, x1) - -inst_462: -// rs1_val==-46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb504, 0x334, x2, 1816, x1) - -inst_463: -// rs1_val==-46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x6, x2, 1820, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x555, x2, 1824, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x556, x2, 1828, x1) - -inst_466: -// rs1_val==-46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x4, x2, 1832, x1) - -inst_467: -// rs1_val==-46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2c, x2, 1836, x1) - -inst_468: -// rs1_val==-46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x0, x2, 1840, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x665, x2, 1844, x1) - -inst_470: -// rs1_val==-46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x332, x2, 1848, x1) - -inst_471: -// rs1_val==-46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x554, x2, 1852, x1) - -inst_472: -// rs1_val==-46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x2, x2, 1856, x1) - -inst_473: -// rs1_val==-46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2d, x2, 1860, x1) - -inst_474: -// rs1_val==-46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad0, -0xb504, -0x2d, x2, 1864, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x666, x2, 1868, x1) - -inst_476: -// rs1_val==-46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x333, x2, 1872, x1) - -inst_477: -// rs1_val==-46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x5, x2, 1876, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x556, x2, 1880, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x555, x2, 1884, x1) - -inst_480: -// rs1_val==-46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x3, x2, 1888, x1) - -inst_481: -// rs1_val==1717986918 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666666, 0x2e, x2, 1892, x1) - -inst_482: -// rs1_val==1717986918 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666666, -0x2c, x2, 1896, x1) - -inst_483: -// rs1_val==1717986918 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x667, x2, 1900, x1) - -inst_484: -// rs1_val==1717986918 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666666, 0x334, x2, 1904, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666666, 0x6, x2, 1908, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x555, x2, 1912, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666666, 0x556, x2, 1916, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x4, x2, 1920, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2c, x2, 1924, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666666, 0x0, x2, 1928, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666666, 0x665, x2, 1932, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x332, x2, 1936, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x554, x2, 1940, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x2, x2, 1944, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2d, x2, 1948, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666642, 0x66666666, -0x2d, x2, 1952, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x666, x2, 1956, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x333, x2, 1960, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x5, x2, 1964, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x556, x2, 1968, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x555, x2, 1972, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x3, x2, 1976, x1) - -inst_503: -// rs1_val==858993459 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333333, 0x2e, x2, 1980, x1) - -inst_504: -// rs1_val==858993459 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333333, -0x2c, x2, 1984, x1) - -inst_505: -// rs1_val==858993459 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x33333333, 0x667, x2, 1988, x1) - -inst_506: -// rs1_val==858993459 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333333, 0x334, x2, 1992, x1) - -inst_507: -// rs1_val==858993459 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x6, x2, 1996, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333223, 0x33333333, -0x555, x2, 2000, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333333, 0x556, x2, 2004, x1) - -inst_510: -// rs1_val==858993459 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x4, x2, 2008, x1) - -inst_511: -// rs1_val==858993459 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333333, 0x2c, x2, 2012, x1) - -inst_512: -// rs1_val==858993459 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x0, x2, 2016, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x33333333, 0x665, x2, 2020, x1) - -inst_514: -// rs1_val==858993459 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333333, 0x332, x2, 2024, x1) - -inst_515: -// rs1_val==858993459 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333333, 0x554, x2, 2028, x1) - -inst_516: -// rs1_val==858993459 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x2, x2, 2032, x1) - -inst_517: -// rs1_val==858993459 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x21, 0x33333333, 0x2d, x2, 2036, x1) - -inst_518: -// rs1_val==858993459 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333313, 0x33333333, -0x2d, x2, 2040, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333333, 0x666, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==858993459 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x333, 0x33333333, 0x333, x2, 0, x1) - -inst_521: -// rs1_val==858993459 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x33333333, 0x5, x2, 4, x1) - -inst_522: -// rs1_val==858993459 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333333, -0x556, x2, 8, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x33333333, 0x555, x2, 12, x1) - -inst_524: -// rs1_val==858993459 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x33333333, 0x3, x2, 16, x1) - -inst_525: -// rs1_val==5 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2e, x2, 20, x1) - -inst_526: -// rs1_val==5 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2c, x2, 24, x1) - -inst_527: -// rs1_val==5 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x667, x2, 28, x1) - -inst_528: -// rs1_val==5 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x334, x2, 32, x1) - -inst_529: -// rs1_val==5 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x6, x2, 36, x1) - -inst_530: -// rs1_val==5 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x555, x2, 40, x1) - -inst_531: -// rs1_val==5 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x556, x2, 44, x1) - -inst_532: -// rs1_val==5 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x4, x2, 48, x1) - -inst_533: -// rs1_val==5 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2c, x2, 52, x1) - -inst_534: -// rs1_val==5 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x0, x2, 56, x1) - -inst_535: -// rs1_val==5 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x665, x2, 60, x1) - -inst_536: -// rs1_val==5 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x332, x2, 64, x1) - -inst_537: -// rs1_val==5 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x554, x2, 68, x1) - -inst_538: -// rs1_val==5 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x2, x2, 72, x1) - -inst_539: -// rs1_val==5 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x2d, x2, 76, x1) - -inst_540: -// rs1_val==5 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x2d, x2, 80, x1) - -inst_541: -// rs1_val==5 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x666, x2, 84, x1) - -inst_542: -// rs1_val==5 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x333, x2, 88, x1) - -inst_543: -// rs1_val==5 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x5, x2, 92, x1) - -inst_544: -// rs1_val==5 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, -0x556, x2, 96, x1) - -inst_545: -// rs1_val==5 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x555, x2, 100, x1) - -inst_546: -// rs1_val==5 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x3, x2, 104, x1) - -inst_547: -// rs1_val==-1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555556, 0x2e, x2, 108, x1) - -inst_548: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555556, -0x2c, x2, 112, x1) - -inst_549: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x667, x2, 116, x1) - -inst_550: -// rs1_val==-1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x334, x2, 120, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x6, x2, 124, x1) - -inst_552: -// rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x1000001, 0x2, x2, 128, x1) - -inst_553: -// rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x20001; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, -0x20001, 0x555, x2, 132, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 34*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/auipc-01.S deleted file mode 100644 index 87eed4cd9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/auipc-01.S +++ /dev/null @@ -1,390 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the auipc instruction of the RISC-V E extension for the auipc covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",auipc) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rd==x9, imm_val == ((2**20)-1), imm_val > 0 -// opcode: auipc ; dest:x9; immval:0xfffff -TEST_AUIPC(auipc, x9, -0x1000, 0xfffff, x2, 0, x3) - -inst_1: -// rd==x6, imm_val == 524287, -// opcode: auipc ; dest:x6; immval:0x7ffff -TEST_AUIPC(auipc, x6, 0x7ffff000, 0x7ffff, x2, 4, x3) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: auipc ; dest:x1; immval:0xbffff -TEST_AUIPC(auipc, x1, -0x40001000, 0xbffff, x2, 8, x3) - -inst_3: -// rd==x5, imm_val == 917503, -// opcode: auipc ; dest:x5; immval:0xdffff -TEST_AUIPC(auipc, x5, -0x20001000, 0xdffff, x2, 12, x3) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: auipc ; dest:x14; immval:0xeffff -TEST_AUIPC(auipc, x14, -0x10001000, 0xeffff, x2, 16, x3) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: auipc ; dest:x7; immval:0xf7fff -TEST_AUIPC(auipc, x7, -0x8001000, 0xf7fff, x2, 20, x3) - -inst_6: -// rd==x15, imm_val == 1032191, -// opcode: auipc ; dest:x15; immval:0xfbfff -TEST_AUIPC(auipc, x15, -0x4001000, 0xfbfff, x2, 24, x3) - -inst_7: -// rd==x10, imm_val == 1040383, -// opcode: auipc ; dest:x10; immval:0xfdfff -TEST_AUIPC(auipc, x10, -0x2001000, 0xfdfff, x2, 28, x3) - -inst_8: -// rd==x12, imm_val == 1044479, -// opcode: auipc ; dest:x12; immval:0xfefff -TEST_AUIPC(auipc, x12, -0x1001000, 0xfefff, x2, 32, x3) - -inst_9: -// rd==x0, imm_val == 1046527, -// opcode: auipc ; dest:x0; immval:0xff7ff -TEST_AUIPC(auipc, x0, 0, 0xff7ff, x2, 36, x3) - -inst_10: -// rd==x8, imm_val == 1047551, -// opcode: auipc ; dest:x8; immval:0xffbff -TEST_AUIPC(auipc, x8, -0x401000, 0xffbff, x2, 40, x3) - -inst_11: -// rd==x4, imm_val == 1048063, -// opcode: auipc ; dest:x4; immval:0xffdff -TEST_AUIPC(auipc, x4, -0x201000, 0xffdff, x2, 44, x3) - -inst_12: -// rd==x13, imm_val == 1048319, -// opcode: auipc ; dest:x13; immval:0xffeff -TEST_AUIPC(auipc, x13, -0x101000, 0xffeff, x2, 48, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x3, imm_val == 1048447, -// opcode: auipc ; dest:x3; immval:0xfff7f -TEST_AUIPC(auipc, x3, -0x81000, 0xfff7f, x1, 0, x4) - -inst_14: -// rd==x11, imm_val == 1048511, -// opcode: auipc ; dest:x11; immval:0xfffbf -TEST_AUIPC(auipc, x11, -0x41000, 0xfffbf, x1, 4, x4) - -inst_15: -// rd==x2, imm_val == 1048543, -// opcode: auipc ; dest:x2; immval:0xfffdf -TEST_AUIPC(auipc, x2, -0x21000, 0xfffdf, x1, 8, x4) - -inst_16: -// imm_val == 1048559, -// opcode: auipc ; dest:x10; immval:0xfffef -TEST_AUIPC(auipc, x10, -0x11000, 0xfffef, x1, 12, x4) - -inst_17: -// imm_val == 1048567, -// opcode: auipc ; dest:x10; immval:0xffff7 -TEST_AUIPC(auipc, x10, -0x9000, 0xffff7, x1, 16, x4) - -inst_18: -// imm_val == 1048571, -// opcode: auipc ; dest:x10; immval:0xffffb -TEST_AUIPC(auipc, x10, -0x5000, 0xffffb, x1, 20, x4) - -inst_19: -// imm_val == 1048573, -// opcode: auipc ; dest:x10; immval:0xffffd -TEST_AUIPC(auipc, x10, -0x3000, 0xffffd, x1, 24, x4) - -inst_20: -// imm_val == 1048574, -// opcode: auipc ; dest:x10; immval:0xffffe -TEST_AUIPC(auipc, x10, -0x2000, 0xffffe, x1, 28, x4) - -inst_21: -// imm_val == 524288, -// opcode: auipc ; dest:x10; immval:0x80000 -TEST_AUIPC(auipc, x10, -0x80000000, 0x80000, x1, 32, x4) - -inst_22: -// imm_val == 262144, -// opcode: auipc ; dest:x10; immval:0x40000 -TEST_AUIPC(auipc, x10, 0x40000000, 0x40000, x1, 36, x4) - -inst_23: -// imm_val == 131072, -// opcode: auipc ; dest:x10; immval:0x20000 -TEST_AUIPC(auipc, x10, 0x20000000, 0x20000, x1, 40, x4) - -inst_24: -// imm_val == 65536, -// opcode: auipc ; dest:x10; immval:0x10000 -TEST_AUIPC(auipc, x10, 0x10000000, 0x10000, x1, 44, x4) - -inst_25: -// imm_val == 32768, -// opcode: auipc ; dest:x10; immval:0x8000 -TEST_AUIPC(auipc, x10, 0x8000000, 0x8000, x1, 48, x4) - -inst_26: -// imm_val == 16384, -// opcode: auipc ; dest:x10; immval:0x4000 -TEST_AUIPC(auipc, x10, 0x4000000, 0x4000, x1, 52, x4) - -inst_27: -// imm_val == 8192, -// opcode: auipc ; dest:x10; immval:0x2000 -TEST_AUIPC(auipc, x10, 0x2000000, 0x2000, x1, 56, x4) - -inst_28: -// imm_val == 4096, -// opcode: auipc ; dest:x10; immval:0x1000 -TEST_AUIPC(auipc, x10, 0x1000000, 0x1000, x1, 60, x4) - -inst_29: -// imm_val == 2048, -// opcode: auipc ; dest:x10; immval:0x800 -TEST_AUIPC(auipc, x10, 0x800000, 0x800, x1, 64, x4) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: auipc ; dest:x10; immval:0x400 -TEST_AUIPC(auipc, x10, 0x400000, 0x400, x1, 68, x4) - -inst_31: -// imm_val == 512, -// opcode: auipc ; dest:x10; immval:0x200 -TEST_AUIPC(auipc, x10, 0x200000, 0x200, x1, 72, x4) - -inst_32: -// imm_val == 256, -// opcode: auipc ; dest:x10; immval:0x100 -TEST_AUIPC(auipc, x10, 0x100000, 0x100, x1, 76, x4) - -inst_33: -// imm_val == 128, -// opcode: auipc ; dest:x10; immval:0x80 -TEST_AUIPC(auipc, x10, 0x80000, 0x80, x1, 80, x4) - -inst_34: -// imm_val == 64, -// opcode: auipc ; dest:x10; immval:0x40 -TEST_AUIPC(auipc, x10, 0x40000, 0x40, x1, 84, x4) - -inst_35: -// imm_val == 32, -// opcode: auipc ; dest:x10; immval:0x20 -TEST_AUIPC(auipc, x10, 0x20000, 0x20, x1, 88, x4) - -inst_36: -// imm_val == 16, -// opcode: auipc ; dest:x10; immval:0x10 -TEST_AUIPC(auipc, x10, 0x10000, 0x10, x1, 92, x4) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: auipc ; dest:x10; immval:0x55555 -TEST_AUIPC(auipc, x10, 0x55555000, 0x55555, x1, 96, x4) - -inst_38: -// imm_val==3, -// opcode: auipc ; dest:x10; immval:0x3 -TEST_AUIPC(auipc, x10, 0x3000, 0x3, x1, 100, x4) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: auipc ; dest:x10; immval:0xaaaaa -TEST_AUIPC(auipc, x10, -0x55556000, 0xaaaaa, x1, 104, x4) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: auipc ; dest:x10; immval:0x0 -TEST_AUIPC(auipc, x10, 0x0, 0x0, x1, 108, x4) - -inst_41: -// imm_val == 8, -// opcode: auipc ; dest:x10; immval:0x8 -TEST_AUIPC(auipc, x10, 0x8000, 0x8, x1, 112, x4) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: auipc ; dest:x10; immval:0x4 -TEST_AUIPC(auipc, x10, 0x4000, 0x4, x1, 116, x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: auipc ; dest:x10; immval:0x2 -TEST_AUIPC(auipc, x10, 0x2000, 0x2, x1, 120, x4) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: auipc ; dest:x10; immval:0x1 -TEST_AUIPC(auipc, x10, 0x1000, 0x1, x1, 124, x4) - -inst_45: -// imm_val==725, -// opcode: auipc ; dest:x10; immval:0x2d5 -TEST_AUIPC(auipc, x10, 0x2d5000, 0x2d5, x1, 128, x4) - -inst_46: -// imm_val==419431, -// opcode: auipc ; dest:x10; immval:0x66667 -TEST_AUIPC(auipc, x10, 0x66667000, 0x66667, x1, 132, x4) - -inst_47: -// imm_val==209716, -// opcode: auipc ; dest:x10; immval:0x33334 -TEST_AUIPC(auipc, x10, 0x33334000, 0x33334, x1, 136, x4) - -inst_48: -// imm_val==6, -// opcode: auipc ; dest:x10; immval:0x6 -TEST_AUIPC(auipc, x10, 0x6000, 0x6, x1, 140, x4) - -inst_49: -// imm_val==699051, -// opcode: auipc ; dest:x10; immval:0xaaaab -TEST_AUIPC(auipc, x10, -0x55555000, 0xaaaab, x1, 144, x4) - -inst_50: -// imm_val==349526, -// opcode: auipc ; dest:x10; immval:0x55556 -TEST_AUIPC(auipc, x10, 0x55556000, 0x55556, x1, 148, x4) - -inst_51: -// imm_val==1022, -// opcode: auipc ; dest:x10; immval:0x3fe -TEST_AUIPC(auipc, x10, 0x3fe000, 0x3fe, x1, 152, x4) - -inst_52: -// imm_val==723, -// opcode: auipc ; dest:x10; immval:0x2d3 -TEST_AUIPC(auipc, x10, 0x2d3000, 0x2d3, x1, 156, x4) - -inst_53: -// imm_val==419429, -// opcode: auipc ; dest:x10; immval:0x66665 -TEST_AUIPC(auipc, x10, 0x66665000, 0x66665, x1, 160, x4) - -inst_54: -// imm_val==209714, -// opcode: auipc ; dest:x10; immval:0x33332 -TEST_AUIPC(auipc, x10, 0x33332000, 0x33332, x1, 164, x4) - -inst_55: -// imm_val==699049, -// opcode: auipc ; dest:x10; immval:0xaaaa9 -TEST_AUIPC(auipc, x10, -0x55557000, 0xaaaa9, x1, 168, x4) - -inst_56: -// imm_val==349524, -// opcode: auipc ; dest:x10; immval:0x55554 -TEST_AUIPC(auipc, x10, 0x55554000, 0x55554, x1, 172, x4) - -inst_57: -// imm_val==1023, -// opcode: auipc ; dest:x10; immval:0x3ff -TEST_AUIPC(auipc, x10, 0x3ff000, 0x3ff, x1, 176, x4) - -inst_58: -// imm_val==724, -// opcode: auipc ; dest:x10; immval:0x2d4 -TEST_AUIPC(auipc, x10, 0x2d4000, 0x2d4, x1, 180, x4) - -inst_59: -// imm_val==419430, -// opcode: auipc ; dest:x10; immval:0x66666 -TEST_AUIPC(auipc, x10, 0x66666000, 0x66666, x1, 184, x4) - -inst_60: -// imm_val==209715, -// opcode: auipc ; dest:x10; immval:0x33333 -TEST_AUIPC(auipc, x10, 0x33333000, 0x33333, x1, 188, x4) - -inst_61: -// imm_val==5, -// opcode: auipc ; dest:x10; immval:0x5 -TEST_AUIPC(auipc, x10, 0x5000, 0x5, x1, 192, x4) - -inst_62: -// imm_val == 1046527, -// opcode: auipc ; dest:x10; immval:0xff7ff -TEST_AUIPC(auipc, x10, -0x801000, 0xff7ff, x1, 196, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 50*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/beq-01.S deleted file mode 100644 index a8a75c632..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/beq-01.S +++ /dev/null @@ -1,3030 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the beq instruction of the RISC-V E extension for the beq covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",beq) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 == rs2, rs1==x6, rs2==x6, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x6; op2:x6; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x13, x6, x6, -0x2000001, -0x2000001, 0x8, 3f, x5, 0,0) - -inst_1: -// rs1 != rs2, rs1==x2, rs2==x12, rs2_val == 2147483647, -// opcode: beq, op1:x2; op2:x12; op1val:0x0; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x13, x2, x12, 0x0, 0x7fffffff, 0x0, 3f, x5, 4,0) - -inst_2: -// rs1==x10, rs2==x7, rs2_val == -1073741825, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1431655766 -// opcode: beq, op1:x10; op2:x7; op1val:-0x55555556; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x10, x7, -0x55555556, -0x40000001, 0x4, 1b, x5, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == -536870913, rs1_val == 2147483647, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x7; op2:x11; op1val:0x7fffffff; op2val:-0x20000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x7, x11, 0x7fffffff, -0x20000001, 0x100, 3f, x5, 12,0) - -inst_4: -// rs1==x9, rs2==x14, rs2_val == -268435457, -// opcode: beq, op1:x9; op2:x14; op1val:0x3fffffff; op2val:-0x10000001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x13, x9, x14, 0x3fffffff, -0x10000001, 0x6, 1b, x5, 16,0) - -inst_5: -// rs1==x8, rs2==x2, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x8; op2:x2; op1val:-0xa; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x8, x2, -0xa, -0x8000001, 0x4, 1b, x5, 20,0) - -inst_6: -// rs1==x12, rs2==x1, rs2_val == -33554433, -// opcode: beq, op1:x12; op2:x1; op1val:0xb504; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x13, x12, x1, 0xb504, -0x2000001, 0x2, 3f, x5, 24,0) - -inst_7: -// rs1==x3, rs2==x4, rs2_val == -16777217, rs1_val == -2097153 -// opcode: beq, op1:x3; op2:x4; op1val:-0x200001; op2val:-0x1000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x3, x4, -0x200001, -0x1000001, 0x100, 3f, x5, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x0, rs2==x13, rs2_val == -8388609, -// opcode: beq, op1:x0; op2:x13; op1val:0x0; op2val:-0x800001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x6, x0, x13, 0x0, -0x800001, 0x0, 3f, x2, 0,0) - -inst_9: -// rs1==x14, rs2==x5, rs2_val == -4194305, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -268435457 -// opcode: beq, op1:x14; op2:x5; op1val:-0x10000001; op2val:-0x400001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x14, x5, -0x10000001, -0x400001, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x15, rs2==x9, rs2_val == -2097153, rs1_val == 4 -// opcode: beq, op1:x15; op2:x9; op1val:0x4; op2val:-0x200001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x6, x15, x9, 0x4, -0x200001, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x5, rs2==x15, rs2_val == -1048577, rs1_val == 512 -// opcode: beq, op1:x5; op2:x15; op1val:0x200; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x5, x15, 0x200, -0x100001, 0x4, 1b, x2, 12,0) - -inst_12: -// rs1==x4, rs2==x0, rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x4; op2:x0; op1val:-0x20000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x6, x4, x0, -0x20000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_13: -// rs1==x1, rs2==x8, rs2_val == -262145, rs1_val == -65 -// opcode: beq, op1:x1; op2:x8; op1val:-0x41; op2val:-0x40001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x6, x1, x8, -0x41, -0x40001, 0x10, 3f, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x3, rs2_val == -131073, -// opcode: beq, op1:x11; op2:x3; op1val:0x4; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x11, x3, 0x4, -0x20001, 0x4, 3f, x2, 24,0) - -inst_15: -// rs1==x13, rs2==x10, rs2_val == -65537, rs1_val == -8193 -// opcode: beq, op1:x13; op2:x10; op1val:-0x2001; op2val:-0x10001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x13, x10, -0x2001, -0x10001, 0x4, 3f, x2, 28,0) - -inst_16: -// rs2_val == -32769, rs1_val == 32 -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:-0x8001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, -0x8001, 0x6, 1b, x2, 32,0) - -inst_17: -// rs2_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4; op2val:-0x4001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4, -0x4001, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == -8193, -// opcode: beq, op1:x10; op2:x11; op1val:0x200; op2val:-0x2001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200, -0x2001, 0x20, 3f, x2, 40,0) - -inst_19: -// rs2_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x6; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x6, -0x1001, 0x400, 1b, x2, 44,0) - -inst_20: -// rs2_val == -2049, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, -0x801, 0xa, 1b, x2, 48,0) - -inst_21: -// rs2_val == -1025, rs1_val == -129 -// opcode: beq, op1:x10; op2:x11; op1val:-0x81; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x81, -0x401, 0x8, 1b, x2, 52,0) - -inst_22: -// rs2_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x201, 0x4, 1b, x2, 56,0) - -inst_23: -// rs2_val == -257, rs1_val == -3 -// opcode: beq, op1:x10; op2:x11; op1val:-0x3; op2val:-0x101; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x3, -0x101, 0x2, 3f, x2, 60,0) - -inst_24: -// rs2_val == -129, rs1_val == 8192 -// opcode: beq, op1:x10; op2:x11; op1val:0x2000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000, -0x81, 0x4, 1b, x2, 64,0) - -inst_25: -// rs2_val == -65, -// opcode: beq, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3fffffff, -0x41, 0x400, 3f, x2, 68,0) - -inst_26: -// rs2_val == -33, rs1_val == -262145 -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x21; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x21, 0x400, 1b, x2, 72,0) - -inst_27: -// rs2_val == -17, rs1_val == -33 -// opcode: beq, op1:x10; op2:x11; op1val:-0x21; op2val:-0x11; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x21, -0x11, 0x556, 1b, x2, 76,0) - -inst_28: -// rs2_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x7fffffff, -0x9, 0x4, 3f, x2, 80,0) - -inst_29: -// rs2_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x5; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x5, 0x80, 3f, x2, 84,0) - -inst_30: -// rs2_val == -3, rs1_val == 1 -// opcode: beq, op1:x10; op2:x11; op1val:0x1; op2val:-0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1, -0x3, 0x4, 1b, x2, 88,0) - -inst_31: -// rs2_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x2, 0xa, 1b, x2, 92,0) - -inst_32: -// rs1_val == -1073741825, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40000001, 0x6, 0x556, 1b, x2, 96,0) - -inst_33: -// rs1_val == -134217729, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, -0x10000001, 0x8, 3f, x2, 100,0) - -inst_34: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x4000001; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4000001, 0xb504, 0x8, 3f, x2, 104,0) - -inst_35: -// rs1_val == -16777217, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x1000001; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1000001, -0x1000001, 0x200, 3f, x2, 108,0) - -inst_36: -// rs1_val == -8388609, -// opcode: beq, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x800001, -0x9, 0x2, 1b, x2, 112,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 128 -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x80, 0x400, 1b, x2, 116,0) - -inst_38: -// rs1_val == -1048577, -// opcode: beq, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x100001, -0x55555555, 0x0, 1b, x2, 120,0) - -inst_39: -// rs1_val == -524289, rs2_val == 131072 -// opcode: beq, op1:x10; op2:x11; op1val:-0x80001; op2val:0x20000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80001, 0x20000, 0x0, 1b, x2, 124,0) - -inst_40: -// rs1_val == -131073, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x66666667, 0x400, 1b, x2, 128,0) - -inst_41: -// rs1_val == -65537, -// opcode: beq, op1:x10; op2:x11; op1val:-0x10001; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x10001, 0x33333334, 0x6, 1b, x2, 132,0) - -inst_42: -// rs1_val == -32769, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x0, 0x6, 1b, x2, 136,0) - -inst_43: -// rs1_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x1001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4001, -0x1001, 0x0, 3f, x2, 140,0) - -inst_44: -// rs1_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x800001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1001, -0x800001, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == -2049, rs2_val == 2 -// opcode: beq, op1:x10; op2:x11; op1val:-0x801; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x801, 0x2, 0xa, 1b, x2, 148,0) - -inst_46: -// rs1_val == -1025, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:-0x81; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, -0x81, 0x80, 3f, x2, 152,0) - -inst_47: -// rs1_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:-0x201; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x201, -0x401, 0x8, 1b, x2, 156,0) - -inst_48: -// rs1_val == -257, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0xb503, 0x8, 1b, x2, 160,0) - -inst_49: -// rs1_val == -17, -// opcode: beq, op1:x10; op2:x11; op1val:-0x11; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x11, -0xb503, 0x6, 3f, x2, 164,0) - -inst_50: -// rs1_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:-0x9; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x9, -0xb504, 0x556, 1b, x2, 168,0) - -inst_51: -// rs1_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x55555554, 0x8, 3f, x2, 172,0) - -inst_52: -// rs1_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x2; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2, -0x801, 0xa, 1b, x2, 176,0) - -inst_53: -// rs2_val == -2147483648, rs1_val == 32768 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000; op2val:-0x80000000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000, -0x80000000, 0x0, 1b, x2, 180,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x40000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x40000000, 0x6, 3f, x2, 184,0) - -inst_55: -// rs2_val == 536870912, rs1_val == 1431655765, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x20000000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x20000000, 0x200, 3f, x2, 188,0) - -inst_56: -// rs2_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x10000000; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x10000000, 0x10, 3f, x2, 192,0) - -inst_57: -// rs2_val == 134217728, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x8000000, 0x40, 3f, x2, 196,0) - -inst_58: -// rs2_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, 0x4000000, 0x4, 3f, x2, 200,0) - -inst_59: -// rs2_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x9, 0x2000000, 0x100, 3f, x2, 204,0) - -inst_60: -// rs2_val == 16777216, rs1_val == 134217728 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000000, 0x1000000, 0x100, 3f, x2, 208,0) - -inst_61: -// rs2_val == 8388608, rs1_val == 8388608 -// opcode: beq, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800000, 0x800000, 0x200, 3f, x2, 212,0) - -inst_62: -// rs2_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x400000, 0x0, 3f, x2, 216,0) - -inst_63: -// rs2_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x200000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x200000, 0x4, 1b, x2, 220,0) - -inst_64: -// rs2_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, 0x100000, 0x556, 1b, x2, 224,0) - -inst_65: -// rs2_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:0x80000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, 0x80000, 0x400, 1b, x2, 228,0) - -inst_66: -// rs2_val == 262144, rs1_val == 256 -// opcode: beq, op1:x10; op2:x11; op1val:0x100; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100, 0x40000, 0x0, 1b, x2, 232,0) - -inst_67: -// rs2_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:-0xa; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xa, 0x10000, 0x0, 3f, x2, 236,0) - -inst_68: -// rs2_val == 32768, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x8000, 0xa, 1b, x2, 240,0) - -inst_69: -// rs2_val == 16384, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, 0x4000, 0x40, 3f, x2, 244,0) - -inst_70: -// rs2_val == 8192, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x2000, 0x6, 3f, x2, 248,0) - -inst_71: -// rs2_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x1000, 0x400, 1b, x2, 252,0) - -inst_72: -// rs2_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x800; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x800, 0x4, 1b, x2, 256,0) - -inst_73: -// rs2_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x400, 0x10, 3f, x2, 260,0) - -inst_74: -// rs2_val == 512, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0x200, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 256, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x100; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x100, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x40; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x40, 0x40, 3f, x2, 272,0) - -inst_77: -// rs2_val == 32, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x20, 0x2, 3f, x2, 276,0) - -inst_78: -// rs2_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:-0x200001; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x200001, 0x10, 0x200, 3f, x2, 280,0) - -inst_79: -// rs2_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x8; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x8, 0x6, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 16384 -// opcode: beq, op1:x10; op2:x11; op1val:0x4000; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000, 0x4, 0x200, 3f, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x1, 0x6, 3f, x2, 292,0) - -inst_82: -// rs1_val == -2147483648, -// opcode: beq, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80000000, 0x40000000, 0x2, 3f, x2, 296,0) - -inst_83: -// rs1_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x81; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000000, -0x81, 0xa, 1b, x2, 300,0) - -inst_84: -// rs1_val == 536870912, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000000, -0x40000001, 0x4, 3f, x2, 304,0) - -inst_85: -// rs1_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x40000001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000000, -0x40000001, 0x0, 3f, x2, 308,0) - -inst_86: -// rs1_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x8; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000000, -0x8, 0x2, 1b, x2, 312,0) - -inst_87: -// rs1_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x2000000; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000000, 0x10, 0x100, 3f, x2, 316,0) - -inst_88: -// rs1_val == 16777216, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000000; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000000, 0x6, 0x0, 1b, x2, 320,0) - -inst_89: -// rs1_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x400000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400000, 0x66666666, 0x6, 3f, x2, 324,0) - -inst_90: -// rs1_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:0x200000; op2val:-0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200000, -0x2, 0x80, 3f, x2, 328,0) - -inst_91: -// rs1_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:0x100000; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100000, 0x66666667, 0xa, 1b, x2, 332,0) - -inst_92: -// rs1_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:0x80000; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80000, -0x101, 0x6, 3f, x2, 336,0) - -inst_93: -// rs1_val == 262144, rs2_val == 1431655765 -// opcode: beq, op1:x10; op2:x11; op1val:0x40000; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000, 0x55555555, 0x6, 3f, x2, 340,0) - -inst_94: -// rs1_val == 131072, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000; op2val:-0x10000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000, -0x10000001, 0x4, 3f, x2, 344,0) - -inst_95: -// rs1_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000, -0x55555555, 0xa, 1b, x2, 348,0) - -inst_96: -// rs1_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000, 0x66666665, 0x4, 1b, x2, 352,0) - -inst_97: -// rs1_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:0x800; op2val:-0x200001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800, -0x200001, 0x8, 3f, x2, 356,0) - -inst_98: -// rs1_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:0x400; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400, 0x3, 0x8, 3f, x2, 360,0) - -inst_99: -// rs1_val == 128, -// opcode: beq, op1:x10; op2:x11; op1val:0x80; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80, 0x2000000, 0x6, 1b, x2, 364,0) - -inst_100: -// rs1_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0x40; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40, 0x3, 0x8, 1b, x2, 368,0) - -inst_101: -// rs1_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:0x10; op2val:-0x80000000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10, -0x80000000, 0x556, 1b, x2, 372,0) - -inst_102: -// rs1_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x8; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8, 0x33333332, 0x2, 1b, x2, 376,0) - -inst_103: -// rs1_val == 2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x7; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x7, 0x100, 3f, x2, 380,0) - -inst_104: -// rs1_val==46341 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb505, 0x4, 3f, x2, 384,0) - -inst_105: -// rs1_val==46341 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb503, 0x400, 1b, x2, 388,0) - -inst_106: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666667, 0x2, 1b, x2, 392,0) - -inst_107: -// rs1_val==46341 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333334, 0x40, 3f, x2, 396,0) - -inst_108: -// rs1_val==46341 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x6, 0x2, 3f, x2, 400,0) - -inst_109: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555555, 0x10, 3f, x2, 404,0) - -inst_110: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555556, 0x40, 3f, x2, 408,0) - -inst_111: -// rs1_val==46341 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x4, 0x10, 3f, x2, 412,0) - -inst_112: -// rs1_val==46341 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb503, 0x6, 3f, x2, 416,0) - -inst_113: -// rs1_val==46341 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x0, 0x10, 3f, x2, 420,0) - -inst_114: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666665, 0x200, 3f, x2, 424,0) - -inst_115: -// rs1_val==46341 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333332, 0x6, 3f, x2, 428,0) - -inst_116: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555554, 0x8, 3f, x2, 432,0) - -inst_117: -// rs1_val==46341 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x2, 0x0, 3f, x2, 436,0) - -inst_118: -// rs1_val==46341 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb504, 0x4, 3f, x2, 440,0) - -inst_119: -// rs1_val==46341 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb504, 0x400, 1b, x2, 444,0) - -inst_120: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666666, 0xa, 1b, x2, 448,0) - -inst_121: -// rs1_val==46341 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333333, 0x8, 3f, x2, 452,0) - -inst_122: -// rs1_val==46341 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x5, 0x8, 3f, x2, 456,0) - -inst_123: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555556, 0xa, 1b, x2, 460,0) - -inst_124: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555555, 0x0, 1b, x2, 464,0) - -inst_125: -// rs1_val==46341 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x3, 0x10, 3f, x2, 468,0) - -inst_126: -// rs1_val==-46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb505, 0x2, 3f, x2, 472,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb503, 0x0, 3f, x2, 476,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666667, 0x0, 1b, x2, 480,0) - -inst_129: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333334, 0xa, 1b, x2, 484,0) - -inst_130: -// rs1_val==-46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x6, 0x80, 3f, x2, 488,0) - -inst_131: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555555, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555556, 0x400, 1b, x2, 496,0) - -inst_133: -// rs1_val==-46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x4, 0x80, 3f, x2, 500,0) - -inst_134: -// rs1_val==-46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb503, 0x6, 3f, x2, 504,0) - -inst_135: -// rs1_val==-46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x0, 0x400, 3f, x2, 508,0) - -inst_136: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666665, 0x4, 1b, x2, 512,0) - -inst_137: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333332, 0x0, 1b, x2, 516,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555554, 0x10, 3f, x2, 520,0) - -inst_139: -// rs1_val==-46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x2, 0x40, 3f, x2, 524,0) - -inst_140: -// rs1_val==-46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb504, 0x6, 1b, x2, 528,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb504, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666666, 0x6, 1b, x2, 536,0) - -inst_143: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333333, 0x556, 1b, x2, 540,0) - -inst_144: -// rs1_val==-46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x5, 0x10, 3f, x2, 544,0) - -inst_145: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555556, 0xa, 1b, x2, 548,0) - -inst_146: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555555, 0x8, 3f, x2, 552,0) - -inst_147: -// rs1_val==-46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x3, 0x10, 3f, x2, 556,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x2, 560,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb503, 0x80, 3f, x2, 564,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666667, 0x40, 3f, x2, 568,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333334, 0x2, 1b, x2, 572,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x6, 0x4, 1b, x2, 576,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555555, 0x200, 3f, x2, 580,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555556, 0x2, 3f, x2, 584,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x4, 0xa, 1b, x2, 588,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x2, 592,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x0, 0x10, 3f, x2, 596,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666665, 0x200, 3f, x2, 600,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333332, 0x200, 3f, x2, 604,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x2, 0x8, 3f, x2, 612,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x2, 616,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb504, 0x0, 1b, x2, 620,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666666, 0x4, 3f, x2, 624,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x2, 628,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x5, 0x556, 1b, x2, 632,0) - -inst_167: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555556, 0x2, 1b, x2, 636,0) - -inst_168: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 3f, x2, 640,0) - -inst_169: -// rs1_val==1717986919 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x3, 0x556, 1b, x2, 644,0) - -inst_170: -// rs1_val==858993460 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x2, 648,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb503, 0x400, 3f, x2, 652,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666667, 0x400, 3f, x2, 656,0) - -inst_173: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333334, 0x2, 3f, x2, 660,0) - -inst_174: -// rs1_val==858993460 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x6, 0xa, 1b, x2, 664,0) - -inst_175: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555555, 0x200, 3f, x2, 668,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x2, 672,0) - -inst_177: -// rs1_val==858993460 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x4, 0x20, 3f, x2, 676,0) - -inst_178: -// rs1_val==858993460 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb503, 0x4, 1b, x2, 680,0) - -inst_179: -// rs1_val==858993460 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x0, 0x100, 3f, x2, 684,0) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666665, 0x10, 3f, x2, 688,0) - -inst_181: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x2, 692,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555554, 0x400, 1b, x2, 696,0) - -inst_183: -// rs1_val==858993460 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x2, 0x4, 1b, x2, 700,0) - -inst_184: -// rs1_val==858993460 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 704,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb504, 0x0, 1b, x2, 708,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666666, 0x6, 1b, x2, 712,0) - -inst_187: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333333, 0x20, 3f, x2, 716,0) - -inst_188: -// rs1_val==858993460 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x5, 0x200, 3f, x2, 720,0) - -inst_189: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555556, 0x40, 3f, x2, 724,0) - -inst_190: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555555, 0x556, 1b, x2, 728,0) - -inst_191: -// rs1_val==858993460 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x3, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==6 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb505, 0x20, 3f, x2, 736,0) - -inst_193: -// rs1_val==6 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb503, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==6 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x2, 744,0) - -inst_195: -// rs1_val==6 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333334, 0x556, 1b, x2, 748,0) - -inst_196: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x6, 0x8, 1b, x2, 752,0) - -inst_197: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555555, 0x6, 1b, x2, 756,0) - -inst_198: -// rs1_val==6 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555556, 0x6, 1b, x2, 760,0) - -inst_199: -// rs1_val==6 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x4, 0x2, 3f, x2, 764,0) - -inst_200: -// rs1_val==6 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb503, 0xa, 1b, x2, 768,0) - -inst_201: -// rs1_val==6 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x0, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==6 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666665, 0x80, 3f, x2, 776,0) - -inst_203: -// rs1_val==6 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x2, 780,0) - -inst_204: -// rs1_val==6 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555554, 0x2, 3f, x2, 784,0) - -inst_205: -// rs1_val==6 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x2, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==6 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x2, 792,0) - -inst_207: -// rs1_val==6 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb504, 0x8, 1b, x2, 796,0) - -inst_208: -// rs1_val==6 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666666, 0x400, 1b, x2, 800,0) - -inst_209: -// rs1_val==6 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333333, 0x20, 3f, x2, 804,0) - -inst_210: -// rs1_val==6 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x5, 0xa, 1b, x2, 808,0) - -inst_211: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555556, 0x4, 1b, x2, 812,0) - -inst_212: -// rs1_val==6 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555555, 0x4, 1b, x2, 816,0) - -inst_213: -// rs1_val==6 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x3, 0x6, 3f, x2, 820,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb505, 0x20, 3f, x2, 824,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb503, 0x6, 1b, x2, 828,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666667, 0x556, 1b, x2, 832,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333334, 0x8, 3f, x2, 836,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x6, 0x40, 3f, x2, 840,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555555, 0x8, 3f, x2, 844,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555556, 0xa, 1b, x2, 848,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x4, 0x6, 1b, x2, 852,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb503, 0xa, 1b, x2, 856,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x0, 0x6, 3f, x2, 860,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666665, 0x400, 1b, x2, 864,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333332, 0x10, 3f, x2, 868,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555554, 0x400, 1b, x2, 872,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x2, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb504, 0x10, 3f, x2, 880,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb504, 0x0, 1b, x2, 884,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666666, 0x40, 3f, x2, 888,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333333, 0x10, 3f, x2, 892,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x5, 0x2, 1b, x2, 896,0) - -inst_233: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555556, 0x2, 3f, x2, 900,0) - -inst_234: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x2, 904,0) - -inst_235: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x3, 0xa, 1b, x2, 908,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb505, 0x400, 3f, x2, 912,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb503, 0xa, 1b, x2, 916,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666667, 0x6, 1b, x2, 920,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333334, 0x2, 3f, x2, 924,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x6, 0x8, 3f, x2, 928,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555555, 0x4, 1b, x2, 932,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x2, 936,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x4, 0x200, 3f, x2, 940,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb503, 0x200, 3f, x2, 944,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x0, 0x400, 3f, x2, 948,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666665, 0x6, 1b, x2, 952,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x2, 956,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555554, 0x6, 1b, x2, 960,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x2, 0x8, 3f, x2, 964,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x2, 968,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb504, 0xa, 1b, x2, 972,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 1b, x2, 976,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 1b, x2, 980,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x5, 0x6, 1b, x2, 984,0) - -inst_255: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555556, 0x200, 3f, x2, 988,0) - -inst_256: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x2, 992,0) - -inst_257: -// rs1_val==1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x2, 996,0) - -inst_258: -// rs1_val==4 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb505, 0x0, 3f, x2, 1000,0) - -inst_259: -// rs1_val==4 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb503, 0x556, 1b, x2, 1004,0) - -inst_260: -// rs1_val==4 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666667, 0x400, 3f, x2, 1008,0) - -inst_261: -// rs1_val==4 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x2, 1012,0) - -inst_262: -// rs1_val==4 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x6, 0x400, 3f, x2, 1016,0) - -inst_263: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555555, 0x2, 1b, x2, 1020,0) - -inst_264: -// rs1_val==4 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555556, 0x6, 1b, x2, 1024,0) - -inst_265: -// rs1_val==4 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x4, 0x200, 3f, x2, 1028,0) - -inst_266: -// rs1_val==4 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb503, 0x2, 3f, x2, 1032,0) - -inst_267: -// rs1_val==4 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x0, 0x100, 3f, x2, 1036,0) - -inst_268: -// rs1_val==4 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666665, 0xa, 1b, x2, 1040,0) - -inst_269: -// rs1_val==4 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333332, 0x556, 1b, x2, 1044,0) - -inst_270: -// rs1_val==4 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555554, 0x8, 1b, x2, 1048,0) - -inst_271: -// rs1_val==4 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x2, 0x10, 3f, x2, 1052,0) - -inst_272: -// rs1_val==4 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb504, 0x0, 1b, x2, 1056,0) - -inst_273: -// rs1_val==4 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb504, 0xa, 1b, x2, 1060,0) - -inst_274: -// rs1_val==4 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666666, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==4 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333333, 0x0, 3f, x2, 1068,0) - -inst_276: -// rs1_val==4 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x2, 1072,0) - -inst_277: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555556, 0x8, 1b, x2, 1076,0) - -inst_278: -// rs1_val==4 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555555, 0x6, 1b, x2, 1080,0) - -inst_279: -// rs1_val==4 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x3, 0x8, 1b, x2, 1084,0) - -inst_280: -// rs1_val==46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb505, 0x40, 3f, x2, 1088,0) - -inst_281: -// rs1_val==46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb503, 0x2, 1b, x2, 1092,0) - -inst_282: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666667, 0x10, 3f, x2, 1096,0) - -inst_283: -// rs1_val==46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333334, 0x20, 3f, x2, 1100,0) - -inst_284: -// rs1_val==46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x2, 1104,0) - -inst_285: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555555, 0x2, 3f, x2, 1108,0) - -inst_286: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1112,0) - -inst_287: -// rs1_val==46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x4, 0x4, 3f, x2, 1116,0) - -inst_288: -// rs1_val==46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb503, 0x6, 1b, x2, 1120,0) - -inst_289: -// rs1_val==46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x0, 0x556, 1b, x2, 1124,0) - -inst_290: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666665, 0x400, 3f, x2, 1128,0) - -inst_291: -// rs1_val==46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333332, 0x8, 1b, x2, 1132,0) - -inst_292: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555554, 0x0, 3f, x2, 1136,0) - -inst_293: -// rs1_val==46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1140,0) - -inst_294: -// rs1_val==46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb504, 0x6, 3f, x2, 1144,0) - -inst_295: -// rs1_val==46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb504, 0x400, 1b, x2, 1148,0) - -inst_296: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666666, 0x0, 1b, x2, 1152,0) - -inst_297: -// rs1_val==46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1156,0) - -inst_298: -// rs1_val==46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x5, 0x400, 1b, x2, 1160,0) - -inst_299: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555556, 0x400, 3f, x2, 1164,0) - -inst_300: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x2, 1168,0) - -inst_301: -// rs1_val==46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x3, 0x556, 1b, x2, 1172,0) - -inst_302: -// rs1_val==0 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb505, 0x556, 1b, x2, 1176,0) - -inst_303: -// rs1_val==0 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb503, 0x2, 1b, x2, 1180,0) - -inst_304: -// rs1_val==0 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666667, 0x10, 3f, x2, 1184,0) - -inst_305: -// rs1_val==0 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333334, 0x2, 1b, x2, 1188,0) - -inst_306: -// rs1_val==0 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x6, 0x80, 3f, x2, 1192,0) - -inst_307: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555555, 0x80, 3f, x2, 1196,0) - -inst_308: -// rs1_val==0 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555556, 0x400, 1b, x2, 1200,0) - -inst_309: -// rs1_val==0 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x4, 0x10, 3f, x2, 1204,0) - -inst_310: -// rs1_val==0 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb503, 0x4, 3f, x2, 1208,0) - -inst_311: -// rs1_val==0 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==0 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666665, 0x6, 3f, x2, 1216,0) - -inst_313: -// rs1_val==0 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333332, 0x200, 3f, x2, 1220,0) - -inst_314: -// rs1_val==0 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555554, 0x100, 3f, x2, 1224,0) - -inst_315: -// rs1_val==0 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x2, 0x80, 3f, x2, 1228,0) - -inst_316: -// rs1_val==0 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb504, 0x40, 3f, x2, 1232,0) - -inst_317: -// rs1_val==0 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb504, 0x20, 3f, x2, 1236,0) - -inst_318: -// rs1_val==0 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666666, 0x556, 1b, x2, 1240,0) - -inst_319: -// rs1_val==0 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333333, 0x0, 3f, x2, 1244,0) - -inst_320: -// rs1_val==0 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x5, 0x0, 3f, x2, 1248,0) - -inst_321: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x2, 1252,0) - -inst_322: -// rs1_val==0 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555555, 0x556, 1b, x2, 1256,0) - -inst_323: -// rs1_val==0 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x3, 0x10, 3f, x2, 1260,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x2, 1264,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb503, 0x6, 3f, x2, 1268,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666667, 0x8, 3f, x2, 1272,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1276,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x6, 0x0, 3f, x2, 1280,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x2, 1284,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555556, 0x400, 1b, x2, 1288,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1292,0) - -inst_332: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x2, 1296,0) - -inst_333: -// rs1_val==858993459 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x0, 0x6, 3f, x2, 1300,0) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666665, 0x40, 3f, x2, 1304,0) - -inst_335: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333332, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555554, 0x6, 1b, x2, 1312,0) - -inst_337: -// rs1_val==858993459 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x2, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==858993459 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb504, 0x10, 3f, x2, 1320,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb504, 0x20, 3f, x2, 1324,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666666, 0x2, 3f, x2, 1328,0) - -inst_341: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333333, 0x4, 3f, x2, 1332,0) - -inst_342: -// rs1_val==858993459 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x5, 0x0, 3f, x2, 1336,0) - -inst_343: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x2, 1340,0) - -inst_344: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555555, 0x8, 3f, x2, 1344,0) - -inst_345: -// rs1_val==858993459 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x3, 0x4, 3f, x2, 1348,0) - -inst_346: -// rs1_val==5 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb505, 0x400, 3f, x2, 1352,0) - -inst_347: -// rs1_val==5 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb503, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==5 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666667, 0x200, 3f, x2, 1360,0) - -inst_349: -// rs1_val==5 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333334, 0x2, 1b, x2, 1364,0) - -inst_350: -// rs1_val==5 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x6, 0x4, 1b, x2, 1368,0) - -inst_351: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555555, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==5 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555556, 0x40, 3f, x2, 1376,0) - -inst_353: -// rs1_val==5 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x4, 0x0, 1b, x2, 1380,0) - -inst_354: -// rs1_val==5 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x2, 1384,0) - -inst_355: -// rs1_val==5 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x0, 0xa, 1b, x2, 1388,0) - -inst_356: -// rs1_val==5 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666665, 0x8, 1b, x2, 1392,0) - -inst_357: -// rs1_val==5 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333332, 0x400, 3f, x2, 1396,0) - -inst_358: -// rs1_val==5 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555554, 0x10, 3f, x2, 1400,0) - -inst_359: -// rs1_val==5 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x2, 0x556, 1b, x2, 1404,0) - -inst_360: -// rs1_val==5 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb504, 0x0, 3f, x2, 1408,0) - -inst_361: -// rs1_val==5 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb504, 0x400, 1b, x2, 1412,0) - -inst_362: -// rs1_val==5 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666666, 0x2, 3f, x2, 1416,0) - -inst_363: -// rs1_val==5 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333333, 0x6, 3f, x2, 1420,0) - -inst_364: -// rs1_val==5 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x5, 0xa, 1b, x2, 1424,0) - -inst_365: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555556, 0x8, 3f, x2, 1428,0) - -inst_366: -// rs1_val==5 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555555, 0x2, 3f, x2, 1432,0) - -inst_367: -// rs1_val==5 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x3, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb505, 0x20, 3f, x2, 1440,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb503, 0x200, 3f, x2, 1444,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666667, 0x10, 3f, x2, 1448,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333334, 0x556, 1b, x2, 1452,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x6, 0xa, 1b, x2, 1456,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555555, 0x8, 3f, x2, 1460,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555556, 0x4, 1b, x2, 1464,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x2, 1468,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb503, 0x8, 3f, x2, 1472,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x0, 0x200, 3f, x2, 1476,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666665, 0x2, 3f, x2, 1480,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333332, 0x8, 1b, x2, 1484,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555554, 0x6, 1b, x2, 1488,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x2, 0x200, 3f, x2, 1492,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x2, 1496,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb504, 0x2, 1b, x2, 1500,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666666, 0x8, 3f, x2, 1504,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333333, 0x8, 1b, x2, 1508,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x5, 0x20, 3f, x2, 1512,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555556, 0x2, 1b, x2, 1516,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555555, 0x80, 3f, x2, 1520,0) - -inst_389: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x3, 0x4, 1b, x2, 1524,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb505, 0x0, 3f, x2, 1528,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x2, 1532,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x2, 1536,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333334, 0x40, 3f, x2, 1540,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555555, 0x2, 1b, x2, 1548,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555556, 0x100, 3f, x2, 1552,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x4, 0x2, 1b, x2, 1556,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb503, 0x4, 3f, x2, 1560,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x0, 0x10, 3f, x2, 1564,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666665, 0x400, 1b, x2, 1568,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333332, 0x20, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555554, 0x40, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x2, 0x40, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x2, 1584,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb504, 0x400, 3f, x2, 1588,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333333, 0x6, 3f, x2, 1596,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x5, 0x0, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555556, 0x6, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x3, 0x10, 3f, x2, 1612,0) - -inst_412: -// rs1_val==3 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb505, 0x2, 1b, x2, 1616,0) - -inst_413: -// rs1_val==3 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb503, 0x0, 1b, x2, 1620,0) - -inst_414: -// rs1_val==3 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666667, 0x0, 1b, x2, 1624,0) - -inst_415: -// rs1_val==3 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 1628,0) - -inst_416: -// rs1_val==3 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x6, 0x0, 1b, x2, 1632,0) - -inst_417: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x2, 1636,0) - -inst_418: -// rs1_val==3 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555556, 0x8, 3f, x2, 1640,0) - -inst_419: -// rs1_val==3 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x4, 0x80, 3f, x2, 1644,0) - -inst_420: -// rs1_val==3 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 1648,0) - -inst_421: -// rs1_val==3 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x0, 0x10, 3f, x2, 1652,0) - -inst_422: -// rs1_val==3 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666665, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==3 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333332, 0x400, 1b, x2, 1660,0) - -inst_424: -// rs1_val==3 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555554, 0x0, 1b, x2, 1664,0) - -inst_425: -// rs1_val==3 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x2, 0x400, 3f, x2, 1668,0) - -inst_426: -// rs1_val==3 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb504, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==3 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb504, 0x400, 3f, x2, 1676,0) - -inst_428: -// rs1_val==3 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666666, 0x0, 3f, x2, 1680,0) - -inst_429: -// rs1_val==3 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333333, 0x10, 3f, x2, 1684,0) - -inst_430: -// rs1_val==3 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 1688,0) - -inst_431: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555556, 0x400, 3f, x2, 1692,0) - -inst_432: -// rs1_val==3 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555555, 0x400, 3f, x2, 1696,0) - -inst_433: -// rs1_val==3 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x3, 0x20, 3f, x2, 1700,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x0, 0x8, 3f, x2, 1704,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666665, 0x10, 3f, x2, 1708,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333332, 0x10, 3f, x2, 1712,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x2, 1716,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x2, 0x0, 1b, x2, 1720,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb504, 0x0, 1b, x2, 1724,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x2, 1728,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x2, 1732,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x2, 1736,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x5, 0x0, 1b, x2, 1740,0) - -inst_444: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555556, 0x40, 3f, x2, 1744,0) - -inst_445: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 3f, x2, 1748,0) - -inst_446: -// rs1_val==1717986917 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x3, 0x4, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb505, 0x4, 3f, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb503, 0x8, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666667, 0x4, 1b, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1768,0) - -inst_451: -// rs1_val==858993458 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x2, 1772,0) - -inst_452: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x2, 1776,0) - -inst_453: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x2, 1780,0) - -inst_454: -// rs1_val==858993458 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x4, 0x20, 3f, x2, 1784,0) - -inst_455: -// rs1_val==858993458 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb503, 0x400, 3f, x2, 1788,0) - -inst_456: -// rs1_val==858993458 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x0, 0x6, 3f, x2, 1792,0) - -inst_457: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1796,0) - -inst_458: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1800,0) - -inst_459: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555554, 0x4, 3f, x2, 1804,0) - -inst_460: -// rs1_val==858993458 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x2, 0x400, 3f, x2, 1808,0) - -inst_461: -// rs1_val==858993458 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb504, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb504, 0x200, 3f, x2, 1816,0) - -inst_463: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x2, 1820,0) - -inst_464: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1824,0) - -inst_465: -// rs1_val==858993458 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x5, 0x20, 3f, x2, 1828,0) - -inst_466: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555556, 0x40, 3f, x2, 1832,0) - -inst_467: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555555, 0x0, 1b, x2, 1836,0) - -inst_468: -// rs1_val==858993458 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x2, 1840,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb505, 0x10, 3f, x2, 1844,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb503, 0x20, 3f, x2, 1848,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666667, 0x6, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333334, 0x200, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x6, 0x200, 3f, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x4, 0x20, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb503, 0x2, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x0, 0x6, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666665, 0x0, 3f, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555554, 0x0, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x2, 0x6, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb504, 0x0, 3f, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb504, 0x4, 1b, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666666, 0x20, 3f, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 1b, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x5, 0x2, 3f, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555556, 0x8, 3f, x2, 1920,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x2, 1924,0) - -inst_490: -// rs1_val==1431655764 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x3, 0x2, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb505, 0x400, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb503, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666667, 0x4, 3f, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333334, 0x4, 1b, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x6, 0x100, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555555, 0x556, 1b, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555556, 0x4, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x4, 0x6, 1b, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb503, 0x556, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x0, 0x4, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666665, 0x200, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333332, 0x0, 1b, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555554, 0x80, 3f, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x2, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb504, 0x556, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb504, 0x0, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666666, 0x0, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333333, 0x400, 1b, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x5, 0x2, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555556, 0x10, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555555, 0x400, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x3, 0x10, 3f, x2, 2016,0) - -inst_513: -// rs1_val==46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb505, 0x100, 3f, x2, 2020,0) - -inst_514: -// rs1_val==46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb503, 0x4, 1b, x2, 2024,0) - -inst_515: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666667, 0x2, 3f, x2, 2028,0) - -inst_516: -// rs1_val==46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333334, 0x8, 3f, x2, 2032,0) - -inst_517: -// rs1_val==46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x6, 0x8, 1b, x2, 2036,0) - -inst_518: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555555, 0x0, 1b, x2, 2040,0) - -inst_519: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x2, 0,0) - -inst_521: -// rs1_val==46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb503, 0x10, 3f, x2, 4,0) - -inst_522: -// rs1_val==46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x0, 0x8, 1b, x2, 8,0) - -inst_523: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666665, 0x0, 1b, x2, 12,0) - -inst_524: -// rs1_val==46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333332, 0x400, 3f, x2, 16,0) - -inst_525: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555554, 0x6, 1b, x2, 20,0) - -inst_526: -// rs1_val==46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x2, 0x400, 1b, x2, 24,0) - -inst_527: -// rs1_val==46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb504, 0x0, 3f, x2, 28,0) - -inst_528: -// rs1_val==46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb504, 0x100, 3f, x2, 32,0) - -inst_529: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666666, 0x80, 3f, x2, 36,0) - -inst_530: -// rs1_val==46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333333, 0x8, 1b, x2, 40,0) - -inst_531: -// rs1_val==46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x5, 0x2, 1b, x2, 44,0) - -inst_532: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555556, 0x2, 1b, x2, 48,0) - -inst_533: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555555, 0x400, 1b, x2, 52,0) - -inst_534: -// rs1_val==46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x3, 0xa, 1b, x2, 56,0) - -inst_535: -// rs1_val==-46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb505, 0x80, 3f, x2, 60,0) - -inst_536: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb503, 0x80, 3f, x2, 64,0) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x2, 68,0) - -inst_538: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333334, 0x6, 3f, x2, 72,0) - -inst_539: -// rs1_val==-46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x6, 0x200, 3f, x2, 76,0) - -inst_540: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555555, 0x2, 1b, x2, 80,0) - -inst_541: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555556, 0x0, 1b, x2, 84,0) - -inst_542: -// rs1_val==-46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x4, 0x4, 3f, x2, 88,0) - -inst_543: -// rs1_val==-46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb503, 0xa, 1b, x2, 92,0) - -inst_544: -// rs1_val==-46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x2, 96,0) - -inst_545: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666665, 0x556, 1b, x2, 100,0) - -inst_546: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333332, 0x200, 3f, x2, 104,0) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555554, 0x80, 3f, x2, 108,0) - -inst_548: -// rs1_val==-46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x2, 0x4, 1b, x2, 112,0) - -inst_549: -// rs1_val==-46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb504, 0x2, 3f, x2, 116,0) - -inst_550: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb504, 0x4, 1b, x2, 120,0) - -inst_551: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666666, 0x400, 1b, x2, 124,0) - -inst_552: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333333, 0x10, 3f, x2, 128,0) - -inst_553: -// rs1_val==-46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x5, 0x8, 3f, x2, 132,0) - -inst_554: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555556, 0x6, 3f, x2, 136,0) - -inst_555: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555555, 0x80, 3f, x2, 140,0) - -inst_556: -// rs1_val==-46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x2, 144,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x2, 148,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb503, 0x100, 3f, x2, 152,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x2, 156,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333334, 0x100, 3f, x2, 160,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x6, 0x200, 3f, x2, 164,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555555, 0x2, 3f, x2, 168,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555556, 0x10, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x4, 0x4, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb503, 0x100, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666665, 0x556, 1b, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333332, 0x200, 3f, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555554, 0x2, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x2, 0x400, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb504, 0x100, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb504, 0x2, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x5, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555556, 0x556, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555555, 0x556, 1b, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x3, 0x556, 1b, x2, 232,0) - -inst_579: -// rs1_val==858993459 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb505, 0x400, 1b, x2, 236,0) - -inst_580: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb503, 0x10, 3f, x2, 240,0) - -inst_581: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666667, 0xa, 1b, x2, 244,0) - -inst_582: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333334, 0x200, 3f, x2, 248,0) - -inst_583: -// rs1_val==858993459 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x6, 0x0, 3f, x2, 252,0) - -inst_584: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555555, 0x4, 3f, x2, 256,0) - -inst_585: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555556, 0xa, 1b, x2, 260,0) - -inst_586: -// rs1_val==858993459 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x4, 0x400, 1b, x2, 264,0) - -inst_587: -// rs1_val==858993459 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x2, 268,0) - -inst_588: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x4000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2000001, -0x4000001, 0x8, 3f, x2, 272,0) - -inst_589: -// rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20000001, -0x80001, 0x6, 1b, x2, 276,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 70*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bge-01.S deleted file mode 100644 index e468772c8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bge-01.S +++ /dev/null @@ -1,3015 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bge instruction of the RISC-V E extension for the bge covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bge) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x9, rs2==x9, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x9; op2:x9; op1val:-0x10001; op2val:-0x10001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x14, x9, x9, -0x10001, -0x10001, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x8, rs2==x7, rs2_val == 2147483647, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x8; op2:x7; op1val:0x4; op2val:0x7fffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x8, x7, 0x4, 0x7fffffff, 0x10, 3f, x2, 4,0) - -inst_2: -// rs1==x5, rs2==x11, rs2_val == -1073741825, -// opcode: bge, op1:x5; op2:x11; op1val:0x4; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x5, x11, 0x4, -0x40000001, 0x2, 1b, x2, 8,0) - -inst_3: -// rs1==x12, rs2==x0, rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x12; op2:x0; op1val:-0x8001; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x14, x12, x0, -0x8001, 0x0, 0x20, 3f, x2, 12,0) - -inst_4: -// rs1==x3, rs2==x4, rs2_val == -268435457, rs1_val == 536870912 -// opcode: bge, op1:x3; op2:x4; op1val:0x20000000; op2val:-0x10000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x3, x4, 0x20000000, -0x10000001, 0x2, 3f, x2, 16,0) - -inst_5: -// rs1==x11, rs2==x1, rs2_val == -134217729, rs1_val == 128, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x11; op2:x1; op1val:0x80; op2val:-0x8000001; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x11, x1, 0x80, -0x8000001, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x0, rs2==x12, rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x0; op2:x12; op1val:0x0; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x14, x0, x12, 0x0, -0x4000001, 0x556, 1b, x2, 24,0) - -inst_7: -// rs1==x7, rs2==x6, rs2_val == -33554433, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x7; op2:x6; op1val:0x80; op2val:-0x2000001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x14, x7, x6, 0x80, -0x2000001, 0x4, 1b, x2, 28,0) - -inst_8: -// rs1==x13, rs2==x10, rs2_val == -16777217, rs1_val == 8388608 -// opcode: bge, op1:x13; op2:x10; op1val:0x800000; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x13, x10, 0x800000, -0x1000001, 0x2, 1b, x2, 32,0) -RVTEST_SIGBASE( x7,signature_x7_0) - -inst_9: -// rs1==x1, rs2==x3, rs2_val == -8388609, rs1_val == 268435456 -// opcode: bge, op1:x1; op2:x3; op1val:0x10000000; op2val:-0x800001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x9, x1, x3, 0x10000000, -0x800001, 0x80, 3f, x7, 0,0) - -inst_10: -// rs1==x2, rs2==x13, rs2_val == -4194305, rs1_val == 32768 -// opcode: bge, op1:x2; op2:x13; op1val:0x8000; op2val:-0x400001; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x9, x2, x13, 0x8000, -0x400001, 0x40, 3f, x7, 4,0) - -inst_11: -// rs1==x14, rs2==x5, rs2_val == -2097153, rs1_val == 2147483647 -// opcode: bge, op1:x14; op2:x5; op1val:0x7fffffff; op2val:-0x200001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x9, x14, x5, 0x7fffffff, -0x200001, 0x100, 3f, x7, 8,0) - -inst_12: -// rs1==x10, rs2==x15, rs2_val == -1048577, rs1_val == -262145 -// opcode: bge, op1:x10; op2:x15; op1val:-0x40001; op2val:-0x100001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x9, x10, x15, -0x40001, -0x100001, 0x8, 3f, x7, 12,0) - -inst_13: -// rs1==x6, rs2==x8, rs2_val == -524289, -// opcode: bge, op1:x6; op2:x8; op1val:0x0; op2val:-0x80001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x9, x6, x8, 0x0, -0x80001, 0x0, 1b, x7, 16,0) - -inst_14: -// rs1==x4, rs2==x14, rs2_val == -262145, rs1_val == 65536 -// opcode: bge, op1:x4; op2:x14; op1val:0x10000; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x9, x4, x14, 0x10000, -0x40001, 0x2, 1b, x7, 20,0) - -inst_15: -// rs1==x15, rs2==x2, rs2_val == -131073, -// opcode: bge, op1:x15; op2:x2; op1val:0x55555554; op2val:-0x20001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x15, x2, 0x55555554, -0x20001, 0x80, 3f, x7, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == -3 -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, -0x10001, 0x0, 1b, x7, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x8001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x8001, 0x8, 3f, x1, 0,0) - -inst_18: -// rs2_val == -16385, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x4001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x4001, 0x100, 3f, x1, 4,0) - -inst_19: -// rs2_val == -8193, rs1_val == -131073 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20001, -0x2001, 0x4, 3f, x1, 8,0) - -inst_20: -// rs2_val == -4097, rs1_val == -1048577 -// opcode: bge, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x100001, -0x1001, 0x400, 1b, x1, 12,0) - -inst_21: -// rs2_val == -2049, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x801; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x801, 0x20, 3f, x1, 16,0) - -inst_22: -// rs2_val == -1025, rs1_val == 512 -// opcode: bge, op1:x10; op2:x11; op1val:0x200; op2val:-0x401; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200, -0x401, 0x4, 3f, x1, 20,0) - -inst_23: -// rs2_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x201, 0x556, 1b, x1, 24,0) - -inst_24: -// rs2_val == -257, rs1_val == -16777217 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x101; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x101, 0x40, 3f, x1, 28,0) - -inst_25: -// rs2_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x81, 0x0, 3f, x1, 32,0) - -inst_26: -// rs2_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:0x80; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80, -0x41, 0x400, 3f, x1, 36,0) - -inst_27: -// rs2_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1; op2val:-0x21; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1, -0x21, 0x100, 3f, x1, 40,0) - -inst_28: -// rs2_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x11; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x11, 0xa, 1b, x1, 44,0) - -inst_29: -// rs2_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x9, 0x2, 3f, x1, 48,0) - -inst_30: -// rs2_val == -5, rs1_val == 67108864 -// opcode: bge, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000000, -0x5, 0x20, 3f, x1, 52,0) - -inst_31: -// rs2_val == -3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x3, 0x10, 3f, x1, 56,0) - -inst_32: -// rs2_val == -2, rs1_val == -2049 -// opcode: bge, op1:x10; op2:x11; op1val:-0x801; op2val:-0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x801, -0x2, 0x4, 3f, x1, 60,0) - -inst_33: -// rs1_val == -1073741825, rs2_val == 262144 -// opcode: bge, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x40000001, 0x40000, 0xa, 1b, x1, 64,0) - -inst_34: -// rs1_val == -536870913, rs2_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20000001; op2val:0x20; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20000001, 0x20, 0xa, 1b, x1, 68,0) - -inst_35: -// rs1_val == -268435457, -// opcode: bge, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10000001, 0x0, 0x2, 1b, x1, 72,0) - -inst_36: -// rs1_val == -134217729, -// opcode: bge, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x7; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8000001, -0x7, 0x20, 3f, x1, 76,0) - -inst_37: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4000001, 0x7fffffff, 0x400, 1b, x1, 80,0) - -inst_38: -// rs1_val == -33554433, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2000001, 0x33333333, 0x8, 3f, x1, 84,0) - -inst_39: -// rs1_val == -8388609, -// opcode: bge, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x800001, -0x40001, 0x8, 3f, x1, 88,0) - -inst_40: -// rs1_val == -4194305, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, -0x4, 0x0, 1b, x1, 92,0) - -inst_41: -// rs1_val == -2097153, rs2_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:-0x200001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x200001, 0x2, 0x0, 1b, x1, 96,0) - -inst_42: -// rs1_val == -524289, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x4001; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80001, -0x4001, 0xa, 1b, x1, 100,0) - -inst_43: -// rs1_val == -16385, rs2_val == 1073741824 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4001; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4001, 0x40000000, 0x40, 3f, x1, 104,0) - -inst_44: -// rs1_val == -8193, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2001; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2001, 0x2, 0x4, 3f, x1, 108,0) - -inst_45: -// rs1_val == -4097, rs2_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1001, -0x55555556, 0xa, 1b, x1, 112,0) - -inst_46: -// rs1_val == -1025, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:-0x10000001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, -0x10000001, 0x400, 3f, x1, 116,0) - -inst_47: -// rs1_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:-0x201; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x201, 0x0, 0x556, 1b, x1, 120,0) - -inst_48: -// rs1_val == -257, -// opcode: bge, op1:x10; op2:x11; op1val:-0x101; op2val:0x20; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x101, 0x20, 0x4, 1b, x1, 124,0) - -inst_49: -// rs1_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x81; op2val:-0x40000001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x81, -0x40000001, 0x8, 1b, x1, 128,0) - -inst_50: -// rs1_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:-0x41; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x41, 0xb503, 0x8, 1b, x1, 132,0) - -inst_51: -// rs1_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x21; op2val:-0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x21, -0x40000000, 0x2, 3f, x1, 136,0) - -inst_52: -// rs1_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:-0x11; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x11, -0x100001, 0x4, 3f, x1, 140,0) - -inst_53: -// rs1_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:-0x9; op2val:-0x2001; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x9, -0x2001, 0x200, 3f, x1, 144,0) - -inst_54: -// rs1_val == -5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, -0x201, 0x2, 1b, x1, 148,0) - -inst_55: -// rs1_val == -2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2, 0x33333334, 0x100, 3f, x1, 152,0) - -inst_56: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x80000000, 0x6, 1b, x1, 156,0) - -inst_57: -// rs2_val == 536870912, rs1_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:0x20; op2val:0x20000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20, 0x20000000, 0x6, 3f, x1, 160,0) - -inst_58: -// rs2_val == 268435456, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x10000000, 0x4, 1b, x1, 164,0) - -inst_59: -// rs2_val == 134217728, rs1_val == 524288 -// opcode: bge, op1:x10; op2:x11; op1val:0x80000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80000, 0x8000000, 0x4, 1b, x1, 168,0) - -inst_60: -// rs2_val == 67108864, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4000000, 0xa, 1b, x1, 172,0) - -inst_61: -// rs2_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2000000, 0x6, 3f, x1, 176,0) - -inst_62: -// rs2_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x1000000, 0x10, 3f, x1, 180,0) - -inst_63: -// rs2_val == 8388608, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800000, 0x800000, 0x80, 3f, x1, 184,0) - -inst_64: -// rs2_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, 0x400000, 0x6, 3f, x1, 188,0) - -inst_65: -// rs2_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x200000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x200000, 0x80, 3f, x1, 192,0) - -inst_66: -// rs2_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:0x100000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, 0x100000, 0x40, 3f, x1, 196,0) - -inst_67: -// rs2_val == 524288, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000000; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000000, 0x80000, 0x4, 3f, x1, 200,0) - -inst_68: -// rs2_val == 131072, rs1_val == 8 -// opcode: bge, op1:x10; op2:x11; op1val:0x8; op2val:0x20000; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8, 0x20000, 0x556, 1b, x1, 204,0) - -inst_69: -// rs2_val == 65536, rs1_val == 1024 -// opcode: bge, op1:x10; op2:x11; op1val:0x400; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400, 0x10000, 0x40, 3f, x1, 208,0) - -inst_70: -// rs2_val == 32768, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x8000, 0xa, 1b, x1, 212,0) - -inst_71: -// rs2_val == 16384, rs1_val == 2048 -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x4000; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x4000, 0x200, 3f, x1, 216,0) - -inst_72: -// rs2_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:0x2000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, 0x2000, 0x40, 3f, x1, 220,0) - -inst_73: -// rs2_val == 4096, rs1_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x1000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x1000, 0x0, 3f, x1, 224,0) - -inst_74: -// rs2_val == 2048, -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x800; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x800, 0x400, 3f, x1, 228,0) - -inst_75: -// rs2_val == 1024, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x400; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x400, 0x8, 3f, x1, 232,0) - -inst_76: -// rs2_val == 512, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x200, 0x400, 3f, x1, 236,0) - -inst_77: -// rs2_val == 256, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x100; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x100, 0x400, 3f, x1, 240,0) - -inst_78: -// rs2_val == 128, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x80, 0x8, 1b, x1, 244,0) - -inst_79: -// rs2_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x40; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x40, 0x100, 3f, x1, 248,0) - -inst_80: -// rs2_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, 0x10, 0x200, 3f, x1, 252,0) - -inst_81: -// rs2_val == 8, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x8, 0x400, 3f, x1, 256,0) - -inst_82: -// rs2_val == 4, rs1_val == 131072 -// opcode: bge, op1:x10; op2:x11; op1val:0x20000; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20000, 0x4, 0x6, 3f, x1, 260,0) - -inst_83: -// rs2_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x1, 0x40, 3f, x1, 264,0) - -inst_84: -// rs1_val == -2147483648, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80000000, 0x2, 0x8, 1b, x1, 268,0) - -inst_85: -// rs1_val == 1073741824, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x401; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000000, -0x401, 0x10, 3f, x1, 272,0) - -inst_86: -// rs1_val == 134217728, -// opcode: bge, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8000000, -0x2, 0xa, 1b, x1, 276,0) - -inst_87: -// rs1_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000000; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000000, -0x40000001, 0x2, 3f, x1, 280,0) - -inst_88: -// rs1_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000000, 0x0, 0x2, 3f, x1, 284,0) - -inst_89: -// rs1_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:0x400000; op2val:-0x8000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400000, -0x8000001, 0x2, 3f, x1, 288,0) - -inst_90: -// rs1_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x200000; op2val:0x4000000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200000, 0x4000000, 0x0, 1b, x1, 292,0) - -inst_91: -// rs1_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:0x100000; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100000, -0x401, 0x0, 1b, x1, 296,0) - -inst_92: -// rs1_val == 262144, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000, 0x0, 0x2, 3f, x1, 300,0) - -inst_93: -// rs1_val == 16384, -// opcode: bge, op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000, 0x8000000, 0x4, 3f, x1, 304,0) - -inst_94: -// rs1_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000, 0x0, 0x2, 3f, x1, 308,0) - -inst_95: -// rs1_val == 4096, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000, -0xb503, 0x4, 3f, x1, 312,0) - -inst_96: -// rs1_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x40; op2val:-0x1000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40, -0x1000001, 0x556, 1b, x1, 316,0) - -inst_97: -// rs1_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:0x10; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10, 0x0, 0x4, 3f, x1, 320,0) - -inst_98: -// rs1_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x1; op2val:0x3fffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1, 0x3fffffff, 0x100, 3f, x1, 324,0) - -inst_99: -// rs1_val==46341 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb505, 0x20, 3f, x1, 328,0) - -inst_100: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb503, 0x200, 3f, x1, 332,0) - -inst_101: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666667, 0x0, 3f, x1, 336,0) - -inst_102: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333334, 0x8, 3f, x1, 340,0) - -inst_103: -// rs1_val==46341 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x6, 0x10, 3f, x1, 344,0) - -inst_104: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555555, 0x4, 1b, x1, 348,0) - -inst_105: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555556, 0xa, 1b, x1, 352,0) - -inst_106: -// rs1_val==46341 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x4, 0x100, 3f, x1, 356,0) - -inst_107: -// rs1_val==46341 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb503, 0x2, 1b, x1, 360,0) - -inst_108: -// rs1_val==46341 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x0, 0x4, 1b, x1, 364,0) - -inst_109: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x1, 368,0) - -inst_110: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333332, 0x0, 1b, x1, 372,0) - -inst_111: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555554, 0x400, 1b, x1, 376,0) - -inst_112: -// rs1_val==46341 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x2, 0x2, 1b, x1, 380,0) - -inst_113: -// rs1_val==46341 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb504, 0x2, 3f, x1, 384,0) - -inst_114: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb504, 0x20, 3f, x1, 388,0) - -inst_115: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x1, 392,0) - -inst_116: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333333, 0x200, 3f, x1, 396,0) - -inst_117: -// rs1_val==46341 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x5, 0x10, 3f, x1, 400,0) - -inst_118: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555556, 0x20, 3f, x1, 404,0) - -inst_119: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 408,0) - -inst_120: -// rs1_val==46341 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x3, 0x10, 3f, x1, 412,0) - -inst_121: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb505, 0x100, 3f, x1, 416,0) - -inst_122: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb503, 0x2, 3f, x1, 420,0) - -inst_123: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666667, 0x4, 3f, x1, 424,0) - -inst_124: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333334, 0x2, 1b, x1, 428,0) - -inst_125: -// rs1_val==-46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x6, 0x4, 1b, x1, 432,0) - -inst_126: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555555, 0x4, 3f, x1, 436,0) - -inst_127: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555556, 0x2, 3f, x1, 440,0) - -inst_128: -// rs1_val==-46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x4, 0x8, 1b, x1, 444,0) - -inst_129: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb503, 0x40, 3f, x1, 448,0) - -inst_130: -// rs1_val==-46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x0, 0x80, 3f, x1, 452,0) - -inst_131: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666665, 0x100, 3f, x1, 456,0) - -inst_132: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333332, 0x4, 3f, x1, 460,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555554, 0x556, 1b, x1, 464,0) - -inst_134: -// rs1_val==-46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x2, 0x100, 3f, x1, 468,0) - -inst_135: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb504, 0x200, 3f, x1, 472,0) - -inst_136: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb504, 0x400, 1b, x1, 476,0) - -inst_137: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666666, 0x2, 3f, x1, 480,0) - -inst_138: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333333, 0x0, 3f, x1, 484,0) - -inst_139: -// rs1_val==-46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x5, 0x8, 3f, x1, 488,0) - -inst_140: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555556, 0x2, 1b, x1, 492,0) - -inst_141: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555555, 0x556, 1b, x1, 496,0) - -inst_142: -// rs1_val==-46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x3, 0x4, 1b, x1, 500,0) - -inst_143: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb505, 0x8, 3f, x1, 504,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb503, 0x2, 1b, x1, 508,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666667, 0xa, 1b, x1, 512,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333334, 0x100, 3f, x1, 516,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x6, 0x10, 3f, x1, 520,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555555, 0x100, 3f, x1, 524,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x1, 528,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x4, 0x6, 1b, x1, 532,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x1, 536,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x0, 0x100, 3f, x1, 540,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666665, 0x2, 1b, x1, 544,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x1, 548,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555554, 0x556, 1b, x1, 552,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x2, 0x100, 3f, x1, 556,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb504, 0x10, 3f, x1, 560,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb504, 0x200, 3f, x1, 564,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666666, 0x0, 3f, x1, 568,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333333, 0x400, 1b, x1, 572,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x5, 0x200, 3f, x1, 576,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555556, 0x2, 3f, x1, 580,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555555, 0x10, 3f, x1, 584,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x3, 0x2, 3f, x1, 588,0) - -inst_165: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x1, 592,0) - -inst_166: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb503, 0x10, 3f, x1, 596,0) - -inst_167: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666667, 0x100, 3f, x1, 600,0) - -inst_168: -// rs1_val==858993460 and rs2_val==858993460, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x1, 604,0) - -inst_169: -// rs1_val==858993460 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x6, 0x8, 1b, x1, 608,0) - -inst_170: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555555, 0x6, 1b, x1, 612,0) - -inst_171: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555556, 0x8, 3f, x1, 616,0) - -inst_172: -// rs1_val==858993460 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x4, 0x400, 1b, x1, 620,0) - -inst_173: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x1, 624,0) - -inst_174: -// rs1_val==858993460 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x0, 0x10, 3f, x1, 628,0) - -inst_175: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666665, 0x400, 3f, x1, 632,0) - -inst_176: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333332, 0x80, 3f, x1, 636,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555554, 0x2, 1b, x1, 640,0) - -inst_178: -// rs1_val==858993460 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x2, 0x0, 1b, x1, 644,0) - -inst_179: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb504, 0x400, 3f, x1, 648,0) - -inst_180: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb504, 0x10, 3f, x1, 652,0) - -inst_181: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666666, 0x6, 3f, x1, 656,0) - -inst_182: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333333, 0x0, 3f, x1, 660,0) - -inst_183: -// rs1_val==858993460 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x5, 0x0, 1b, x1, 664,0) - -inst_184: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555556, 0x6, 1b, x1, 668,0) - -inst_185: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555555, 0x2, 3f, x1, 672,0) - -inst_186: -// rs1_val==858993460 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x3, 0x8, 3f, x1, 676,0) - -inst_187: -// rs1_val==6 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 680,0) - -inst_188: -// rs1_val==6 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb503, 0x40, 3f, x1, 684,0) - -inst_189: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666667, 0x4, 3f, x1, 688,0) - -inst_190: -// rs1_val==6 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333334, 0x4, 1b, x1, 692,0) - -inst_191: -// rs1_val==6 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x6, 0x0, 1b, x1, 696,0) - -inst_192: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555555, 0x2, 1b, x1, 700,0) - -inst_193: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555556, 0x10, 3f, x1, 704,0) - -inst_194: -// rs1_val==6 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x4, 0x400, 3f, x1, 708,0) - -inst_195: -// rs1_val==6 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb503, 0x10, 3f, x1, 712,0) - -inst_196: -// rs1_val==6 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x0, 0x0, 1b, x1, 716,0) - -inst_197: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666665, 0x80, 3f, x1, 720,0) - -inst_198: -// rs1_val==6 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333332, 0x40, 3f, x1, 724,0) - -inst_199: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555554, 0xa, 1b, x1, 728,0) - -inst_200: -// rs1_val==6 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x2, 0x2, 1b, x1, 732,0) - -inst_201: -// rs1_val==6 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb504, 0x6, 3f, x1, 736,0) - -inst_202: -// rs1_val==6 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb504, 0x400, 3f, x1, 740,0) - -inst_203: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666666, 0x6, 1b, x1, 744,0) - -inst_204: -// rs1_val==6 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333333, 0x2, 1b, x1, 748,0) - -inst_205: -// rs1_val==6 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 752,0) - -inst_206: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555556, 0x0, 1b, x1, 756,0) - -inst_207: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x1, 760,0) - -inst_208: -// rs1_val==6 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x3, 0x2, 3f, x1, 764,0) - -inst_209: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb505, 0x2, 1b, x1, 768,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb503, 0x4, 3f, x1, 772,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666667, 0x0, 3f, x1, 776,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333334, 0x0, 1b, x1, 780,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 784,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555555, 0x10, 3f, x1, 788,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555556, 0x8, 3f, x1, 792,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x4, 0x4, 3f, x1, 796,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb503, 0x20, 3f, x1, 800,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x0, 0x20, 3f, x1, 804,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666665, 0x2, 1b, x1, 808,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333332, 0x200, 3f, x1, 812,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555554, 0x400, 3f, x1, 816,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x2, 0x6, 3f, x1, 820,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb504, 0x6, 3f, x1, 824,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb504, 0x0, 3f, x1, 828,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666666, 0x400, 3f, x1, 832,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333333, 0x8, 3f, x1, 836,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x5, 0x8, 1b, x1, 840,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555556, 0x2, 1b, x1, 844,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x1, 848,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x3, 0x8, 1b, x1, 852,0) - -inst_231: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb505, 0x40, 3f, x1, 856,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb503, 0x556, 1b, x1, 860,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666667, 0x2, 3f, x1, 864,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x1, 868,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x6, 0x40, 3f, x1, 872,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555555, 0x6, 3f, x1, 876,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555556, 0x2, 1b, x1, 880,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x4, 0x10, 3f, x1, 884,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb503, 0x6, 1b, x1, 888,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x0, 0x10, 3f, x1, 892,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x1, 896,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333332, 0x400, 3f, x1, 900,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555554, 0x100, 3f, x1, 904,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x2, 0x10, 3f, x1, 908,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb504, 0x400, 3f, x1, 912,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb504, 0x8, 1b, x1, 916,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666666, 0x100, 3f, x1, 920,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x1, 924,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x5, 0xa, 1b, x1, 928,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555556, 0x0, 1b, x1, 932,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555555, 0x200, 3f, x1, 936,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 940,0) - -inst_253: -// rs1_val==4 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb505, 0x20, 3f, x1, 944,0) - -inst_254: -// rs1_val==4 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb503, 0x200, 3f, x1, 948,0) - -inst_255: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666667, 0x2, 3f, x1, 952,0) - -inst_256: -// rs1_val==4 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x1, 956,0) - -inst_257: -// rs1_val==4 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x6, 0xa, 1b, x1, 960,0) - -inst_258: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555555, 0x20, 3f, x1, 964,0) - -inst_259: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555556, 0xa, 1b, x1, 968,0) - -inst_260: -// rs1_val==4 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x4, 0x6, 1b, x1, 972,0) - -inst_261: -// rs1_val==4 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb503, 0x400, 1b, x1, 976,0) - -inst_262: -// rs1_val==4 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x0, 0x100, 3f, x1, 980,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666665, 0x100, 3f, x1, 984,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333332, 0x400, 3f, x1, 988,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555554, 0x200, 3f, x1, 992,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x2, 0x80, 3f, x1, 996,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb504, 0x400, 1b, x1, 1000,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb504, 0x0, 3f, x1, 1004,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666666, 0x10, 3f, x1, 1008,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1012,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x5, 0x80, 3f, x1, 1016,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555556, 0x6, 3f, x1, 1020,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555555, 0x0, 1b, x1, 1024,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x3, 0x40, 3f, x1, 1028,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb505, 0x2, 1b, x1, 1032,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb503, 0x400, 1b, x1, 1036,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x1, 1040,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x1, 1044,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x6, 0x2, 3f, x1, 1048,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1052,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555556, 0x2, 1b, x1, 1056,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4, 0x2, 1b, x1, 1060,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb503, 0x6, 3f, x1, 1064,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x0, 0x20, 3f, x1, 1068,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666665, 0x0, 1b, x1, 1072,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333332, 0x0, 1b, x1, 1076,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x1, 1080,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x2, 0x8, 1b, x1, 1084,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb504, 0x4, 3f, x1, 1088,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb504, 0x200, 3f, x1, 1092,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x1, 1096,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333333, 0x100, 3f, x1, 1100,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x5, 0x400, 1b, x1, 1104,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555556, 0x4, 1b, x1, 1108,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555555, 0x8, 1b, x1, 1112,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x1, 1116,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb505, 0x2, 1b, x1, 1120,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb503, 0x8, 3f, x1, 1124,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666667, 0x20, 3f, x1, 1128,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333334, 0x0, 3f, x1, 1132,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x6, 0x2, 3f, x1, 1136,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555555, 0x10, 3f, x1, 1140,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555556, 0x4, 3f, x1, 1144,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x4, 0x0, 1b, x1, 1148,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb503, 0x2, 3f, x1, 1152,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x0, 0x8, 1b, x1, 1156,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666665, 0x10, 3f, x1, 1160,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333332, 0x100, 3f, x1, 1164,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555554, 0x6, 1b, x1, 1168,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x2, 0x4, 3f, x1, 1172,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb504, 0x100, 3f, x1, 1176,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb504, 0x80, 3f, x1, 1180,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666666, 0x2, 1b, x1, 1184,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333333, 0xa, 1b, x1, 1188,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x5, 0x80, 3f, x1, 1192,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1196,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555555, 0x400, 3f, x1, 1200,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x3, 0x100, 3f, x1, 1204,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x1, 1208,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb503, 0x4, 3f, x1, 1212,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666667, 0x8, 1b, x1, 1216,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333334, 0x556, 1b, x1, 1220,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x6, 0x8, 1b, x1, 1224,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x1, 1228,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555556, 0x4, 3f, x1, 1232,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x4, 0x6, 1b, x1, 1236,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb503, 0x8, 1b, x1, 1240,0) - -inst_328: -// rs1_val==858993459 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x0, 0x80, 3f, x1, 1244,0) - -inst_329: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x1, 1248,0) - -inst_330: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x1, 1252,0) - -inst_331: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555554, 0x40, 3f, x1, 1256,0) - -inst_332: -// rs1_val==858993459 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x2, 0x4, 3f, x1, 1260,0) - -inst_333: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb504, 0x0, 3f, x1, 1264,0) - -inst_334: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb504, 0x8, 1b, x1, 1268,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666666, 0x8, 1b, x1, 1272,0) - -inst_336: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333333, 0x556, 1b, x1, 1276,0) - -inst_337: -// rs1_val==858993459 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x5, 0x6, 3f, x1, 1280,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1284,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555555, 0x556, 1b, x1, 1288,0) - -inst_340: -// rs1_val==858993459 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x3, 0x0, 1b, x1, 1292,0) - -inst_341: -// rs1_val==5 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb505, 0x556, 1b, x1, 1296,0) - -inst_342: -// rs1_val==5 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb503, 0x200, 3f, x1, 1300,0) - -inst_343: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666667, 0x0, 1b, x1, 1304,0) - -inst_344: -// rs1_val==5 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333334, 0x6, 1b, x1, 1308,0) - -inst_345: -// rs1_val==5 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x6, 0x2, 3f, x1, 1312,0) - -inst_346: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555555, 0x20, 3f, x1, 1316,0) - -inst_347: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555556, 0x400, 3f, x1, 1320,0) - -inst_348: -// rs1_val==5 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x1, 1324,0) - -inst_349: -// rs1_val==5 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb503, 0x6, 1b, x1, 1328,0) - -inst_350: -// rs1_val==5 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x0, 0x200, 3f, x1, 1332,0) - -inst_351: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666665, 0x6, 3f, x1, 1336,0) - -inst_352: -// rs1_val==5 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333332, 0x556, 1b, x1, 1340,0) - -inst_353: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555554, 0x2, 1b, x1, 1344,0) - -inst_354: -// rs1_val==5 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x2, 0x6, 1b, x1, 1348,0) - -inst_355: -// rs1_val==5 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb504, 0xa, 1b, x1, 1352,0) - -inst_356: -// rs1_val==5 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb504, 0x2, 1b, x1, 1356,0) - -inst_357: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x1, 1360,0) - -inst_358: -// rs1_val==5 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333333, 0x80, 3f, x1, 1364,0) - -inst_359: -// rs1_val==5 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x1, 1368,0) - -inst_360: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1372,0) - -inst_361: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555555, 0x100, 3f, x1, 1376,0) - -inst_362: -// rs1_val==5 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x3, 0x6, 3f, x1, 1380,0) - -inst_363: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x1, 1384,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb503, 0xa, 1b, x1, 1388,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666667, 0x400, 3f, x1, 1392,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333334, 0x200, 3f, x1, 1396,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x6, 0x40, 3f, x1, 1400,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555555, 0x8, 1b, x1, 1404,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555556, 0x8, 1b, x1, 1408,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x4, 0x2, 1b, x1, 1412,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb503, 0x20, 3f, x1, 1416,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x0, 0x0, 1b, x1, 1420,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666665, 0x200, 3f, x1, 1424,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333332, 0xa, 1b, x1, 1428,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555554, 0x2, 3f, x1, 1432,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x2, 0x6, 3f, x1, 1436,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb504, 0x4, 3f, x1, 1440,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb504, 0x2, 3f, x1, 1444,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666666, 0x2, 3f, x1, 1448,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333333, 0x200, 3f, x1, 1452,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x5, 0x556, 1b, x1, 1456,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555556, 0x8, 3f, x1, 1460,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555555, 0x200, 3f, x1, 1464,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x3, 0x4, 3f, x1, 1468,0) - -inst_385: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb505, 0xa, 1b, x1, 1472,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb503, 0x4, 3f, x1, 1476,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x1, 1480,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333334, 0x0, 3f, x1, 1484,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x6, 0x6, 3f, x1, 1488,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555555, 0x6, 1b, x1, 1492,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555556, 0x8, 3f, x1, 1496,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x4, 0x200, 3f, x1, 1500,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb503, 0x0, 3f, x1, 1504,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1508,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x1, 1512,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333332, 0x40, 3f, x1, 1516,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555554, 0x4, 1b, x1, 1520,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x2, 0x10, 3f, x1, 1524,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb504, 0x8, 3f, x1, 1528,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb504, 0x2, 3f, x1, 1532,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666666, 0x20, 3f, x1, 1536,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333333, 0xa, 1b, x1, 1540,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x5, 0x6, 1b, x1, 1544,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555556, 0x8, 3f, x1, 1548,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555555, 0x200, 3f, x1, 1552,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x3, 0x6, 3f, x1, 1556,0) - -inst_407: -// rs1_val==3 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb505, 0x0, 1b, x1, 1560,0) - -inst_408: -// rs1_val==3 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb503, 0x80, 3f, x1, 1564,0) - -inst_409: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666667, 0x2, 3f, x1, 1568,0) - -inst_410: -// rs1_val==3 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333334, 0x4, 3f, x1, 1572,0) - -inst_411: -// rs1_val==3 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x6, 0x8, 3f, x1, 1576,0) - -inst_412: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555555, 0x10, 3f, x1, 1580,0) - -inst_413: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555556, 0x4, 3f, x1, 1584,0) - -inst_414: -// rs1_val==3 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x4, 0x2, 1b, x1, 1588,0) - -inst_415: -// rs1_val==3 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb503, 0x8, 1b, x1, 1592,0) - -inst_416: -// rs1_val==3 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x0, 0x80, 3f, x1, 1596,0) - -inst_417: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666665, 0x20, 3f, x1, 1600,0) - -inst_418: -// rs1_val==3 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333332, 0x0, 3f, x1, 1604,0) - -inst_419: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555554, 0x80, 3f, x1, 1608,0) - -inst_420: -// rs1_val==3 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x2, 0x8, 3f, x1, 1612,0) - -inst_421: -// rs1_val==3 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb504, 0x400, 3f, x1, 1616,0) - -inst_422: -// rs1_val==3 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb504, 0x100, 3f, x1, 1620,0) - -inst_423: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x1, 1624,0) - -inst_424: -// rs1_val==3 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333333, 0x400, 3f, x1, 1628,0) - -inst_425: -// rs1_val==3 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x5, 0x200, 3f, x1, 1632,0) - -inst_426: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555556, 0x400, 1b, x1, 1636,0) - -inst_427: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555555, 0x400, 1b, x1, 1640,0) - -inst_428: -// rs1_val==3 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x3, 0x4, 1b, x1, 1644,0) - -inst_429: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x0, 0x8, 1b, x1, 1648,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666665, 0xa, 1b, x1, 1652,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333332, 0x200, 3f, x1, 1656,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555554, 0x400, 1b, x1, 1660,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x2, 0x556, 1b, x1, 1664,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb504, 0x40, 3f, x1, 1668,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb504, 0x8, 1b, x1, 1672,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 1b, x1, 1676,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x1, 1680,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x5, 0x0, 3f, x1, 1684,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555556, 0x400, 3f, x1, 1688,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x1, 1692,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x3, 0x10, 3f, x1, 1696,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb505, 0x4, 1b, x1, 1700,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb503, 0x8, 3f, x1, 1704,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666667, 0x4, 3f, x1, 1708,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333334, 0x6, 3f, x1, 1712,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x6, 0x20, 3f, x1, 1716,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555555, 0x2, 3f, x1, 1720,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555556, 0x2, 3f, x1, 1724,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x4, 0x0, 1b, x1, 1728,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb503, 0x6, 3f, x1, 1732,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x0, 0xa, 1b, x1, 1736,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666665, 0x8, 3f, x1, 1740,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x1, 1744,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555554, 0x556, 1b, x1, 1748,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x2, 0x100, 3f, x1, 1752,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb504, 0x8, 3f, x1, 1756,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb504, 0x100, 3f, x1, 1760,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666666, 0x400, 1b, x1, 1764,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333333, 0x400, 1b, x1, 1768,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x1, 1772,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555556, 0x4, 3f, x1, 1776,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555555, 0x6, 1b, x1, 1780,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x3, 0x10, 3f, x1, 1784,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb505, 0x4, 3f, x1, 1788,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb503, 0x8, 1b, x1, 1792,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666667, 0x2, 1b, x1, 1796,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1800,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x6, 0x2, 3f, x1, 1804,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555555, 0x8, 1b, x1, 1808,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1812,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1816,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x1, 1820,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x0, 0x2, 3f, x1, 1824,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666665, 0x40, 3f, x1, 1828,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x1, 1832,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555554, 0x2, 1b, x1, 1836,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2, 0x80, 3f, x1, 1840,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x1, 1844,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb504, 0x20, 3f, x1, 1848,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666666, 0x40, 3f, x1, 1852,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333333, 0x400, 1b, x1, 1856,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x5, 0x400, 1b, x1, 1860,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555556, 0x40, 3f, x1, 1864,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555555, 0x0, 1b, x1, 1868,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x3, 0x556, 1b, x1, 1872,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb505, 0x400, 3f, x1, 1876,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb503, 0x80, 3f, x1, 1880,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666667, 0x4, 3f, x1, 1884,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333334, 0x100, 3f, x1, 1888,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x6, 0x20, 3f, x1, 1892,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555555, 0x200, 3f, x1, 1896,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555556, 0x80, 3f, x1, 1900,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x4, 0x80, 3f, x1, 1904,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb503, 0x8, 1b, x1, 1908,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x0, 0x2, 3f, x1, 1912,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666665, 0x8, 1b, x1, 1916,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333332, 0x2, 3f, x1, 1920,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555554, 0x100, 3f, x1, 1924,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x2, 0x100, 3f, x1, 1928,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb504, 0x2, 1b, x1, 1932,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb504, 0x4, 3f, x1, 1936,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666666, 0x4, 3f, x1, 1940,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x1, 1944,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x5, 0x6, 1b, x1, 1948,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555556, 0x6, 1b, x1, 1952,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555555, 0x80, 3f, x1, 1956,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x1, 1960,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb505, 0x2, 1b, x1, 1964,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb503, 0x100, 3f, x1, 1968,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666667, 0x80, 3f, x1, 1972,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333334, 0x10, 3f, x1, 1976,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x6, 0x2, 3f, x1, 1980,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555555, 0x20, 3f, x1, 1984,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x1, 1988,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x4, 0x8, 1b, x1, 1992,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x1, 1996,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2000,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666665, 0x100, 3f, x1, 2004,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x1, 2008,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555554, 0x6, 3f, x1, 2012,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x2, 0x100, 3f, x1, 2016,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb504, 0x4, 3f, x1, 2020,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb504, 0xa, 1b, x1, 2024,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x1, 2028,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333333, 0x8, 3f, x1, 2032,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x5, 0x0, 1b, x1, 2036,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555556, 0x6, 1b, x1, 2040,0) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555555, 0x100, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x3, 0x8, 3f, x1, 0,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb505, 0x400, 1b, x1, 4,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb503, 0x6, 3f, x1, 8,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x1, 12,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333334, 0x100, 3f, x1, 16,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x6, 0x400, 1b, x1, 20,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x1, 24,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555556, 0x20, 3f, x1, 28,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x4, 0x2, 1b, x1, 32,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 36,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x0, 0x2, 1b, x1, 40,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x1, 44,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333332, 0x2, 3f, x1, 48,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555554, 0x6, 3f, x1, 52,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x2, 0x6, 1b, x1, 56,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb504, 0x2, 3f, x1, 60,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb504, 0x556, 1b, x1, 64,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666666, 0x20, 3f, x1, 68,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333333, 0x40, 3f, x1, 72,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x5, 0x2, 3f, x1, 76,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555556, 0x400, 1b, x1, 80,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555555, 0x100, 3f, x1, 84,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 88,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb505, 0x10, 3f, x1, 92,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb503, 0x20, 3f, x1, 96,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666667, 0x2, 1b, x1, 100,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 104,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x6, 0x10, 3f, x1, 108,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555555, 0x4, 1b, x1, 112,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555556, 0x2, 3f, x1, 116,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x1, 120,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb503, 0x6, 3f, x1, 124,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x0, 0x80, 3f, x1, 128,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666665, 0x2, 1b, x1, 132,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x1, 136,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x1, 140,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x2, 0x2, 1b, x1, 144,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb504, 0x0, 1b, x1, 148,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb504, 0x20, 3f, x1, 152,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x1, 156,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333333, 0x200, 3f, x1, 160,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x1, 164,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 168,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x1, 172,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x3, 0x6, 1b, x1, 176,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb505, 0x20, 3f, x1, 180,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb503, 0x6, 1b, x1, 184,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666667, 0x400, 1b, x1, 188,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333334, 0x0, 3f, x1, 192,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 196,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555555, 0x8, 3f, x1, 200,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555556, 0x80, 3f, x1, 204,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x4, 0x200, 3f, x1, 208,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb503, 0x8, 1b, x1, 212,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10001, -0x8, 0x400, 1b, x1, 216,0) - -inst_584: -// rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x20000001; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8001, -0x20000001, 0x20, 3f, x1, 220,0) - -inst_585: -// rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, -0x4000001, 0x556, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x7_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bgeu-01.S deleted file mode 100644 index 2b9d38768..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bgeu-01.S +++ /dev/null @@ -1,3720 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bgeu instruction of the RISC-V E extension for the bgeu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bgeu) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x5, rs2==x5, rs1_val > 0 and rs2_val > 0, rs2_val == 4294967039, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bgeu, op1:x5; op2:x5; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x3, x5, x5, 0xdfffffff, 0xdfffffff, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x1, rs2==x10, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs1_val == 4261412863 -// opcode: bgeu, op1:x1; op2:x10; op1val:0xfdffffff; op2val:0x7fffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x3, x1, x10, 0xfdffffff, 0x7fffffff, 0x4, 3f, x2, 4,0) - -inst_2: -// rs1==x0, rs2==x9, rs2_val == 3221225471, -// opcode: bgeu, op1:x0; op2:x9; op1val:0x0; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x3, x0, x9, 0x0, 0xbfffffff, 0xa, 1b, x2, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bgeu, op1:x7; op2:x11; op1val:0x13; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x7, x11, 0x13, 0xdfffffff, 0x6, 3f, x2, 12,0) - -inst_4: -// rs1==x6, rs2==x12, rs2_val == 4026531839, rs1_val == 2 -// opcode: bgeu, op1:x6; op2:x12; op1val:0x2; op2val:0xefffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x3, x6, x12, 0x2, 0xefffffff, 0x8, 3f, x2, 16,0) - -inst_5: -// rs1==x9, rs2==x13, rs2_val == 4160749567, rs1_val == 3221225471 -// opcode: bgeu, op1:x9; op2:x13; op1val:0xbfffffff; op2val:0xf7ffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x3, x9, x13, 0xbfffffff, 0xf7ffffff, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x11, rs2==x7, rs2_val == 4227858431, -// opcode: bgeu, op1:x11; op2:x7; op1val:0xb505; op2val:0xfbffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x11, x7, 0xb505, 0xfbffffff, 0x2, 3f, x2, 24,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == 4261412863, rs1_val == 1 -// opcode: bgeu, op1:x13; op2:x6; op1val:0x1; op2val:0xfdffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x13, x6, 0x1, 0xfdffffff, 0x2, 3f, x2, 28,0) - -inst_8: -// rs1==x12, rs2==x15, rs2_val == 4278190079, -// opcode: bgeu, op1:x12; op2:x15; op1val:0x66666666; op2val:0xfeffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x3, x12, x15, 0x66666666, 0xfeffffff, 0x0, 1b, x2, 32,0) - -inst_9: -// rs1==x10, rs2==x14, rs2_val == 4286578687, -// opcode: bgeu, op1:x10; op2:x14; op1val:0x33333333; op2val:0xff7fffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x10, x14, 0x33333333, 0xff7fffff, 0x6, 3f, x2, 36,0) - -inst_10: -// rs1==x3, rs2==x8, rs2_val == 4290772991, -// opcode: bgeu, op1:x3; op2:x8; op1val:0x12; op2val:0xffbfffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x3, x8, 0x12, 0xffbfffff, 0x4, 1b, x2, 40,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_11: -// rs1==x4, rs2==x2, rs2_val == 4292870143, -// opcode: bgeu, op1:x4; op2:x2; op1val:0x6; op2val:0xffdfffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x4, x2, 0x6, 0xffdfffff, 0x6, 1b, x5, 0,0) - -inst_12: -// rs1==x15, rs2==x3, rs2_val == 4293918719, -// opcode: bgeu, op1:x15; op2:x3; op1val:0x5; op2val:0xffefffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x15, x3, 0x5, 0xffefffff, 0x2, 1b, x5, 4,0) - -inst_13: -// rs1==x14, rs2==x1, rs2_val == 4294443007, rs1_val == 4293918719 -// opcode: bgeu, op1:x14; op2:x1; op1val:0xffefffff; op2val:0xfff7ffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x14, x1, 0xffefffff, 0xfff7ffff, 0x80, 3f, x5, 8,0) - -inst_14: -// rs1==x8, rs2==x4, rs2_val == 4294705151, -// opcode: bgeu, op1:x8; op2:x4; op1val:0x3; op2val:0xfffbffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x8, x4, 0x3, 0xfffbffff, 0x4, 1b, x5, 12,0) - -inst_15: -// rs1==x2, rs2==x0, rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x2; op2:x0; op1val:0x7fffffff; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x2, x0, 0x7fffffff, 0x0, 0x2, 1b, x5, 16,0) - -inst_16: -// rs2_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffeffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffeffff, 0x4, 3f, x5, 20,0) - -inst_17: -// rs2_val == 4294934527, rs1_val == 4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff7fff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff7fff, 0x6, 3f, x5, 24,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4294443007 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0xffffbfff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfff7ffff, 0xffffbfff, 0x2, 1b, x5, 28,0) - -inst_19: -// rs2_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffffdfff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffffdfff, 0x20, 3f, x5, 32,0) - -inst_20: -// rs2_val == 4294963199, rs1_val == 4286578687 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0xffffefff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xff7fffff, 0xffffefff, 0x100, 3f, x5, 36,0) - -inst_21: -// rs2_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffff7ff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffff7ff, 0x400, 1b, x5, 40,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000000, 0xfffffbff, 0x8, 1b, x5, 44,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffffdff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffffdff, 0x4, 3f, x5, 48,0) - -inst_24: -// rs2_val == 4294967167, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffffff7f; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffffff7f, 0x0, 3f, x5, 52,0) - -inst_25: -// rs2_val == 4294967231, rs1_val == 4294967293 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xffffffbf; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffd, 0xffffffbf, 0x0, 3f, x5, 56,0) - -inst_26: -// rs2_val == 4294967263, rs1_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0xffffffdf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0xffffffdf, 0x100, 3f, x5, 60,0) - -inst_27: -// rs2_val == 4294967279, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xffffffef; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xffffffef, 0x80, 3f, x5, 64,0) - -inst_28: -// rs2_val == 4294967287, rs1_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000000; op2val:0xfffffff7; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000000, 0xfffffff7, 0xa, 1b, x5, 68,0) - -inst_29: -// rs2_val == 4294967291, rs1_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffffb; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000, 0xfffffffb, 0xa, 1b, x5, 72,0) - -inst_30: -// rs2_val == 4294967293, rs1_val == 524288 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000; op2val:0xfffffffd; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000, 0xfffffffd, 0x10, 3f, x5, 76,0) - -inst_31: -// rs2_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xdfffffff; op2val:0xfffffffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xdfffffff, 0xfffffffe, 0x6, 1b, x5, 80,0) - -inst_32: -// rs1_val == 4026531839, rs2_val == 1073741824 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xefffffff, 0x40000000, 0x2, 3f, x5, 84,0) - -inst_33: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xf7ffffff, 0x4000000, 0x2, 3f, x5, 88,0) - -inst_34: -// rs1_val == 4227858431, rs2_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x8000, 0x4, 3f, x5, 92,0) - -inst_35: -// rs1_val == 4278190079, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xc; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfeffffff, 0xc, 0x4, 3f, x5, 96,0) - -inst_36: -// rs1_val == 4290772991, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0xffffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffbfffff, 0xffffffff, 0x556, 1b, x5, 100,0) - -inst_37: -// rs1_val == 4292870143, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x8000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffdfffff, 0x8000, 0x10, 3f, x5, 104,0) - -inst_38: -// rs1_val == 4294705151, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfffffeff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffbffff, 0xfffffeff, 0x0, 1b, x5, 108,0) - -inst_39: -// rs1_val == 4294836223, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffdffff, 0x5, 0x0, 1b, x5, 112,0) - -inst_40: -// rs1_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0xfffff7ff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffeffff, 0xfffff7ff, 0x20, 3f, x5, 116,0) - -inst_41: -// rs1_val == 4294934527, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0xc; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0xc, 0xa, 1b, x5, 120,0) - -inst_42: -// rs1_val == 4294950911, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0xfffffffd; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffbfff, 0xfffffffd, 0x20, 3f, x5, 124,0) - -inst_43: -// rs1_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xfeffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffdfff, 0xfeffffff, 0x100, 3f, x5, 128,0) - -inst_44: -// rs1_val == 4294963199, rs2_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x1000000, 0x10, 3f, x5, 132,0) - -inst_45: -// rs1_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0xfffffbff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0xfffffbff, 0x400, 3f, x5, 136,0) - -inst_46: -// rs1_val == 4294966271, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xefffffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffbff, 0xefffffff, 0x80, 3f, x5, 140,0) - -inst_47: -// rs1_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x1000000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffdff, 0x1000000, 0x6, 1b, x5, 144,0) - -inst_48: -// rs1_val == 4294967039, rs2_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x10000000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffeff, 0x10000000, 0x0, 3f, x5, 148,0) - -inst_49: -// rs1_val == 4294967167, rs2_val == 256 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x100; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x100, 0x6, 3f, x5, 152,0) - -inst_50: -// rs1_val == 4294967231, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0xffffffbf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffbf, 0xffffffbf, 0x100, 3f, x5, 156,0) - -inst_51: -// rs1_val == 4294967263, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0xffffffbf, 0x6, 1b, x5, 160,0) - -inst_52: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x800; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffef, 0x800, 0xa, 1b, x5, 164,0) - -inst_53: -// rs1_val == 4294967287, rs2_val == 134217728 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffff7, 0x8000000, 0x2, 1b, x5, 168,0) - -inst_54: -// rs1_val == 4294967291, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0xfffffeff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffb, 0xfffffeff, 0x400, 1b, x5, 172,0) - -inst_55: -// rs1_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0xe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffe, 0xe, 0x400, 3f, x5, 176,0) - -inst_56: -// rs2_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x80000000; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0x80000000, 0x80, 3f, x5, 180,0) - -inst_57: -// rs2_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x20000000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x20000000, 0x556, 1b, x5, 184,0) - -inst_58: -// rs2_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x2000000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x2000000, 0x200, 3f, x5, 188,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x800000, 0x200, 3f, x5, 192,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0x400000, 0x6, 3f, x5, 196,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x200000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x200000, 0x8, 1b, x5, 200,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x100000, 0x0, 3f, x5, 204,0) - -inst_63: -// rs2_val == 524288, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7; op2val:0x80000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7, 0x80000, 0x10, 3f, x5, 208,0) - -inst_64: -// rs2_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x40000, 0x0, 3f, x5, 212,0) - -inst_65: -// rs2_val == 131072, rs1_val == 65536 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x20000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x20000, 0x200, 3f, x5, 216,0) - -inst_66: -// rs2_val == 65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x10000, 0x40, 3f, x5, 220,0) - -inst_67: -// rs2_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xc; op2val:0x4000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xc, 0x4000, 0x2, 3f, x5, 224,0) - -inst_68: -// rs2_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2000, 0x6, 3f, x5, 228,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20; op2val:0x1000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20, 0x1000, 0x2, 1b, x5, 232,0) - -inst_70: -// rs2_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x400, 0x6, 1b, x5, 236,0) - -inst_71: -// rs2_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x200, 0x4, 3f, x5, 240,0) - -inst_72: -// rs2_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x80; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x80, 0x100, 3f, x5, 244,0) - -inst_73: -// rs2_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x40, 0x8, 3f, x5, 248,0) - -inst_74: -// rs2_val == 32, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x20, 0x200, 3f, x5, 252,0) - -inst_75: -// rs2_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x10; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x10, 0x6, 3f, x5, 256,0) - -inst_76: -// rs2_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0x8; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0x8, 0x2, 1b, x5, 260,0) - -inst_77: -// rs2_val == 4, rs1_val==65536 and rs2_val==4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x4, 0x2, 1b, x5, 264,0) - -inst_78: -// rs2_val == 2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x2, 0x4, 3f, x5, 268,0) - -inst_79: -// rs2_val == 1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x1; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x1, 0x10, 3f, x5, 272,0) - -inst_80: -// rs1_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000000; op2val:0xfffffff7; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000000, 0xfffffff7, 0x2, 1b, x5, 276,0) - -inst_81: -// rs1_val == 1073741824, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000000; op2val:0xb; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000000, 0xb, 0x100, 3f, x5, 280,0) - -inst_82: -// rs1_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000000, 0x800000, 0x8, 3f, x5, 284,0) - -inst_83: -// rs1_val == 134217728, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000000; op2val:0xefffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000000, 0xefffffff, 0x100, 3f, x5, 288,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xb; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000000, 0xb, 0x200, 3f, x5, 292,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800000; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800000, 0x4, 0x8, 1b, x5, 296,0) - -inst_86: -// rs1_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400000; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400000, 0x1, 0x0, 3f, x5, 300,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200000; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200000, 0x7fffffff, 0x400, 1b, x5, 304,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100000, 0x33333333, 0x2, 1b, x5, 308,0) - -inst_89: -// rs1_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000, 0x80, 0x8, 3f, x5, 312,0) - -inst_90: -// rs1_val == 131072, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000; op2val:0x20000000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000, 0x20000000, 0x20, 3f, x5, 316,0) - -inst_91: -// rs1_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000, 0x66666666, 0x6, 3f, x5, 320,0) - -inst_92: -// rs1_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000, 0x66666666, 0x2, 1b, x5, 324,0) - -inst_93: -// rs1_val == 4096, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffeff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000, 0xfffffeff, 0x556, 1b, x5, 328,0) - -inst_94: -// rs1_val == 2048, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800, 0xfffffffd, 0x80, 3f, x5, 332,0) - -inst_95: -// rs1_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400, 0x0, 0x6, 3f, x5, 336,0) - -inst_96: -// rs1_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200; op2val:0xefffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200, 0xefffffff, 0x556, 1b, x5, 340,0) - -inst_97: -// rs1_val == 256, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100, 0xb505, 0x200, 3f, x5, 344,0) - -inst_98: -// rs1_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80, 0x0, 0x4, 3f, x5, 348,0) - -inst_99: -// rs1_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffefff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40, 0xffffefff, 0x556, 1b, x5, 352,0) - -inst_100: -// rs1_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10, 0xfffffeff, 0x100, 3f, x5, 356,0) - -inst_101: -// rs1_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8; op2val:0xffffefff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8, 0xffffefff, 0x6, 3f, x5, 360,0) - -inst_102: -// rs1_val==65536 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x10000, 0x0, 3f, x5, 364,0) - -inst_103: -// rs1_val==65536 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x1, 0x80, 3f, x5, 368,0) - -inst_104: -// rs1_val==65536 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb505, 0x10, 3f, x5, 372,0) - -inst_105: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666667, 0x556, 1b, x5, 376,0) - -inst_106: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333334, 0x80, 3f, x5, 380,0) - -inst_107: -// rs1_val==65536 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x6, 0x400, 1b, x5, 384,0) - -inst_108: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaab, 0x100, 3f, x5, 388,0) - -inst_109: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555556, 0x20, 3f, x5, 392,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xfffe, 0x4, 3f, x5, 396,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x0, 0x100, 3f, x5, 400,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb503, 0x8, 1b, x5, 404,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666665, 0x400, 1b, x5, 408,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333332, 0x8, 3f, x5, 412,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaa9, 0x200, 3f, x5, 416,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555554, 0x8, 1b, x5, 420,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x2, 0x40, 3f, x5, 424,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xffff, 0x80, 3f, x5, 428,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb504, 0x100, 3f, x5, 432,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666666, 0x4, 3f, x5, 436,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x5, 440,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x5, 0x4, 1b, x5, 444,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaaa, 0x2, 3f, x5, 448,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555555, 0x20, 3f, x5, 452,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x3, 0x20, 3f, x5, 456,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x10000, 0x4, 1b, x5, 460,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x1, 0x100, 3f, x5, 464,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb505, 0x80, 3f, x5, 468,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666667, 0x2, 1b, x5, 472,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333334, 0x4, 3f, x5, 476,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x6, 0xa, 1b, x5, 480,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaab, 0x400, 3f, x5, 484,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555556, 0x400, 3f, x5, 488,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x4, 0x80, 3f, x5, 492,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xfffe, 0x400, 1b, x5, 496,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x0, 0x2, 3f, x5, 500,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb503, 0x8, 1b, x5, 504,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666665, 0x556, 1b, x5, 508,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333332, 0x40, 3f, x5, 512,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaa9, 0x400, 3f, x5, 516,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555554, 0x40, 3f, x5, 520,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x2, 0x100, 3f, x5, 524,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xffff, 0x20, 3f, x5, 528,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb504, 0x4, 3f, x5, 532,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666666, 0x10, 3f, x5, 536,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333333, 0x8, 1b, x5, 540,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x5, 0x8, 3f, x5, 544,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaaa, 0x40, 3f, x5, 548,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555555, 0x6, 1b, x5, 552,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x3, 0x10, 3f, x5, 556,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x10000, 0x8, 3f, x5, 560,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x1, 0x200, 3f, x5, 564,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb505, 0x400, 3f, x5, 568,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666667, 0x556, 1b, x5, 572,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333334, 0x10, 3f, x5, 576,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x6, 0x20, 3f, x5, 580,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaab, 0x4, 1b, x5, 584,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555556, 0x6, 3f, x5, 588,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x4, 0x4, 1b, x5, 592,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xfffe, 0x556, 1b, x5, 596,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x0, 0x6, 1b, x5, 600,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb503, 0xa, 1b, x5, 604,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x5, 608,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333332, 0x200, 3f, x5, 612,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaa9, 0x0, 3f, x5, 616,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555554, 0x2, 1b, x5, 620,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x2, 0x80, 3f, x5, 624,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xffff, 0x6, 1b, x5, 628,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb504, 0x40, 3f, x5, 632,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666666, 0x6, 1b, x5, 636,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333333, 0xa, 1b, x5, 640,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x5, 0x20, 3f, x5, 644,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaaa, 0x20, 3f, x5, 648,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x5, 652,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x3, 0x40, 3f, x5, 656,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x10000, 0x4, 3f, x5, 660,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x1, 0x200, 3f, x5, 664,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb505, 0x400, 1b, x5, 668,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666667, 0x6, 3f, x5, 672,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333334, 0x400, 1b, x5, 676,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x6, 0x6, 3f, x5, 680,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaab, 0x400, 1b, x5, 684,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555556, 0x6, 3f, x5, 688,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x4, 0x8, 1b, x5, 692,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xfffe, 0x2, 3f, x5, 696,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x0, 0x6, 1b, x5, 700,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb503, 0x80, 3f, x5, 704,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666665, 0x8, 1b, x5, 708,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333332, 0x8, 1b, x5, 712,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaa9, 0x20, 3f, x5, 716,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555554, 0x200, 3f, x5, 720,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x2, 0x4, 3f, x5, 724,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xffff, 0x10, 3f, x5, 728,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x5, 732,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666666, 0x8, 1b, x5, 736,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333333, 0x0, 3f, x5, 740,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x5, 0x6, 3f, x5, 744,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaaa, 0x556, 1b, x5, 748,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555555, 0x80, 3f, x5, 752,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x3, 0x4, 3f, x5, 756,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x10000, 0x8, 3f, x5, 760,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x1, 0x8, 3f, x5, 764,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb505, 0x0, 3f, x5, 768,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x5, 772,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333334, 0x200, 3f, x5, 776,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x6, 0x4, 3f, x5, 780,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaab, 0x8, 3f, x5, 784,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555556, 0x10, 3f, x5, 788,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x4, 0x40, 3f, x5, 792,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xfffe, 0x10, 3f, x5, 796,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x0, 0x400, 1b, x5, 800,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x5, 804,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666665, 0x6, 1b, x5, 808,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333332, 0x2, 3f, x5, 812,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaa9, 0x8, 3f, x5, 816,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555554, 0x4, 3f, x5, 820,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x2, 0x20, 3f, x5, 824,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xffff, 0x40, 3f, x5, 828,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb504, 0x10, 3f, x5, 832,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666666, 0x4, 3f, x5, 836,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333333, 0x400, 3f, x5, 840,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x5, 0x0, 3f, x5, 844,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaaa, 0x2, 3f, x5, 848,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555555, 0x0, 1b, x5, 852,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x3, 0x2, 1b, x5, 856,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x10000, 0x4, 3f, x5, 860,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x1, 0x20, 3f, x5, 864,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb505, 0x100, 3f, x5, 868,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666667, 0x2, 3f, x5, 872,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333334, 0x556, 1b, x5, 876,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x6, 0x4, 3f, x5, 880,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaab, 0x20, 3f, x5, 884,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555556, 0x4, 3f, x5, 888,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x4, 0x4, 1b, x5, 892,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffe, 0x6, 1b, x5, 896,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x0, 0x556, 1b, x5, 900,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb503, 0x10, 3f, x5, 904,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666665, 0x8, 3f, x5, 908,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333332, 0x4, 1b, x5, 912,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaa9, 0x4, 1b, x5, 916,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555554, 0x200, 3f, x5, 920,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x2, 0x4, 3f, x5, 924,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xffff, 0x4, 1b, x5, 928,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb504, 0x80, 3f, x5, 932,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666666, 0x6, 1b, x5, 936,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333333, 0x2, 3f, x5, 940,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x5, 0x40, 3f, x5, 944,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaaa, 0x80, 3f, x5, 948,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555555, 0x556, 1b, x5, 952,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x3, 0x6, 1b, x5, 956,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x10000, 0x400, 1b, x5, 960,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x1, 0xa, 1b, x5, 964,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb505, 0x100, 3f, x5, 968,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666667, 0x100, 3f, x5, 972,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 1b, x5, 976,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x5, 980,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 1b, x5, 984,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555556, 0x8, 3f, x5, 988,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x4, 0x6, 3f, x5, 992,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xfffe, 0x6, 1b, x5, 996,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x0, 0x400, 1b, x5, 1000,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb503, 0x8, 1b, x5, 1004,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666665, 0x2, 3f, x5, 1008,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333332, 0x0, 3f, x5, 1012,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x8, 1b, x5, 1016,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555554, 0x40, 3f, x5, 1020,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x2, 0x2, 1b, x5, 1024,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xffff, 0x200, 3f, x5, 1028,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb504, 0x8, 3f, x5, 1032,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666666, 0x80, 3f, x5, 1036,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333333, 0x6, 1b, x5, 1040,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x5, 0x2, 3f, x5, 1044,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0xa, 1b, x5, 1048,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555555, 0x40, 3f, x5, 1052,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x3, 0x6, 1b, x5, 1056,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x10000, 0x4, 1b, x5, 1060,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x1, 0x0, 1b, x5, 1064,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb505, 0x8, 1b, x5, 1068,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666667, 0x4, 1b, x5, 1072,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333334, 0x20, 3f, x5, 1076,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x6, 0x6, 3f, x5, 1080,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaab, 0x400, 3f, x5, 1084,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555556, 0x20, 3f, x5, 1088,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x4, 0x4, 3f, x5, 1092,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xfffe, 0x8, 3f, x5, 1096,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x0, 0x400, 3f, x5, 1100,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x5, 1104,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x5, 1108,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333332, 0x556, 1b, x5, 1112,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaa9, 0x6, 3f, x5, 1116,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555554, 0x2, 3f, x5, 1120,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x2, 0x20, 3f, x5, 1124,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xffff, 0x400, 3f, x5, 1128,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb504, 0x80, 3f, x5, 1132,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666666, 0x80, 3f, x5, 1136,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333333, 0x6, 1b, x5, 1140,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x5, 0x80, 3f, x5, 1144,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaaa, 0x8, 3f, x5, 1148,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555555, 0x400, 3f, x5, 1152,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x3, 0x2, 1b, x5, 1156,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x10000, 0x2, 1b, x5, 1160,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x1, 0x4, 1b, x5, 1164,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb505, 0x2, 3f, x5, 1168,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666667, 0x6, 3f, x5, 1172,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333334, 0x0, 1b, x5, 1176,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x6, 0x4, 1b, x5, 1180,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaab, 0x4, 3f, x5, 1184,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555556, 0x4, 3f, x5, 1188,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x4, 0x0, 1b, x5, 1192,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffe, 0x200, 3f, x5, 1196,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x0, 0x2, 1b, x5, 1200,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb503, 0xa, 1b, x5, 1204,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666665, 0x8, 3f, x5, 1208,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333332, 0x400, 1b, x5, 1212,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaa9, 0x200, 3f, x5, 1216,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555554, 0x100, 3f, x5, 1220,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x2, 0x2, 3f, x5, 1224,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff, 0x100, 3f, x5, 1228,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb504, 0xa, 1b, x5, 1232,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666666, 0x10, 3f, x5, 1236,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333333, 0x80, 3f, x5, 1240,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x5, 0x2, 1b, x5, 1244,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaaa, 0x200, 3f, x5, 1248,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555555, 0x10, 3f, x5, 1252,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x3, 0x20, 3f, x5, 1256,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x5, 1260,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x1, 0x40, 3f, x5, 1264,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb505, 0x0, 3f, x5, 1268,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666667, 0xa, 1b, x5, 1272,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x5, 1276,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x6, 0x20, 3f, x5, 1280,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 1b, x5, 1284,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555556, 0x10, 3f, x5, 1288,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x4, 0x400, 3f, x5, 1292,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xfffe, 0x556, 1b, x5, 1296,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x0, 0x2, 3f, x5, 1300,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb503, 0x0, 1b, x5, 1304,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666665, 0x8, 1b, x5, 1308,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333332, 0x40, 3f, x5, 1312,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaa9, 0x2, 1b, x5, 1316,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555554, 0x6, 1b, x5, 1320,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x2, 0x2, 1b, x5, 1324,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xffff, 0xa, 1b, x5, 1328,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb504, 0x2, 3f, x5, 1332,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x5, 1336,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333333, 0x2, 1b, x5, 1340,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x5, 0x400, 1b, x5, 1344,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaaa, 0xa, 1b, x5, 1348,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555555, 0x20, 3f, x5, 1352,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x3, 0x6, 1b, x5, 1356,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x10000, 0x10, 3f, x5, 1360,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x1, 0x6, 1b, x5, 1364,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb505, 0x6, 3f, x5, 1368,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666667, 0x400, 1b, x5, 1372,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333334, 0x8, 1b, x5, 1376,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x6, 0x80, 3f, x5, 1380,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaab, 0x100, 3f, x5, 1384,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555556, 0x0, 1b, x5, 1388,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x4, 0x4, 3f, x5, 1392,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xfffe, 0x200, 3f, x5, 1396,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x0, 0x2, 1b, x5, 1400,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb503, 0x400, 1b, x5, 1404,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666665, 0x80, 3f, x5, 1408,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333332, 0x200, 3f, x5, 1412,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaa9, 0x6, 1b, x5, 1416,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555554, 0xa, 1b, x5, 1420,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x2, 0x4, 1b, x5, 1424,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xffff, 0x556, 1b, x5, 1428,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb504, 0x80, 3f, x5, 1432,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666666, 0x2, 3f, x5, 1436,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333333, 0x400, 3f, x5, 1440,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x5, 0x4, 1b, x5, 1444,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaaa, 0xa, 1b, x5, 1448,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555555, 0x0, 1b, x5, 1452,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x3, 0x10, 3f, x5, 1456,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x10000, 0x2, 1b, x5, 1460,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x1, 0x2, 3f, x5, 1464,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb505, 0x0, 3f, x5, 1468,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666667, 0x0, 3f, x5, 1472,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x5, 1476,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x6, 0x200, 3f, x5, 1480,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaab, 0x4, 1b, x5, 1484,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x5, 1488,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x4, 0x8, 3f, x5, 1492,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xfffe, 0x100, 3f, x5, 1496,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x0, 0x4, 1b, x5, 1500,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb503, 0x4, 1b, x5, 1504,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666665, 0x4, 1b, x5, 1508,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333332, 0x2, 1b, x5, 1512,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaa9, 0x40, 3f, x5, 1516,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555554, 0x400, 1b, x5, 1520,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x2, 0x10, 3f, x5, 1524,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xffff, 0x4, 1b, x5, 1528,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb504, 0x10, 3f, x5, 1532,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x5, 1536,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x5, 1540,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x5, 0xa, 1b, x5, 1544,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaaa, 0x556, 1b, x5, 1548,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555555, 0x0, 3f, x5, 1552,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x3, 0x40, 3f, x5, 1556,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x10000, 0x6, 1b, x5, 1560,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x1, 0xa, 1b, x5, 1564,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb505, 0x0, 3f, x5, 1568,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666667, 0x6, 3f, x5, 1572,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x5, 1576,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x6, 0x0, 1b, x5, 1580,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaab, 0x8, 3f, x5, 1584,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555556, 0x200, 3f, x5, 1588,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x4, 0x6, 1b, x5, 1592,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xfffe, 0x200, 3f, x5, 1596,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x0, 0x400, 1b, x5, 1600,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x5, 1604,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666665, 0x20, 3f, x5, 1608,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333332, 0x0, 3f, x5, 1612,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaa9, 0x4, 1b, x5, 1616,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x5, 1620,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x2, 0x40, 3f, x5, 1624,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffff, 0x6, 3f, x5, 1628,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb504, 0x80, 3f, x5, 1632,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x5, 1636,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333333, 0x10, 3f, x5, 1640,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x5, 0x0, 1b, x5, 1644,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaaa, 0x556, 1b, x5, 1648,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555555, 0x200, 3f, x5, 1652,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x3, 0x8, 1b, x5, 1656,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x10000, 0x100, 3f, x5, 1660,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x1, 0x8, 1b, x5, 1664,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb505, 0x2, 1b, x5, 1668,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x5, 1672,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333334, 0x10, 3f, x5, 1676,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x6, 0xa, 1b, x5, 1680,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaab, 0x100, 3f, x5, 1684,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x5, 1688,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x4, 0x100, 3f, x5, 1692,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffe, 0x2, 3f, x5, 1696,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x0, 0x80, 3f, x5, 1700,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb503, 0x556, 1b, x5, 1704,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666665, 0x400, 1b, x5, 1708,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333332, 0x10, 3f, x5, 1712,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaa9, 0x6, 1b, x5, 1716,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x5, 1720,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x2, 0x10, 3f, x5, 1724,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xffff, 0x6, 3f, x5, 1728,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb504, 0x6, 1b, x5, 1732,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666666, 0x100, 3f, x5, 1736,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333333, 0x2, 1b, x5, 1740,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x5, 0x2, 1b, x5, 1744,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaaa, 0x80, 3f, x5, 1748,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555555, 0x80, 3f, x5, 1752,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x3, 0x200, 3f, x5, 1756,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x10000, 0x20, 3f, x5, 1760,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x1, 0x556, 1b, x5, 1764,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb505, 0x40, 3f, x5, 1768,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666667, 0x40, 3f, x5, 1772,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333334, 0x400, 3f, x5, 1776,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x6, 0x6, 3f, x5, 1780,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x80, 3f, x5, 1784,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555556, 0x4, 3f, x5, 1788,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x4, 0x6, 1b, x5, 1792,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xfffe, 0x200, 3f, x5, 1796,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x0, 0x4, 1b, x5, 1800,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb503, 0x80, 3f, x5, 1804,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666665, 0x400, 3f, x5, 1808,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333332, 0x4, 3f, x5, 1812,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, 1b, x5, 1816,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 1b, x5, 1820,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x2, 0x6, 3f, x5, 1824,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xffff, 0x200, 3f, x5, 1828,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb504, 0x400, 1b, x5, 1832,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666666, 0x100, 3f, x5, 1836,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333333, 0x400, 1b, x5, 1840,0) - -inst_472: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x5, 0x2, 3f, x5, 1844,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x4, 0x10, 3f, x5, 1848,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x5, 1852,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x0, 0x200, 3f, x5, 1856,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x5, 1860,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666665, 0x8, 1b, x5, 1864,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333332, 0x80, 3f, x5, 1868,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaa9, 0x100, 3f, x5, 1872,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x5, 1876,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x2, 0x80, 3f, x5, 1880,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xffff, 0x20, 3f, x5, 1884,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb504, 0x556, 1b, x5, 1888,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666666, 0x6, 1b, x5, 1892,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333333, 0x556, 1b, x5, 1896,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x5, 0x20, 3f, x5, 1900,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaaa, 0x20, 3f, x5, 1904,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555555, 0x100, 3f, x5, 1908,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x3, 0x556, 1b, x5, 1912,0) - -inst_490: -// rs1_val==2 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x10000, 0x4, 3f, x5, 1916,0) - -inst_491: -// rs1_val==2 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x1, 0x40, 3f, x5, 1920,0) - -inst_492: -// rs1_val==2 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb505, 0x2, 3f, x5, 1924,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666667, 0x2, 1b, x5, 1928,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333334, 0x8, 3f, x5, 1932,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x6, 0x8, 1b, x5, 1936,0) - -inst_496: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaab, 0x4, 1b, x5, 1940,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555556, 0x100, 3f, x5, 1944,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x4, 0x556, 1b, x5, 1948,0) - -inst_499: -// rs1_val==2 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xfffe, 0x200, 3f, x5, 1952,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x0, 0x400, 3f, x5, 1956,0) - -inst_501: -// rs1_val==2 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb503, 0x0, 3f, x5, 1960,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666665, 0x4, 3f, x5, 1964,0) - -inst_503: -// rs1_val==2 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333332, 0x20, 3f, x5, 1968,0) - -inst_504: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaa9, 0x0, 1b, x5, 1972,0) - -inst_505: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555554, 0x200, 3f, x5, 1976,0) - -inst_506: -// rs1_val==2 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2, 0x400, 3f, x5, 1980,0) - -inst_507: -// rs1_val==2 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xffff, 0x556, 1b, x5, 1984,0) - -inst_508: -// rs1_val==2 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb504, 0x8, 3f, x5, 1988,0) - -inst_509: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666666, 0x40, 3f, x5, 1992,0) - -inst_510: -// rs1_val==2 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333333, 0xa, 1b, x5, 1996,0) - -inst_511: -// rs1_val==2 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x5, 0x10, 3f, x5, 2000,0) - -inst_512: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaaa, 0x400, 1b, x5, 2004,0) - -inst_513: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555555, 0xa, 1b, x5, 2008,0) - -inst_514: -// rs1_val==2 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x3, 0x6, 3f, x5, 2012,0) - -inst_515: -// rs1_val==65535 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x10000, 0x556, 1b, x5, 2016,0) - -inst_516: -// rs1_val==65535 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x1, 0x4, 1b, x5, 2020,0) - -inst_517: -// rs1_val==65535 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb505, 0x8, 3f, x5, 2024,0) - -inst_518: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666667, 0x4, 1b, x5, 2028,0) - -inst_519: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333334, 0x20, 3f, x5, 2032,0) - -inst_520: -// rs1_val==65535 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x6, 0x4, 1b, x5, 2036,0) - -inst_521: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaab, 0x100, 3f, x5, 2040,0) - -inst_522: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555556, 0x20, 3f, x5, 2044,0) -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_523: -// rs1_val==65535 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x4, 0x100, 3f, x5, 0,0) - -inst_524: -// rs1_val==65535 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xfffe, 0x6, 1b, x5, 4,0) - -inst_525: -// rs1_val==65535 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x0, 0x556, 1b, x5, 8,0) - -inst_526: -// rs1_val==65535 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb503, 0x100, 3f, x5, 12,0) - -inst_527: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666665, 0x2, 1b, x5, 16,0) - -inst_528: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x5, 20,0) - -inst_529: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaa9, 0x200, 3f, x5, 24,0) - -inst_530: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555554, 0x20, 3f, x5, 28,0) - -inst_531: -// rs1_val==65535 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x2, 0x6, 1b, x5, 32,0) - -inst_532: -// rs1_val==65535 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xffff, 0x0, 1b, x5, 36,0) - -inst_533: -// rs1_val==65535 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb504, 0x200, 3f, x5, 40,0) - -inst_534: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x5, 44,0) - -inst_535: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333333, 0xa, 1b, x5, 48,0) - -inst_536: -// rs1_val==65535 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x5, 0x80, 3f, x5, 52,0) - -inst_537: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaaa, 0x40, 3f, x5, 56,0) - -inst_538: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555555, 0x2, 3f, x5, 60,0) - -inst_539: -// rs1_val==65535 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x3, 0x8, 3f, x5, 64,0) - -inst_540: -// rs1_val==46340 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x10000, 0x6, 1b, x5, 68,0) - -inst_541: -// rs1_val==46340 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x1, 0x2, 1b, x5, 72,0) - -inst_542: -// rs1_val==46340 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb505, 0x40, 3f, x5, 76,0) - -inst_543: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x5, 80,0) - -inst_544: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333334, 0x400, 3f, x5, 84,0) - -inst_545: -// rs1_val==46340 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x6, 0x2, 1b, x5, 88,0) - -inst_546: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaab, 0x556, 1b, x5, 92,0) - -inst_547: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x5, 96,0) - -inst_548: -// rs1_val==46340 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x4, 0x8, 1b, x5, 100,0) - -inst_549: -// rs1_val==46340 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xfffe, 0x80, 3f, x5, 104,0) - -inst_550: -// rs1_val==46340 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x0, 0x2, 3f, x5, 108,0) - -inst_551: -// rs1_val==46340 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb503, 0xa, 1b, x5, 112,0) - -inst_552: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666665, 0x8, 3f, x5, 116,0) - -inst_553: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333332, 0x4, 1b, x5, 120,0) - -inst_554: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaa9, 0x200, 3f, x5, 124,0) - -inst_555: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555554, 0x100, 3f, x5, 128,0) - -inst_556: -// rs1_val==46340 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x2, 0x200, 3f, x5, 132,0) - -inst_557: -// rs1_val==46340 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xffff, 0x100, 3f, x5, 136,0) - -inst_558: -// rs1_val==46340 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb504, 0x400, 1b, x5, 140,0) - -inst_559: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x5, 144,0) - -inst_560: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333333, 0x200, 3f, x5, 148,0) - -inst_561: -// rs1_val==46340 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x5, 0x556, 1b, x5, 152,0) - -inst_562: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x5, 156,0) - -inst_563: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x5, 160,0) - -inst_564: -// rs1_val==46340 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x3, 0x8, 3f, x5, 164,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x10000, 0x10, 3f, x5, 168,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x1, 0x200, 3f, x5, 172,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb505, 0x4, 1b, x5, 176,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x5, 180,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333334, 0x2, 1b, x5, 184,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x6, 0x8, 1b, x5, 188,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaab, 0x6, 3f, x5, 192,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x5, 196,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x4, 0x40, 3f, x5, 200,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xfffe, 0x200, 3f, x5, 204,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x0, 0x0, 1b, x5, 208,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb503, 0x0, 1b, x5, 212,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x5, 216,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333332, 0x2, 3f, x5, 220,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaa9, 0x10, 3f, x5, 224,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555554, 0x556, 1b, x5, 228,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x2, 0x400, 1b, x5, 232,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xffff, 0x200, 3f, x5, 236,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb504, 0x2, 1b, x5, 240,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666666, 0x200, 3f, x5, 244,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333333, 0x10, 3f, x5, 248,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x5, 0x400, 3f, x5, 252,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaaa, 0x4, 1b, x5, 256,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555555, 0x2, 3f, x5, 260,0) - -inst_589: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x3, 0x8, 1b, x5, 264,0) - -inst_590: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x10000, 0x2, 1b, x5, 268,0) - -inst_591: -// rs1_val==858993459 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x1, 0x0, 1b, x5, 272,0) - -inst_592: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb505, 0x2, 3f, x5, 276,0) - -inst_593: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666667, 0x6, 3f, x5, 280,0) - -inst_594: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333334, 0x400, 1b, x5, 284,0) - -inst_595: -// rs1_val==858993459 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x6, 0x0, 3f, x5, 288,0) - -inst_596: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaab, 0x10, 3f, x5, 292,0) - -inst_597: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555556, 0x200, 3f, x5, 296,0) - -inst_598: -// rs1_val==858993459 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x4, 0x8, 1b, x5, 300,0) - -inst_599: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xfffe, 0xa, 1b, x5, 304,0) - -inst_600: -// rs1_val==858993459 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x0, 0x0, 1b, x5, 308,0) - -inst_601: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x3, 0x6, 1b, x5, 312,0) - -inst_602: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x5, 316,0) - -inst_603: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666665, 0x100, 3f, x5, 320,0) - -inst_604: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x5, 324,0) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaa9, 0x100, 3f, x5, 328,0) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555554, 0x8, 1b, x5, 332,0) - -inst_607: -// rs1_val==858993459 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x2, 0x80, 3f, x5, 336,0) - -inst_608: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xffff, 0x556, 1b, x5, 340,0) - -inst_609: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb504, 0x8, 1b, x5, 344,0) - -inst_610: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666666, 0x0, 3f, x5, 348,0) - -inst_611: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333333, 0x2, 1b, x5, 352,0) - -inst_612: -// rs1_val==858993459 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x5, 0x80, 3f, x5, 356,0) - -inst_613: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaaa, 0x6, 1b, x5, 360,0) - -inst_614: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x5, 364,0) - -inst_615: -// rs1_val==858993459 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x3, 0x0, 3f, x5, 368,0) - -inst_616: -// rs1_val==5 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x10000, 0x100, 3f, x5, 372,0) - -inst_617: -// rs1_val==5 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x1, 0x6, 3f, x5, 376,0) - -inst_618: -// rs1_val==5 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb505, 0xa, 1b, x5, 380,0) - -inst_619: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666667, 0x400, 1b, x5, 384,0) - -inst_620: -// rs1_val==5 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333334, 0x8, 3f, x5, 388,0) - -inst_621: -// rs1_val==5 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x6, 0x2, 1b, x5, 392,0) - -inst_622: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaab, 0x100, 3f, x5, 396,0) - -inst_623: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555556, 0x4, 3f, x5, 400,0) - -inst_624: -// rs1_val==5 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x4, 0x0, 3f, x5, 404,0) - -inst_625: -// rs1_val==5 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xfffe, 0x400, 3f, x5, 408,0) - -inst_626: -// rs1_val==5 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x0, 0x200, 3f, x5, 412,0) - -inst_627: -// rs1_val==5 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb503, 0x556, 1b, x5, 416,0) - -inst_628: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666665, 0x6, 1b, x5, 420,0) - -inst_629: -// rs1_val==5 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333332, 0x556, 1b, x5, 424,0) - -inst_630: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaa9, 0x6, 3f, x5, 428,0) - -inst_631: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555554, 0x556, 1b, x5, 432,0) - -inst_632: -// rs1_val==5 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x2, 0x6, 3f, x5, 436,0) - -inst_633: -// rs1_val==5 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xffff, 0xa, 1b, x5, 440,0) - -inst_634: -// rs1_val==5 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb504, 0x556, 1b, x5, 444,0) - -inst_635: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666666, 0x2, 3f, x5, 448,0) - -inst_636: -// rs1_val==5 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333333, 0x6, 3f, x5, 452,0) - -inst_637: -// rs1_val==5 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x5, 0x6, 1b, x5, 456,0) - -inst_638: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaaa, 0xa, 1b, x5, 460,0) - -inst_639: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555555, 0x0, 1b, x5, 464,0) - -inst_640: -// rs1_val==5 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x3, 0x0, 3f, x5, 468,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x10000, 0x6, 1b, x5, 472,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x1, 0x556, 1b, x5, 476,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb505, 0x10, 3f, x5, 480,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666667, 0x0, 1b, x5, 484,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333334, 0x4, 1b, x5, 488,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x6, 0x20, 3f, x5, 492,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x0, 3f, x5, 496,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555556, 0x8, 3f, x5, 500,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x4, 0x80, 3f, x5, 504,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xfffe, 0x20, 3f, x5, 508,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x0, 0x6, 3f, x5, 512,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb503, 0x400, 1b, x5, 516,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666665, 0x20, 3f, x5, 520,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333332, 0x0, 3f, x5, 524,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x40, 3f, x5, 528,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555554, 0x40, 3f, x5, 532,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x2, 0x0, 1b, x5, 536,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xffff, 0x40, 3f, x5, 540,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb504, 0x0, 1b, x5, 544,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666666, 0x400, 3f, x5, 548,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333333, 0x100, 3f, x5, 552,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x5, 0x100, 3f, x5, 556,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x6, 3f, x5, 560,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555555, 0x400, 1b, x5, 564,0) - -inst_665: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x3, 0x20, 3f, x5, 568,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==65536, rs1_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x10000, 0x400, 3f, x5, 572,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x1, 0x8, 1b, x5, 576,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb505, 0x10, 3f, x5, 580,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x5, 584,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x5, 588,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x6, 0x80, 3f, x5, 592,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaab, 0x8, 3f, x5, 596,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555556, 0x200, 3f, x5, 600,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x4, 0x556, 1b, x5, 604,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xfffe, 0x4, 3f, x5, 608,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x0, 0x200, 3f, x5, 612,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb503, 0x8, 3f, x5, 616,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666665, 0xa, 1b, x5, 620,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333332, 0x4, 1b, x5, 624,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaa9, 0x100, 3f, x5, 628,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555554, 0x100, 3f, x5, 632,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x2, 0x8, 1b, x5, 636,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xffff, 0x0, 1b, x5, 640,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb504, 0x20, 3f, x5, 644,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x5, 648,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x5, 652,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x5, 0xa, 1b, x5, 656,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaaa, 0x8, 3f, x5, 660,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x5, 664,0) - -inst_690: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x3, 0x8, 3f, x5, 668,0) - -inst_691: -// rs1_val==3 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x10000, 0x400, 1b, x5, 672,0) - -inst_692: -// rs1_val==3 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x1, 0x400, 1b, x5, 676,0) - -inst_693: -// rs1_val==3 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb505, 0x8, 3f, x5, 680,0) - -inst_694: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666667, 0x0, 3f, x5, 684,0) - -inst_695: -// rs1_val==3 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333334, 0x8, 1b, x5, 688,0) - -inst_696: -// rs1_val==3 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x6, 0x0, 3f, x5, 692,0) - -inst_697: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaab, 0x400, 3f, x5, 696,0) - -inst_698: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555556, 0x400, 3f, x5, 700,0) - -inst_699: -// rs1_val==3 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x4, 0x8, 1b, x5, 704,0) - -inst_700: -// rs1_val==3 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xfffe, 0x8, 3f, x5, 708,0) - -inst_701: -// rs1_val==3 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x0, 0xa, 1b, x5, 712,0) - -inst_702: -// rs1_val==3 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb503, 0x40, 3f, x5, 716,0) - -inst_703: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666665, 0x200, 3f, x5, 720,0) - -inst_704: -// rs1_val==3 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333332, 0x200, 3f, x5, 724,0) - -inst_705: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaa9, 0x4, 1b, x5, 728,0) - -inst_706: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555554, 0x2, 3f, x5, 732,0) - -inst_707: -// rs1_val==3 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x2, 0x400, 1b, x5, 736,0) - -inst_708: -// rs1_val==3 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xffff, 0x6, 3f, x5, 740,0) - -inst_709: -// rs1_val==3 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb504, 0x2, 3f, x5, 744,0) - -inst_710: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666666, 0x8, 1b, x5, 748,0) - -inst_711: -// rs1_val==3 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333333, 0x2, 1b, x5, 752,0) - -inst_712: -// rs1_val==3 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x5, 0x4, 3f, x5, 756,0) - -inst_713: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaaa, 0x0, 1b, x5, 760,0) - -inst_714: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555555, 0x0, 3f, x5, 764,0) - -inst_715: -// rs1_val==3 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x3, 0x200, 3f, x5, 768,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x200, 3f, x5, 772,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555555, 0x20, 3f, x5, 776,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x10000, 0x4, 1b, x5, 780,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x1, 0x6, 3f, x5, 784,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb505, 0x2, 3f, x5, 788,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666667, 0x4, 1b, x5, 792,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x5, 796,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x6, 0xa, 1b, x5, 800,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaab, 0x6, 1b, x5, 804,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x5, 808,0) - -inst_726: -// rs2_val == 3221225471, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xbfffffff, 0xa, 1b, x5, 812,0) - -inst_727: -// rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffdffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7fffffff, 0xfffdffff, 0x2, 1b, x5, 816,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 11*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 205*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/blt-01.S deleted file mode 100644 index 886a17eba..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/blt-01.S +++ /dev/null @@ -1,3010 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the blt instruction of the RISC-V E extension for the blt covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",blt) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 == rs2, rs1==x7, rs2==x7, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs2_val == -65, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x7; op2:x7; op1val:-0x10001; op2val:-0x10001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x4, x7, x7, -0x10001, -0x10001, 0x80, 3f, x6, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x15, rs2_val == 2147483647, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x9; op2:x15; op1val:0x4; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x4, x9, x15, 0x4, 0x7fffffff, 0x0, 3f, x6, 4,0) - -inst_2: -// rs1==x12, rs2==x3, rs2_val == -1073741825, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x12; op2:x3; op1val:0x4; op2val:-0x40000001; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x4, x12, x3, 0x4, -0x40000001, 0x400, 3f, x6, 8,0) - -inst_3: -// rs1==x2, rs2==x5, rs2_val == -536870913, -// opcode: blt, op1:x2; op2:x5; op1val:0x0; op2val:-0x20000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x2, x5, 0x0, -0x20000001, 0x6, 1b, x6, 12,0) - -inst_4: -// rs1==x11, rs2==x0, rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x11; op2:x0; op1val:0x100000; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x4, x11, x0, 0x100000, 0x0, 0x200, 3f, x6, 16,0) - -inst_5: -// rs1==x3, rs2==x13, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -9 -// opcode: blt, op1:x3; op2:x13; op1val:-0x9; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x4, x3, x13, -0x9, -0x8000001, 0x4, 1b, x6, 20,0) - -inst_6: -// rs1==x5, rs2==x12, rs2_val == -67108865, -// opcode: blt, op1:x5; op2:x12; op1val:-0x1; op2val:-0x4000001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x4, x5, x12, -0x1, -0x4000001, 0x2, 1b, x6, 24,0) - -inst_7: -// rs1==x1, rs2==x14, rs2_val == -33554433, -// opcode: blt, op1:x1; op2:x14; op1val:-0x10001; op2val:-0x2000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x1, x14, -0x10001, -0x2000001, 0x6, 1b, x6, 28,0) - -inst_8: -// rs1==x13, rs2==x4, rs2_val == -16777217, rs1_val == 1024 -// opcode: blt, op1:x13; op2:x4; op1val:0x400; op2val:-0x1000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x5, x13, x4, 0x400, -0x1000001, 0x6, 3f, x6, 32,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_9: -// rs1==x14, rs2==x8, rs2_val == -8388609, rs1_val == -2049 -// opcode: blt, op1:x14; op2:x8; op1val:-0x801; op2val:-0x800001; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x5, x14, x8, -0x801, -0x800001, 0x8, 3f, x3, 0,0) - -inst_10: -// rs1==x8, rs2==x10, rs2_val == -4194305, -// opcode: blt, op1:x8; op2:x10; op1val:-0x1; op2val:-0x400001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x8, x10, -0x1, -0x400001, 0x2, 1b, x3, 4,0) - -inst_11: -// rs1==x0, rs2==x2, rs2_val == -2097153, -// opcode: blt, op1:x0; op2:x2; op1val:0x0; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x0, x2, 0x0, -0x200001, 0x2, 3f, x3, 8,0) - -inst_12: -// rs1==x4, rs2==x1, rs2_val == -1048577, rs1_val == 67108864 -// opcode: blt, op1:x4; op2:x1; op1val:0x4000000; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x4, x1, 0x4000000, -0x100001, 0x2, 1b, x3, 12,0) - -inst_13: -// rs1==x10, rs2==x6, rs2_val == -524289, rs1_val == -8388609 -// opcode: blt, op1:x10; op2:x6; op1val:-0x800001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x5, x10, x6, -0x800001, -0x80001, 0x556, 1b, x3, 16,0) - -inst_14: -// rs1==x15, rs2==x11, rs2_val == -262145, -// opcode: blt, op1:x15; op2:x11; op1val:-0xb503; op2val:-0x40001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x5, x15, x11, -0xb503, -0x40001, 0x200, 3f, x3, 20,0) - -inst_15: -// rs1==x6, rs2==x9, rs2_val == -131073, rs1_val == -1025 -// opcode: blt, op1:x6; op2:x9; op1val:-0x401; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x5, x6, x9, -0x401, -0x20001, 0x4, 3f, x3, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == 2048 -// opcode: blt, op1:x10; op2:x11; op1val:0x800; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800, -0x10001, 0x0, 3f, x3, 28,0) - -inst_17: -// rs2_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x8001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x8001, 0x0, 1b, x3, 32,0) - -inst_18: -// rs2_val == -16385, rs1_val == -5 -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:-0x4001; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, -0x4001, 0x40, 3f, x3, 36,0) - -inst_19: -// rs2_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x2001, 0x4, 1b, x3, 40,0) - -inst_20: -// rs2_val == -4097, -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000000, -0x1001, 0x80, 3f, x3, 44,0) - -inst_21: -// rs2_val == -2049, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x801; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x801, 0x6, 3f, x3, 48,0) - -inst_22: -// rs2_val == -1025, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1048577 -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, -0x401, 0x8, 1b, x3, 52,0) - -inst_23: -// rs2_val == -513, rs1_val == -67108865 -// opcode: blt, op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x201; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4000001, -0x201, 0x0, 1b, x3, 56,0) - -inst_24: -// rs2_val == -257, rs1_val == -134217729 -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, -0x101, 0x6, 1b, x3, 60,0) - -inst_25: -// rs2_val == -129, rs1_val == 32 -// opcode: blt, op1:x10; op2:x11; op1val:0x20; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20, -0x81, 0x0, 1b, x3, 64,0) - -inst_26: -// rs2_val == -33, rs1_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x21, 0x20, 3f, x3, 68,0) - -inst_27: -// rs2_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x6; op2val:-0x11; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x6, -0x11, 0x100, 3f, x3, 72,0) - -inst_28: -// rs2_val == -9, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x9; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x9, 0x400, 3f, x3, 76,0) - -inst_29: -// rs2_val == -5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x5, 0x6, 3f, x3, 80,0) - -inst_30: -// rs2_val == -3, rs1_val == -268435457 -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, -0x3, 0x400, 3f, x3, 84,0) - -inst_31: -// rs2_val == -2, rs1_val == -129 -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:-0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, -0x2, 0x400, 1b, x3, 88,0) - -inst_32: -// rs1_val == 2147483647, rs2_val == 8 -// opcode: blt, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x7fffffff, 0x8, 0x80, 3f, x3, 92,0) - -inst_33: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 134217728 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000001, 0x8000000, 0x40, 3f, x3, 96,0) - -inst_34: -// rs1_val == -536870913, -// opcode: blt, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20000001, -0x201, 0x4, 3f, x3, 100,0) - -inst_35: -// rs1_val == -33554433, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2000001, -0x55555555, 0x4, 1b, x3, 104,0) - -inst_36: -// rs1_val == -16777217, -// opcode: blt, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x800001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1000001, -0x800001, 0x556, 1b, x3, 108,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:-0x400001; op2val:0x100000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x400001, 0x100000, 0x6, 3f, x3, 112,0) - -inst_38: -// rs1_val == -2097153, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x8000000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x8000000, 0x0, 3f, x3, 116,0) - -inst_39: -// rs1_val == -524289, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, -0x200001, 0x2, 3f, x3, 120,0) - -inst_40: -// rs1_val == -262145, rs2_val == 524288 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40001; op2val:0x80000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40001, 0x80000, 0x2, 3f, x3, 124,0) - -inst_41: -// rs1_val == -131073, rs2_val == 131072 -// opcode: blt, op1:x10; op2:x11; op1val:-0x20001; op2val:0x20000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20001, 0x20000, 0x400, 3f, x3, 128,0) - -inst_42: -// rs1_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8001; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8001, -0xb503, 0x4, 1b, x3, 132,0) - -inst_43: -// rs1_val == -16385, -// opcode: blt, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4001, -0x40001, 0x2, 3f, x3, 136,0) - -inst_44: -// rs1_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x40000001; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2001, -0x40000001, 0x20, 3f, x3, 140,0) - -inst_45: -// rs1_val == -4097, rs2_val == 256 -// opcode: blt, op1:x10; op2:x11; op1val:-0x1001; op2val:0x100; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1001, 0x100, 0x4, 3f, x3, 144,0) - -inst_46: -// rs1_val == -513, rs2_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:-0x201; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x201, 0x55555555, 0x8, 1b, x3, 148,0) - -inst_47: -// rs1_val == -257, -// opcode: blt, op1:x10; op2:x11; op1val:-0x101; op2val:-0x801; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x101, -0x801, 0x2, 3f, x3, 152,0) - -inst_48: -// rs1_val == -65, rs2_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:-0x41; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x41, 0x2, 0x400, 1b, x3, 156,0) - -inst_49: -// rs1_val == -33, -// opcode: blt, op1:x10; op2:x11; op1val:-0x21; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x21, 0x55555554, 0x80, 3f, x3, 160,0) - -inst_50: -// rs1_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x11; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x11, 0x66666667, 0x10, 3f, x3, 164,0) - -inst_51: -// rs1_val == -3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x5, 0x100, 3f, x3, 168,0) - -inst_52: -// rs1_val == -2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2; op2val:-0x2001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2, -0x2001, 0x0, 3f, x3, 172,0) - -inst_53: -// rs2_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x80000000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x80000000, 0x8, 1b, x3, 176,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x40000000, 0x2, 3f, x3, 180,0) - -inst_55: -// rs2_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x20000000, 0x2, 1b, x3, 184,0) - -inst_56: -// rs2_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, 0x10000000, 0x6, 3f, x3, 188,0) - -inst_57: -// rs2_val == 67108864, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x4000000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x4000000, 0x400, 3f, x3, 192,0) - -inst_58: -// rs2_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x9, 0x2000000, 0xa, 1b, x3, 196,0) - -inst_59: -// rs2_val == 16777216, rs1_val == 2097152 -// opcode: blt, op1:x10; op2:x11; op1val:0x200000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200000, 0x1000000, 0x100, 3f, x3, 200,0) - -inst_60: -// rs2_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x800000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x800000, 0x100, 3f, x3, 204,0) - -inst_61: -// rs2_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x400000; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x400000, 0x20, 3f, x3, 208,0) - -inst_62: -// rs2_val == 2097152, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200000, 0xa, 1b, x3, 212,0) - -inst_63: -// rs2_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x40000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x40000, 0x100, 3f, x3, 216,0) - -inst_64: -// rs2_val == 65536, rs1_val == 8192 -// opcode: blt, op1:x10; op2:x11; op1val:0x2000; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000, 0x10000, 0x6, 3f, x3, 220,0) - -inst_65: -// rs2_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x8000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, 0x8000, 0x2, 3f, x3, 224,0) - -inst_66: -// rs2_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4000, 0x400, 3f, x3, 228,0) - -inst_67: -// rs2_val == 8192, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2000; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2000, 0x10, 3f, x3, 232,0) - -inst_68: -// rs2_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, 0x1000, 0x6, 3f, x3, 236,0) - -inst_69: -// rs2_val == 2048, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x800; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x800, 0x2, 3f, x3, 240,0) - -inst_70: -// rs2_val == 1024, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x400; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, 0x400, 0x556, 1b, x3, 244,0) - -inst_71: -// rs2_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200, 0x80, 3f, x3, 248,0) - -inst_72: -// rs2_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x80; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x80, 0x40, 3f, x3, 252,0) - -inst_73: -// rs2_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:0x40; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, 0x40, 0x10, 3f, x3, 256,0) - -inst_74: -// rs2_val == 32, -// opcode: blt, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x20; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3fffffff, 0x20, 0x0, 1b, x3, 260,0) - -inst_75: -// rs2_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x10, 0x100, 3f, x3, 264,0) - -inst_76: -// rs2_val == 4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, 0x4, 0x4, 1b, x3, 268,0) - -inst_77: -// rs2_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x1, 0x4, 1b, x3, 272,0) - -inst_78: -// rs1_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80000000, -0x2, 0x6, 1b, x3, 276,0) - -inst_79: -// rs1_val == 1073741824, rs2_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000000, -0x55555556, 0x20, 3f, x3, 280,0) - -inst_80: -// rs1_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000000; op2val:0x4000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000000, 0x4000, 0x0, 1b, x3, 284,0) - -inst_81: -// rs1_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x41; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000000, -0x41, 0xa, 1b, x3, 288,0) - -inst_82: -// rs1_val == 134217728, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000000; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000000, 0x8000, 0x0, 3f, x3, 292,0) - -inst_83: -// rs1_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x2000000; op2val:0x8000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000000, 0x8000000, 0xa, 1b, x3, 296,0) - -inst_84: -// rs1_val == 16777216, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000000, 0x40000, 0x8, 3f, x3, 300,0) - -inst_85: -// rs1_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800000, 0x40000, 0x8, 1b, x3, 304,0) - -inst_86: -// rs1_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x400000; op2val:-0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x400000, -0x5, 0x556, 1b, x3, 308,0) - -inst_87: -// rs1_val == 524288, -// opcode: blt, op1:x10; op2:x11; op1val:0x80000; op2val:-0x80000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80000, -0x80000000, 0xa, 1b, x3, 312,0) - -inst_88: -// rs1_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000, 0x1, 0xa, 1b, x3, 316,0) - -inst_89: -// rs1_val == 131072, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000, 0x33333332, 0x2, 3f, x3, 320,0) - -inst_90: -// rs1_val == 65536, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000; op2val:0x2000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000, 0x2000, 0x100, 3f, x3, 324,0) - -inst_91: -// rs1_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000, 0x4000, 0x40, 3f, x3, 328,0) - -inst_92: -// rs1_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x4000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4000, -0x81, 0x4, 3f, x3, 332,0) - -inst_93: -// rs1_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000, 0x2000000, 0xa, 1b, x3, 336,0) - -inst_94: -// rs1_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x200; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200, -0x201, 0x4, 1b, x3, 340,0) - -inst_95: -// rs1_val == 256, -// opcode: blt, op1:x10; op2:x11; op1val:0x100; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100, 0x6, 0x556, 1b, x3, 344,0) - -inst_96: -// rs1_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x80; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80, -0xb503, 0x400, 1b, x3, 348,0) - -inst_97: -// rs1_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:0x40; op2val:0x10; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40, 0x10, 0x20, 3f, x3, 352,0) - -inst_98: -// rs1_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:0x10; op2val:-0x4001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10, -0x4001, 0x200, 3f, x3, 356,0) - -inst_99: -// rs1_val == 8, -// opcode: blt, op1:x10; op2:x11; op1val:0x8; op2val:0x40000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8, 0x40000, 0x2, 3f, x3, 360,0) - -inst_100: -// rs1_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:0x1; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1, 0x2000000, 0xa, 1b, x3, 364,0) - -inst_101: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb505, 0x0, 1b, x3, 368,0) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb503, 0x200, 3f, x3, 372,0) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666667, 0x8, 3f, x3, 376,0) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x3, 380,0) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x6, 0x6, 1b, x3, 384,0) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555555, 0x80, 3f, x3, 388,0) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555556, 0x0, 3f, x3, 392,0) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x4, 0xa, 1b, x3, 396,0) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb503, 0x0, 1b, x3, 400,0) - -inst_110: -// rs1_val==46341 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x0, 0x4, 3f, x3, 404,0) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666665, 0x20, 3f, x3, 408,0) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333332, 0x400, 1b, x3, 412,0) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x3, 416,0) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x2, 0x8, 3f, x3, 420,0) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb504, 0x80, 3f, x3, 424,0) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb504, 0x6, 1b, x3, 428,0) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666666, 0x40, 3f, x3, 432,0) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333333, 0x8, 1b, x3, 436,0) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x5, 0x4, 1b, x3, 440,0) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555556, 0x2, 3f, x3, 444,0) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x3, 448,0) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x3, 0x400, 1b, x3, 452,0) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb505, 0x20, 3f, x3, 456,0) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb503, 0x4, 3f, x3, 460,0) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666667, 0x556, 1b, x3, 464,0) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333334, 0x8, 3f, x3, 468,0) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x6, 0x556, 1b, x3, 472,0) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555555, 0x2, 1b, x3, 476,0) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x3, 480,0) - -inst_130: -// rs1_val==-46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x4, 0x20, 3f, x3, 484,0) - -inst_131: -// rs1_val==-46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb503, 0x80, 3f, x3, 488,0) - -inst_132: -// rs1_val==-46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x0, 0x8, 1b, x3, 492,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666665, 0x20, 3f, x3, 496,0) - -inst_134: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333332, 0x0, 3f, x3, 500,0) - -inst_135: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555554, 0x200, 3f, x3, 504,0) - -inst_136: -// rs1_val==-46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x2, 0xa, 1b, x3, 508,0) - -inst_137: -// rs1_val==-46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb504, 0x2, 3f, x3, 512,0) - -inst_138: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb504, 0x8, 1b, x3, 516,0) - -inst_139: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666666, 0x400, 1b, x3, 520,0) - -inst_140: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333333, 0xa, 1b, x3, 524,0) - -inst_141: -// rs1_val==-46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x5, 0x0, 1b, x3, 528,0) - -inst_142: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555556, 0x100, 3f, x3, 532,0) - -inst_143: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555555, 0x4, 1b, x3, 536,0) - -inst_144: -// rs1_val==-46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x3, 0xa, 1b, x3, 540,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb505, 0x0, 3f, x3, 544,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb503, 0x8, 3f, x3, 548,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666667, 0x556, 1b, x3, 552,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x3, 556,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x6, 0xa, 1b, x3, 560,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555555, 0x40, 3f, x3, 564,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x3, 568,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x4, 0x0, 3f, x3, 572,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb503, 0x4, 3f, x3, 576,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x0, 0x400, 3f, x3, 580,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666665, 0x4, 1b, x3, 584,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333332, 0x2, 1b, x3, 588,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555554, 0x40, 3f, x3, 592,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x2, 0x10, 3f, x3, 596,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb504, 0x400, 3f, x3, 600,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb504, 0x6, 1b, x3, 604,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x3, 608,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333333, 0x4, 3f, x3, 612,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x5, 0x6, 3f, x3, 616,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555556, 0x80, 3f, x3, 620,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 1b, x3, 624,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x3, 0x0, 3f, x3, 628,0) - -inst_167: -// rs1_val==858993460 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x3, 632,0) - -inst_168: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb503, 0x4, 3f, x3, 636,0) - -inst_169: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x3, 640,0) - -inst_170: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333334, 0x20, 3f, x3, 644,0) - -inst_171: -// rs1_val==858993460 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x6, 0x0, 1b, x3, 648,0) - -inst_172: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555555, 0x400, 3f, x3, 652,0) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555556, 0x6, 3f, x3, 656,0) - -inst_174: -// rs1_val==858993460 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x4, 0x8, 1b, x3, 660,0) - -inst_175: -// rs1_val==858993460 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb503, 0x2, 3f, x3, 664,0) - -inst_176: -// rs1_val==858993460 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x0, 0x6, 3f, x3, 668,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666665, 0x0, 1b, x3, 672,0) - -inst_178: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333332, 0x2, 1b, x3, 676,0) - -inst_179: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555554, 0x6, 3f, x3, 680,0) - -inst_180: -// rs1_val==858993460 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x2, 0x200, 3f, x3, 684,0) - -inst_181: -// rs1_val==858993460 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb504, 0x4, 3f, x3, 688,0) - -inst_182: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb504, 0x20, 3f, x3, 692,0) - -inst_183: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666666, 0x2, 3f, x3, 696,0) - -inst_184: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333333, 0x4, 1b, x3, 700,0) - -inst_185: -// rs1_val==858993460 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x5, 0x6, 1b, x3, 704,0) - -inst_186: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555556, 0x4, 1b, x3, 708,0) - -inst_187: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555555, 0x80, 3f, x3, 712,0) - -inst_188: -// rs1_val==858993460 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x3, 0x40, 3f, x3, 716,0) - -inst_189: -// rs1_val==6 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb505, 0x556, 1b, x3, 720,0) - -inst_190: -// rs1_val==6 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb503, 0x80, 3f, x3, 724,0) - -inst_191: -// rs1_val==6 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x3, 728,0) - -inst_192: -// rs1_val==6 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333334, 0x400, 3f, x3, 732,0) - -inst_193: -// rs1_val==6 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x6, 0x200, 3f, x3, 736,0) - -inst_194: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555555, 0x0, 1b, x3, 740,0) - -inst_195: -// rs1_val==6 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555556, 0x40, 3f, x3, 744,0) - -inst_196: -// rs1_val==6 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x4, 0x4, 3f, x3, 748,0) - -inst_197: -// rs1_val==6 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb503, 0x2, 3f, x3, 752,0) - -inst_198: -// rs1_val==6 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x0, 0x6, 1b, x3, 756,0) - -inst_199: -// rs1_val==6 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666665, 0xa, 1b, x3, 760,0) - -inst_200: -// rs1_val==6 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x3, 764,0) - -inst_201: -// rs1_val==6 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555554, 0x20, 3f, x3, 768,0) - -inst_202: -// rs1_val==6 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x2, 0x0, 3f, x3, 772,0) - -inst_203: -// rs1_val==6 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x3, 776,0) - -inst_204: -// rs1_val==6 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb504, 0x200, 3f, x3, 780,0) - -inst_205: -// rs1_val==6 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666666, 0x40, 3f, x3, 784,0) - -inst_206: -// rs1_val==6 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333333, 0xa, 1b, x3, 788,0) - -inst_207: -// rs1_val==6 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x5, 0x200, 3f, x3, 792,0) - -inst_208: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555556, 0x0, 3f, x3, 796,0) - -inst_209: -// rs1_val==6 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555555, 0x2, 1b, x3, 800,0) - -inst_210: -// rs1_val==6 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x3, 0x40, 3f, x3, 804,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x3, 808,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb503, 0x2, 1b, x3, 812,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666667, 0x6, 1b, x3, 816,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333334, 0x556, 1b, x3, 820,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x6, 0x10, 3f, x3, 824,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555555, 0x20, 3f, x3, 828,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555556, 0x40, 3f, x3, 832,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x4, 0x8, 1b, x3, 836,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb503, 0x0, 1b, x3, 840,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x0, 0x0, 1b, x3, 844,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666665, 0x20, 3f, x3, 848,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333332, 0x556, 1b, x3, 852,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555554, 0x40, 3f, x3, 856,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x2, 0x400, 1b, x3, 860,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb504, 0x556, 1b, x3, 864,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb504, 0x10, 3f, x3, 868,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666666, 0x6, 3f, x3, 872,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333333, 0x400, 3f, x3, 876,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x5, 0x80, 3f, x3, 880,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555556, 0x4, 3f, x3, 884,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555555, 0x20, 3f, x3, 888,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x3, 0x4, 1b, x3, 892,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb505, 0x80, 3f, x3, 896,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb503, 0x0, 3f, x3, 900,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666667, 0x10, 3f, x3, 904,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333334, 0x40, 3f, x3, 908,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x6, 0x556, 1b, x3, 912,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555555, 0x10, 3f, x3, 916,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x3, 920,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x4, 0x6, 3f, x3, 924,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb503, 0x20, 3f, x3, 928,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x0, 0x556, 1b, x3, 932,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x3, 936,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x3, 940,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555554, 0x8, 3f, x3, 944,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x2, 0xa, 1b, x3, 948,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x3, 952,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb504, 0x40, 3f, x3, 956,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 3f, x3, 960,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x3, 964,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x5, 0x556, 1b, x3, 968,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555556, 0x2, 3f, x3, 972,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555555, 0x100, 3f, x3, 976,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x3, 980,0) - -inst_255: -// rs1_val==4 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb505, 0x8, 1b, x3, 984,0) - -inst_256: -// rs1_val==4 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb503, 0x0, 1b, x3, 988,0) - -inst_257: -// rs1_val==4 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666667, 0x20, 3f, x3, 992,0) - -inst_258: -// rs1_val==4 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x3, 996,0) - -inst_259: -// rs1_val==4 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x6, 0x2, 1b, x3, 1000,0) - -inst_260: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555555, 0x100, 3f, x3, 1004,0) - -inst_261: -// rs1_val==4 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555556, 0x4, 3f, x3, 1008,0) - -inst_262: -// rs1_val==4 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x4, 0x400, 1b, x3, 1012,0) - -inst_263: -// rs1_val==4 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb503, 0x0, 3f, x3, 1016,0) - -inst_264: -// rs1_val==4 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x0, 0x2, 1b, x3, 1020,0) - -inst_265: -// rs1_val==4 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666665, 0x4, 3f, x3, 1024,0) - -inst_266: -// rs1_val==4 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333332, 0x100, 3f, x3, 1028,0) - -inst_267: -// rs1_val==4 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555554, 0x2, 1b, x3, 1032,0) - -inst_268: -// rs1_val==4 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x2, 0x6, 1b, x3, 1036,0) - -inst_269: -// rs1_val==4 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb504, 0x20, 3f, x3, 1040,0) - -inst_270: -// rs1_val==4 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb504, 0x400, 1b, x3, 1044,0) - -inst_271: -// rs1_val==4 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666666, 0x10, 3f, x3, 1048,0) - -inst_272: -// rs1_val==4 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333333, 0x2, 3f, x3, 1052,0) - -inst_273: -// rs1_val==4 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x3, 1056,0) - -inst_274: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555556, 0x2, 3f, x3, 1060,0) - -inst_275: -// rs1_val==4 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555555, 0x8, 1b, x3, 1064,0) - -inst_276: -// rs1_val==4 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x3, 0x556, 1b, x3, 1068,0) - -inst_277: -// rs1_val==46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb505, 0x2, 3f, x3, 1072,0) - -inst_278: -// rs1_val==46339 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb503, 0x6, 3f, x3, 1076,0) - -inst_279: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x3, 1080,0) - -inst_280: -// rs1_val==46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333334, 0x400, 3f, x3, 1084,0) - -inst_281: -// rs1_val==46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x3, 1088,0) - -inst_282: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555555, 0x20, 3f, x3, 1092,0) - -inst_283: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x3, 1096,0) - -inst_284: -// rs1_val==46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x4, 0x100, 3f, x3, 1100,0) - -inst_285: -// rs1_val==46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb503, 0x400, 3f, x3, 1104,0) - -inst_286: -// rs1_val==46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x0, 0x200, 3f, x3, 1108,0) - -inst_287: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666665, 0x4, 3f, x3, 1112,0) - -inst_288: -// rs1_val==46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333332, 0x4, 1b, x3, 1116,0) - -inst_289: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555554, 0x40, 3f, x3, 1120,0) - -inst_290: -// rs1_val==46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x2, 0x80, 3f, x3, 1124,0) - -inst_291: -// rs1_val==46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb504, 0x8, 3f, x3, 1128,0) - -inst_292: -// rs1_val==46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb504, 0x10, 3f, x3, 1132,0) - -inst_293: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x3, 1136,0) - -inst_294: -// rs1_val==46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333333, 0x200, 3f, x3, 1140,0) - -inst_295: -// rs1_val==46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x5, 0x200, 3f, x3, 1144,0) - -inst_296: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555556, 0x2, 1b, x3, 1148,0) - -inst_297: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x3, 1152,0) - -inst_298: -// rs1_val==46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x3, 0x2, 3f, x3, 1156,0) - -inst_299: -// rs1_val==0 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb505, 0x0, 3f, x3, 1160,0) - -inst_300: -// rs1_val==0 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb503, 0x4, 1b, x3, 1164,0) - -inst_301: -// rs1_val==0 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666667, 0x6, 1b, x3, 1168,0) - -inst_302: -// rs1_val==0 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333334, 0x80, 3f, x3, 1172,0) - -inst_303: -// rs1_val==0 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x6, 0x0, 1b, x3, 1176,0) - -inst_304: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555555, 0x0, 1b, x3, 1180,0) - -inst_305: -// rs1_val==0 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555556, 0x8, 1b, x3, 1184,0) - -inst_306: -// rs1_val==0 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x4, 0x80, 3f, x3, 1188,0) - -inst_307: -// rs1_val==0 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb503, 0x8, 3f, x3, 1192,0) - -inst_308: -// rs1_val==0 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x0, 0x4, 1b, x3, 1196,0) - -inst_309: -// rs1_val==0 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666665, 0x10, 3f, x3, 1200,0) - -inst_310: -// rs1_val==0 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333332, 0x0, 3f, x3, 1204,0) - -inst_311: -// rs1_val==0 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555554, 0x40, 3f, x3, 1208,0) - -inst_312: -// rs1_val==0 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x2, 0x20, 3f, x3, 1212,0) - -inst_313: -// rs1_val==0 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb504, 0x100, 3f, x3, 1216,0) - -inst_314: -// rs1_val==0 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb504, 0x556, 1b, x3, 1220,0) - -inst_315: -// rs1_val==0 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666666, 0x8, 1b, x3, 1224,0) - -inst_316: -// rs1_val==0 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333333, 0x4, 3f, x3, 1228,0) - -inst_317: -// rs1_val==0 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x5, 0x20, 3f, x3, 1232,0) - -inst_318: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x3, 1236,0) - -inst_319: -// rs1_val==0 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555555, 0x4, 1b, x3, 1240,0) - -inst_320: -// rs1_val==0 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x3, 0x400, 3f, x3, 1244,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb505, 0x100, 3f, x3, 1248,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb503, 0x400, 1b, x3, 1252,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666667, 0x2, 3f, x3, 1256,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333334, 0x400, 3f, x3, 1260,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x6, 0x10, 3f, x3, 1264,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 1b, x3, 1268,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555556, 0xa, 1b, x3, 1272,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x4, 0x4, 3f, x3, 1276,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x3, 1280,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x0, 0x2, 3f, x3, 1284,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x3, 1288,0) - -inst_332: -// rs1_val==858993459 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x0, 0x0, 3f, x3, 1292,0) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x3, 1296,0) - -inst_334: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x3, 1300,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555554, 0x400, 3f, x3, 1304,0) - -inst_336: -// rs1_val==858993459 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x2, 0x10, 3f, x3, 1308,0) - -inst_337: -// rs1_val==858993459 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x3, 1312,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb504, 0x80, 3f, x3, 1316,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x3, 1320,0) - -inst_340: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x3, 1324,0) - -inst_341: -// rs1_val==858993459 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x5, 0x6, 1b, x3, 1328,0) - -inst_342: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x3, 1332,0) - -inst_343: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x3, 1336,0) - -inst_344: -// rs1_val==858993459 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x3, 0x400, 3f, x3, 1340,0) - -inst_345: -// rs1_val==5 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb505, 0x4, 1b, x3, 1344,0) - -inst_346: -// rs1_val==5 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb503, 0x2, 1b, x3, 1348,0) - -inst_347: -// rs1_val==5 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666667, 0x4, 3f, x3, 1352,0) - -inst_348: -// rs1_val==5 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333334, 0x4, 1b, x3, 1356,0) - -inst_349: -// rs1_val==5 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x6, 0x2, 1b, x3, 1360,0) - -inst_350: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555555, 0x400, 3f, x3, 1364,0) - -inst_351: -// rs1_val==5 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555556, 0x6, 1b, x3, 1368,0) - -inst_352: -// rs1_val==5 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x4, 0x6, 3f, x3, 1372,0) - -inst_353: -// rs1_val==5 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x3, 1376,0) - -inst_354: -// rs1_val==5 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x0, 0x400, 3f, x3, 1380,0) - -inst_355: -// rs1_val==5 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666665, 0x400, 3f, x3, 1384,0) - -inst_356: -// rs1_val==5 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333332, 0x0, 3f, x3, 1388,0) - -inst_357: -// rs1_val==5 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555554, 0x2, 1b, x3, 1392,0) - -inst_358: -// rs1_val==5 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x2, 0x20, 3f, x3, 1396,0) - -inst_359: -// rs1_val==5 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb504, 0x40, 3f, x3, 1400,0) - -inst_360: -// rs1_val==5 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb504, 0x4, 1b, x3, 1404,0) - -inst_361: -// rs1_val==5 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666666, 0x0, 3f, x3, 1408,0) - -inst_362: -// rs1_val==5 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333333, 0x556, 1b, x3, 1412,0) - -inst_363: -// rs1_val==5 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x5, 0x200, 3f, x3, 1416,0) - -inst_364: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555556, 0x400, 3f, x3, 1420,0) - -inst_365: -// rs1_val==5 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555555, 0x400, 1b, x3, 1424,0) - -inst_366: -// rs1_val==5 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x3, 0x4, 3f, x3, 1428,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x3, 1432,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb503, 0x8, 1b, x3, 1436,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666667, 0x6, 1b, x3, 1440,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333334, 0xa, 1b, x3, 1444,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x6, 0x4, 3f, x3, 1448,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555555, 0x200, 3f, x3, 1452,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555556, 0x80, 3f, x3, 1456,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x3, 1460,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb503, 0x0, 1b, x3, 1464,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x0, 0xa, 1b, x3, 1468,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666665, 0x556, 1b, x3, 1472,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333332, 0x20, 3f, x3, 1476,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555554, 0x8, 1b, x3, 1480,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x2, 0x6, 3f, x3, 1484,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x3, 1488,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb504, 0x556, 1b, x3, 1492,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666666, 0x556, 1b, x3, 1496,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333333, 0x20, 3f, x3, 1500,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x5, 0x0, 3f, x3, 1504,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555556, 0x6, 3f, x3, 1508,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555555, 0x2, 1b, x3, 1512,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x3, 0xa, 1b, x3, 1516,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb505, 0x4, 3f, x3, 1520,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x3, 1524,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x3, 1528,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x3, 1532,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x6, 0x4, 1b, x3, 1536,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555555, 0x4, 1b, x3, 1540,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555556, 0x8, 1b, x3, 1544,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x4, 0x10, 3f, x3, 1548,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb503, 0x400, 1b, x3, 1552,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x0, 0x556, 1b, x3, 1556,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666665, 0x80, 3f, x3, 1560,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333332, 0x10, 3f, x3, 1564,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555554, 0x200, 3f, x3, 1568,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x2, 0x0, 3f, x3, 1572,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb504, 0x10, 3f, x3, 1576,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb504, 0x8, 3f, x3, 1580,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666666, 0x200, 3f, x3, 1584,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333333, 0x400, 3f, x3, 1588,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x5, 0x8, 1b, x3, 1592,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555556, 0x200, 3f, x3, 1596,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555555, 0x4, 3f, x3, 1600,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x3, 0x400, 3f, x3, 1604,0) - -inst_411: -// rs1_val==3 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb505, 0x6, 3f, x3, 1608,0) - -inst_412: -// rs1_val==3 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb503, 0xa, 1b, x3, 1612,0) - -inst_413: -// rs1_val==3 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666667, 0x20, 3f, x3, 1616,0) - -inst_414: -// rs1_val==3 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333334, 0x8, 1b, x3, 1620,0) - -inst_415: -// rs1_val==3 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x6, 0x100, 3f, x3, 1624,0) - -inst_416: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x3, 1628,0) - -inst_417: -// rs1_val==3 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555556, 0x10, 3f, x3, 1632,0) - -inst_418: -// rs1_val==3 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x4, 0x8, 3f, x3, 1636,0) - -inst_419: -// rs1_val==3 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb503, 0x4, 3f, x3, 1640,0) - -inst_420: -// rs1_val==3 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x0, 0x2, 1b, x3, 1644,0) - -inst_421: -// rs1_val==3 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666665, 0x400, 1b, x3, 1648,0) - -inst_422: -// rs1_val==3 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333332, 0x200, 3f, x3, 1652,0) - -inst_423: -// rs1_val==3 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555554, 0x0, 3f, x3, 1656,0) - -inst_424: -// rs1_val==3 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2, 0x200, 3f, x3, 1660,0) - -inst_425: -// rs1_val==3 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb504, 0x556, 1b, x3, 1664,0) - -inst_426: -// rs1_val==3 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb504, 0x40, 3f, x3, 1668,0) - -inst_427: -// rs1_val==3 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666666, 0x4, 1b, x3, 1672,0) - -inst_428: -// rs1_val==3 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333333, 0x0, 1b, x3, 1676,0) - -inst_429: -// rs1_val==3 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x5, 0x4, 3f, x3, 1680,0) - -inst_430: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555556, 0xa, 1b, x3, 1684,0) - -inst_431: -// rs1_val==3 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555555, 0x556, 1b, x3, 1688,0) - -inst_432: -// rs1_val==3 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x3, 0x6, 3f, x3, 1692,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333332, 0x0, 1b, x3, 1696,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555554, 0x8, 3f, x3, 1700,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x2, 0x8, 1b, x3, 1704,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb504, 0x20, 3f, x3, 1708,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x3, 1712,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666666, 0x400, 1b, x3, 1716,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333333, 0x8, 1b, x3, 1720,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x5, 0x8, 1b, x3, 1724,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555556, 0x20, 3f, x3, 1728,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 1b, x3, 1732,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x3, 0x0, 3f, x3, 1736,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb505, 0x8, 1b, x3, 1740,0) - -inst_445: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb503, 0x20, 3f, x3, 1744,0) - -inst_446: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666667, 0x400, 1b, x3, 1748,0) - -inst_447: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333334, 0x0, 1b, x3, 1752,0) - -inst_448: -// rs1_val==858993458 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x3, 1756,0) - -inst_449: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x3, 1760,0) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x3, 1764,0) - -inst_451: -// rs1_val==858993458 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x4, 0x4, 1b, x3, 1768,0) - -inst_452: -// rs1_val==858993458 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb503, 0x0, 1b, x3, 1772,0) - -inst_453: -// rs1_val==858993458 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x0, 0x8, 3f, x3, 1776,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666665, 0x400, 3f, x3, 1780,0) - -inst_455: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333332, 0x8, 3f, x3, 1784,0) - -inst_456: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x3, 1788,0) - -inst_457: -// rs1_val==858993458 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x2, 0x4, 1b, x3, 1792,0) - -inst_458: -// rs1_val==858993458 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x3, 1796,0) - -inst_459: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb504, 0x10, 3f, x3, 1800,0) - -inst_460: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666666, 0x200, 3f, x3, 1804,0) - -inst_461: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333333, 0x6, 3f, x3, 1808,0) - -inst_462: -// rs1_val==858993458 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x5, 0x400, 1b, x3, 1812,0) - -inst_463: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555556, 0xa, 1b, x3, 1816,0) - -inst_464: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555555, 0x6, 3f, x3, 1820,0) - -inst_465: -// rs1_val==858993458 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x3, 1824,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb505, 0x40, 3f, x3, 1828,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb503, 0x40, 3f, x3, 1832,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666667, 0x200, 3f, x3, 1836,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x3, 1840,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x6, 0x400, 1b, x3, 1844,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x3, 1848,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555556, 0x40, 3f, x3, 1852,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x4, 0x0, 3f, x3, 1856,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb503, 0x0, 1b, x3, 1860,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x0, 0x10, 3f, x3, 1864,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666665, 0x20, 3f, x3, 1868,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x3, 1872,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555554, 0x400, 1b, x3, 1876,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x2, 0x200, 3f, x3, 1880,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x3, 1884,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb504, 0x0, 3f, x3, 1888,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666666, 0x4, 1b, x3, 1892,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 3f, x3, 1896,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x5, 0x100, 3f, x3, 1900,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555556, 0x0, 1b, x3, 1904,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x3, 1908,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x3, 0x20, 3f, x3, 1912,0) - -inst_488: -// rs1_val==2 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb505, 0x2, 3f, x3, 1916,0) - -inst_489: -// rs1_val==2 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb503, 0x2, 3f, x3, 1920,0) - -inst_490: -// rs1_val==2 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666667, 0x4, 1b, x3, 1924,0) - -inst_491: -// rs1_val==2 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333334, 0x10, 3f, x3, 1928,0) - -inst_492: -// rs1_val==2 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x6, 0xa, 1b, x3, 1932,0) - -inst_493: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555555, 0x4, 3f, x3, 1936,0) - -inst_494: -// rs1_val==2 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555556, 0x2, 1b, x3, 1940,0) - -inst_495: -// rs1_val==2 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x4, 0x8, 1b, x3, 1944,0) - -inst_496: -// rs1_val==2 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb503, 0x4, 1b, x3, 1948,0) - -inst_497: -// rs1_val==2 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x0, 0x6, 1b, x3, 1952,0) - -inst_498: -// rs1_val==2 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666665, 0x40, 3f, x3, 1956,0) - -inst_499: -// rs1_val==2 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333332, 0x400, 3f, x3, 1960,0) - -inst_500: -// rs1_val==2 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555554, 0x40, 3f, x3, 1964,0) - -inst_501: -// rs1_val==2 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x2, 0x2, 3f, x3, 1968,0) - -inst_502: -// rs1_val==2 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb504, 0x4, 1b, x3, 1972,0) - -inst_503: -// rs1_val==2 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb504, 0x8, 3f, x3, 1976,0) - -inst_504: -// rs1_val==2 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666666, 0x200, 3f, x3, 1980,0) - -inst_505: -// rs1_val==2 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333333, 0x0, 3f, x3, 1984,0) - -inst_506: -// rs1_val==2 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x5, 0x8, 1b, x3, 1988,0) - -inst_507: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555556, 0x40, 3f, x3, 1992,0) - -inst_508: -// rs1_val==2 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555555, 0x2, 3f, x3, 1996,0) - -inst_509: -// rs1_val==2 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x3, 0x100, 3f, x3, 2000,0) - -inst_510: -// rs1_val==46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb505, 0x4, 3f, x3, 2004,0) - -inst_511: -// rs1_val==46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb503, 0x100, 3f, x3, 2008,0) - -inst_512: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x3, 2012,0) - -inst_513: -// rs1_val==46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333334, 0x6, 1b, x3, 2016,0) - -inst_514: -// rs1_val==46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x6, 0x2, 1b, x3, 2020,0) - -inst_515: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555555, 0x6, 3f, x3, 2024,0) - -inst_516: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555556, 0x8, 1b, x3, 2028,0) - -inst_517: -// rs1_val==46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x3, 2032,0) - -inst_518: -// rs1_val==46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb503, 0x6, 1b, x3, 2036,0) - -inst_519: -// rs1_val==46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x0, 0x40, 3f, x3, 2040,0) - -inst_520: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666665, 0x4, 3f, x3, 2044,0) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_521: -// rs1_val==46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333332, 0xa, 1b, x3, 0,0) - -inst_522: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555554, 0x556, 1b, x3, 4,0) - -inst_523: -// rs1_val==46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x2, 0x8, 1b, x3, 8,0) - -inst_524: -// rs1_val==46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb504, 0xa, 1b, x3, 12,0) - -inst_525: -// rs1_val==46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb504, 0x0, 1b, x3, 16,0) - -inst_526: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666666, 0x6, 1b, x3, 20,0) - -inst_527: -// rs1_val==46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333333, 0xa, 1b, x3, 24,0) - -inst_528: -// rs1_val==46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x5, 0x80, 3f, x3, 28,0) - -inst_529: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555556, 0x80, 3f, x3, 32,0) - -inst_530: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555555, 0x6, 3f, x3, 36,0) - -inst_531: -// rs1_val==46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x3, 0x80, 3f, x3, 40,0) - -inst_532: -// rs1_val==-46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb505, 0x0, 1b, x3, 44,0) - -inst_533: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb503, 0x10, 3f, x3, 48,0) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666667, 0x8, 3f, x3, 52,0) - -inst_535: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333334, 0xa, 1b, x3, 56,0) - -inst_536: -// rs1_val==-46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x6, 0xa, 1b, x3, 60,0) - -inst_537: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x3, 64,0) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555556, 0x400, 3f, x3, 68,0) - -inst_539: -// rs1_val==-46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x4, 0x80, 3f, x3, 72,0) - -inst_540: -// rs1_val==-46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb503, 0x8, 3f, x3, 76,0) - -inst_541: -// rs1_val==-46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x3, 80,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x3, 84,0) - -inst_543: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333332, 0x400, 1b, x3, 88,0) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555554, 0x2, 3f, x3, 92,0) - -inst_545: -// rs1_val==-46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x2, 0x100, 3f, x3, 96,0) - -inst_546: -// rs1_val==-46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb504, 0x2, 1b, x3, 100,0) - -inst_547: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb504, 0x200, 3f, x3, 104,0) - -inst_548: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666666, 0x4, 3f, x3, 108,0) - -inst_549: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333333, 0x556, 1b, x3, 112,0) - -inst_550: -// rs1_val==-46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x5, 0x40, 3f, x3, 116,0) - -inst_551: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555556, 0x0, 1b, x3, 120,0) - -inst_552: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555555, 0x10, 3f, x3, 124,0) - -inst_553: -// rs1_val==-46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x3, 128,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x3, 132,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb503, 0xa, 1b, x3, 136,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666667, 0x400, 1b, x3, 140,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333334, 0x200, 3f, x3, 144,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x6, 0x0, 1b, x3, 148,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555555, 0x40, 3f, x3, 152,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x3, 156,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4, 0x400, 3f, x3, 160,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb503, 0x80, 3f, x3, 164,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x3, 168,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666665, 0x4, 1b, x3, 172,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333332, 0x10, 3f, x3, 176,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x3, 180,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x2, 0x2, 3f, x3, 184,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb504, 0x400, 1b, x3, 188,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb504, 0x400, 1b, x3, 192,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666666, 0x6, 3f, x3, 196,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333333, 0x8, 3f, x3, 200,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x5, 0x556, 1b, x3, 204,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555556, 0x8, 1b, x3, 208,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555555, 0x0, 1b, x3, 212,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x3, 0x8, 1b, x3, 216,0) - -inst_576: -// rs1_val==858993459 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb505, 0x10, 3f, x3, 220,0) - -inst_577: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb503, 0x80, 3f, x3, 224,0) - -inst_578: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666667, 0x556, 1b, x3, 228,0) - -inst_579: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333334, 0x2, 1b, x3, 232,0) - -inst_580: -// rs1_val==858993459 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x6, 0x2, 1b, x3, 236,0) - -inst_581: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555555, 0x400, 1b, x3, 240,0) - -inst_582: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x3, 244,0) - -inst_583: -// rs1_val==858993459 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x4, 0x20, 3f, x3, 248,0) - -inst_584: -// rs1_val==858993459 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb503, 0x80, 3f, x3, 252,0) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:0x100000; op2val:-0x10000001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100000, -0x10000001, 0x200, 3f, x3, 256,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 65*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bltu-01.S deleted file mode 100644 index f8042b147..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bltu-01.S +++ /dev/null @@ -1,3715 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bltu instruction of the RISC-V E extension for the bltu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bltu) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 == rs2, rs1==x4, rs2==x4, rs1_val > 0 and rs2_val > 0, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs2_val == 512 -// opcode: bltu, op1:x4; op2:x4; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x4, x4, 0xdfffffff, 0xdfffffff, 0x6, 3f, x1, 0,0) - -inst_1: -// rs1 != rs2, rs1==x5, rs2==x6, rs2_val == 2147483647, rs1_val == 268435456, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bltu, op1:x5; op2:x6; op1val:0x10000000; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x5, x6, 0x10000000, 0x7fffffff, 0x6, 3f, x1, 4,0) - -inst_2: -// rs1==x15, rs2==x8, rs2_val == 3221225471, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bltu, op1:x15; op2:x8; op1val:0xdfffffff; op2val:0xbfffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x7, x15, x8, 0xdfffffff, 0xbfffffff, 0x8, 1b, x1, 8,0) - -inst_3: -// rs1==x13, rs2==x15, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bltu, op1:x13; op2:x15; op1val:0x3; op2val:0xdfffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x13, x15, 0x3, 0xdfffffff, 0x0, 1b, x1, 12,0) - -inst_4: -// rs1==x2, rs2==x14, rs2_val == 4026531839, rs1_val == 134217728 -// opcode: bltu, op1:x2; op2:x14; op1val:0x8000000; op2val:0xefffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x2, x14, 0x8000000, 0xefffffff, 0x6, 1b, x1, 16,0) - -inst_5: -// rs1==x9, rs2==x11, rs2_val == 4160749567, -// opcode: bltu, op1:x9; op2:x11; op1val:0x66666665; op2val:0xf7ffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x7, x9, x11, 0x66666665, 0xf7ffffff, 0x4, 1b, x1, 20,0) - -inst_6: -// rs1==x3, rs2==x2, rs2_val == 4227858431, -// opcode: bltu, op1:x3; op2:x2; op1val:0xffffffff; op2val:0xfbffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x3, x2, 0xffffffff, 0xfbffffff, 0x0, 1b, x1, 24,0) - -inst_7: -// rs1==x7, rs2==x5, rs2_val == 4261412863, rs1_val == 2 -// opcode: bltu, op1:x7; op2:x5; op1val:0x2; op2val:0xfdffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x7, x5, 0x2, 0xfdffffff, 0x6, 3f, x1, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x6, rs2==x12, rs2_val == 4278190079, -// opcode: bltu, op1:x6; op2:x12; op1val:0x66666665; op2val:0xfeffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x4, x6, x12, 0x66666665, 0xfeffffff, 0x8, 3f, x2, 0,0) - -inst_9: -// rs1==x1, rs2==x9, rs2_val == 4286578687, rs1_val == 4294967167 -// opcode: bltu, op1:x1; op2:x9; op1val:0xffffff7f; op2val:0xff7fffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x4, x1, x9, 0xffffff7f, 0xff7fffff, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x12, rs2==x10, rs2_val == 4290772991, rs1_val == 2147483648 -// opcode: bltu, op1:x12; op2:x10; op1val:0x80000000; op2val:0xffbfffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x4, x12, x10, 0x80000000, 0xffbfffff, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x14, rs2==x13, rs2_val == 4292870143, -// opcode: bltu, op1:x14; op2:x13; op1val:0x0; op2val:0xffdfffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x4, x14, x13, 0x0, 0xffdfffff, 0x0, 3f, x2, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == 4293918719, -// opcode: bltu, op1:x10; op2:x7; op1val:0xffffff7f; op2val:0xffefffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x4, x10, x7, 0xffffff7f, 0xffefffff, 0x100, 3f, x2, 16,0) - -inst_13: -// rs1==x0, rs2==x3, rs2_val == 4294443007, rs1_val == 4290772991 -// opcode: bltu, op1:x0; op2:x3; op1val:0x0; op2val:0xfff7ffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x0, x3, 0x0, 0xfff7ffff, 0x6, 1b, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x0, rs2_val == 4294705151, -// opcode: bltu, op1:x11; op2:x0; op1val:0x9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x4, x11, x0, 0x9, 0x0, 0x40, 3f, x2, 24,0) - -inst_15: -// rs1==x8, rs2==x1, rs2_val == 4294836223, rs1_val == 4294836223 -// opcode: bltu, op1:x8; op2:x1; op1val:0xfffdffff; op2val:0xfffdffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x8, x1, 0xfffdffff, 0xfffdffff, 0x0, 3f, x2, 28,0) - -inst_16: -// rs2_val == 4294901759, rs1_val == 2147483647 -// opcode: bltu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffeffff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7fffffff, 0xfffeffff, 0x556, 1b, x2, 32,0) - -inst_17: -// rs2_val == 4294934527, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff7fff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff7fff, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4026531839 -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0xffffbfff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0xffffbfff, 0x80, 3f, x2, 40,0) - -inst_19: -// rs2_val == 4294959103, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0, rs1_val == 4294959103 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xffffdfff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0xffffdfff, 0x8, 1b, x2, 44,0) - -inst_20: -// rs2_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xffffefff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000000, 0xffffefff, 0x0, 1b, x2, 48,0) - -inst_21: -// rs2_val == 4294965247, rs1_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000; op2val:0xfffff7ff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000, 0xfffff7ff, 0xa, 1b, x2, 52,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 1 -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffffbff, 0x8, 1b, x2, 56,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0x12; op2val:0xfffffdff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x12, 0xfffffdff, 0x10, 3f, x2, 60,0) - -inst_24: -// rs2_val == 4294967039, rs1_val == 16 -// opcode: bltu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10, 0xfffffeff, 0x4, 3f, x2, 64,0) - -inst_25: -// rs2_val == 4294967167, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffffff7f; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffffff7f, 0x40, 3f, x2, 68,0) - -inst_26: -// rs2_val == 4294967231, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffffffbf, 0x6, 1b, x2, 72,0) - -inst_27: -// rs2_val == 4294967263, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffffffdf; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffffffdf, 0x8, 3f, x2, 76,0) - -inst_28: -// rs2_val == 4294967279, rs1_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffffef; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40, 0xffffffef, 0x6, 3f, x2, 80,0) - -inst_29: -// rs2_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xe; op2val:0xfffffff7; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xe, 0xfffffff7, 0x40, 3f, x2, 84,0) - -inst_30: -// rs2_val == 4294967291, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffffffb; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffffffb, 0x2, 3f, x2, 88,0) - -inst_31: -// rs2_val == 4294967293, rs1_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0xfffffffd, 0x80, 3f, x2, 92,0) - -inst_32: -// rs2_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0xfffffffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0xfffffffe, 0x2, 1b, x2, 96,0) - -inst_33: -// rs1_val == 3221225471, rs2_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x2000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x2000, 0x4, 3f, x2, 100,0) - -inst_34: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf7ffffff, 0x4000000, 0x4, 1b, x2, 104,0) - -inst_35: -// rs1_val == 4227858431, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfbffffff, 0x55555556, 0x6, 1b, x2, 108,0) - -inst_36: -// rs1_val == 4261412863, rs2_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfdffffff; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfdffffff, 0x55555555, 0x40, 3f, x2, 112,0) - -inst_37: -// rs1_val == 4278190079, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfeffffff, 0xffff, 0x8, 3f, x2, 116,0) - -inst_38: -// rs1_val == 4286578687, -// opcode: bltu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xff7fffff, 0x200, 0x4, 3f, x2, 120,0) - -inst_39: -// rs1_val == 4292870143, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffdfffff, 0x9, 0x2, 1b, x2, 124,0) - -inst_40: -// rs1_val == 4293918719, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x7fffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x7fffffff, 0xa, 1b, x2, 128,0) - -inst_41: -// rs1_val == 4294443007, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x55555555, 0x0, 3f, x2, 132,0) - -inst_42: -// rs1_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfff7ffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffbffff, 0xfff7ffff, 0x40, 3f, x2, 136,0) - -inst_43: -// rs1_val == 4294901759, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffeffff, 0x5, 0x4, 3f, x2, 140,0) - -inst_44: -// rs1_val == 4294934527, rs2_val == 256 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x100; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff7fff, 0x100, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == 4294950911, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffbfff, 0x33333334, 0x40, 3f, x2, 148,0) - -inst_46: -// rs1_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x55555556, 0x0, 1b, x2, 152,0) - -inst_47: -// rs1_val == 4294965247, rs2_val == 8 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x8; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffff7ff, 0x8, 0x556, 1b, x2, 156,0) - -inst_48: -// rs1_val == 4294966271, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xfffdffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffbff, 0xfffdffff, 0x100, 3f, x2, 160,0) - -inst_49: -// rs1_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x8; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffdff, 0x8, 0x4, 3f, x2, 164,0) - -inst_50: -// rs1_val == 4294967039, rs2_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x20000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffeff, 0x20000, 0x6, 3f, x2, 168,0) - -inst_51: -// rs1_val == 4294967231, rs2_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0x40; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffbf, 0x40, 0x0, 3f, x2, 172,0) - -inst_52: -// rs1_val == 4294967263, rs2_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xaaaaaaaa; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffdf, 0xaaaaaaaa, 0x100, 3f, x2, 176,0) - -inst_53: -// rs1_val == 4294967279, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x11; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffef, 0x11, 0x556, 1b, x2, 180,0) - -inst_54: -// rs1_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffff7, 0x33333332, 0x0, 1b, x2, 184,0) - -inst_55: -// rs1_val == 4294967291, rs2_val == 2 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffb, 0x2, 0x100, 3f, x2, 188,0) - -inst_56: -// rs1_val == 4294967293, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffd, 0xfffe, 0x100, 3f, x2, 192,0) - -inst_57: -// rs1_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffe, 0x66666667, 0x200, 3f, x2, 196,0) - -inst_58: -// rs2_val == 2147483648, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x80000000, 0x6, 3f, x2, 200,0) - -inst_59: -// rs2_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x40000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x40000000, 0x4, 3f, x2, 204,0) - -inst_60: -// rs2_val == 536870912, rs1_val == 4 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x20000000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x20000000, 0x0, 3f, x2, 208,0) - -inst_61: -// rs2_val == 268435456, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0x10000000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0x10000000, 0x80, 3f, x2, 212,0) - -inst_62: -// rs2_val == 134217728, -// opcode: bltu, op1:x10; op2:x11; op1val:0x11; op2val:0x8000000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x11, 0x8000000, 0x8, 1b, x2, 216,0) - -inst_63: -// rs2_val == 33554432, rs1_val == 536870912 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x2000000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000000, 0x2000000, 0x556, 1b, x2, 220,0) - -inst_64: -// rs2_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x1000000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffdffff, 0x1000000, 0x400, 1b, x2, 224,0) - -inst_65: -// rs2_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x800000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x800000, 0x0, 3f, x2, 228,0) - -inst_66: -// rs2_val == 4194304, -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x400000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0x400000, 0x4, 1b, x2, 232,0) - -inst_67: -// rs2_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x200000, 0x20, 3f, x2, 236,0) - -inst_68: -// rs2_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x100000, 0x556, 1b, x2, 240,0) - -inst_69: -// rs2_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x80000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x80000, 0x6, 3f, x2, 244,0) - -inst_70: -// rs2_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0x40000, 0x80, 3f, x2, 248,0) - -inst_71: -// rs2_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x10000, 0x4, 1b, x2, 252,0) - -inst_72: -// rs2_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x8000, 0x4, 3f, x2, 256,0) - -inst_73: -// rs2_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0xf; op2val:0x4000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf, 0x4000, 0x4, 1b, x2, 260,0) - -inst_74: -// rs2_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x1000, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x800; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x800, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 1024, rs1_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x400, 0x6, 1b, x2, 272,0) - -inst_77: -// rs2_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x80, 0x8, 3f, x2, 276,0) - -inst_78: -// rs2_val == 32, rs1_val == 4194304 -// opcode: bltu, op1:x10; op2:x11; op1val:0x400000; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400000, 0x20, 0x2, 3f, x2, 280,0) - -inst_79: -// rs2_val == 16, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0x10; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffbfffff, 0x10, 0x4, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000000; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000000, 0x4, 0x556, 1b, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x1, 0x0, 3f, x2, 292,0) - -inst_82: -// rs1_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000000; op2val:0x2000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000000, 0x2000000, 0x4, 1b, x2, 296,0) - -inst_83: -// rs1_val == 33554432, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000000, 0xaaaaaaab, 0x6, 1b, x2, 300,0) - -inst_84: -// rs1_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000000, 0x40000000, 0x40, 3f, x2, 304,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800000, 0x40000, 0x40, 3f, x2, 308,0) - -inst_86: -// rs1_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200000; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200000, 0x55555556, 0xa, 1b, x2, 312,0) - -inst_87: -// rs1_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100000; op2val:0x80000000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100000, 0x80000000, 0x10, 3f, x2, 316,0) - -inst_88: -// rs1_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80000; op2val:0xb; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80000, 0xb, 0x40, 3f, x2, 320,0) - -inst_89: -// rs1_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000, 0x1, 0x400, 1b, x2, 324,0) - -inst_90: -// rs1_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffff7ff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffff7ff, 0x556, 1b, x2, 328,0) - -inst_91: -// rs1_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffdff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8000, 0xfffffdff, 0x80, 3f, x2, 332,0) - -inst_92: -// rs1_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000; op2val:0xfffffffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000, 0xfffffffe, 0x0, 3f, x2, 336,0) - -inst_93: -// rs1_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffffb; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000, 0xfffffffb, 0x0, 3f, x2, 340,0) - -inst_94: -// rs1_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800; op2val:0x4000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800, 0x4000000, 0x6, 1b, x2, 344,0) - -inst_95: -// rs1_val == 1024, -// opcode: bltu, op1:x10; op2:x11; op1val:0x400; op2val:0x13; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400, 0x13, 0x0, 3f, x2, 348,0) - -inst_96: -// rs1_val == 512, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200; op2val:0x20; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200, 0x20, 0x8, 3f, x2, 352,0) - -inst_97: -// rs1_val == 256, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100; op2val:0xfffff7ff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100, 0xfffff7ff, 0x6, 3f, x2, 356,0) - -inst_98: -// rs1_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80; op2val:0x7; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80, 0x7, 0x10, 3f, x2, 360,0) - -inst_99: -// rs1_val == 32, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20, 0x10000, 0x400, 1b, x2, 364,0) - -inst_100: -// rs1_val == 8, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8, 0x10000, 0x100, 3f, x2, 368,0) - -inst_101: -// rs1_val==65536 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x10000, 0x2, 1b, x2, 372,0) - -inst_102: -// rs1_val==65536 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x1, 0x6, 1b, x2, 376,0) - -inst_103: -// rs1_val==65536 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb505, 0x556, 1b, x2, 380,0) - -inst_104: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666667, 0x2, 1b, x2, 384,0) - -inst_105: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333334, 0x4, 3f, x2, 388,0) - -inst_106: -// rs1_val==65536 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x6, 0x4, 3f, x2, 392,0) - -inst_107: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaab, 0x20, 3f, x2, 396,0) - -inst_108: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555556, 0x100, 3f, x2, 400,0) - -inst_109: -// rs1_val==65536 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x4, 0x20, 3f, x2, 404,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffe, 0x20, 3f, x2, 408,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x0, 0x0, 1b, x2, 412,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb503, 0x0, 1b, x2, 416,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666665, 0x2, 1b, x2, 420,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333332, 0x556, 1b, x2, 424,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaa9, 0x400, 3f, x2, 428,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555554, 0x80, 3f, x2, 432,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x2, 0x556, 1b, x2, 436,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xffff, 0x0, 3f, x2, 440,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb504, 0x10, 3f, x2, 444,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666666, 0x400, 1b, x2, 448,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x2, 452,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x5, 0x400, 1b, x2, 456,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaaa, 0x0, 1b, x2, 460,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555555, 0x2, 1b, x2, 464,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x3, 0x80, 3f, x2, 468,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x10000, 0x6, 1b, x2, 472,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x1, 0x0, 1b, x2, 476,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb505, 0x2, 1b, x2, 480,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666667, 0x200, 3f, x2, 484,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333334, 0x4, 3f, x2, 488,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x6, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaab, 0x80, 3f, x2, 496,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555556, 0x400, 3f, x2, 500,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x4, 0x4, 1b, x2, 504,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffe, 0x40, 3f, x2, 508,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x0, 0x0, 3f, x2, 512,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb503, 0x10, 3f, x2, 516,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666665, 0x400, 3f, x2, 520,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333332, 0x8, 3f, x2, 524,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaa9, 0x10, 3f, x2, 528,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555554, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x2, 0x200, 3f, x2, 536,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xffff, 0x400, 3f, x2, 540,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb504, 0x6, 1b, x2, 544,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666666, 0x556, 1b, x2, 548,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333333, 0x0, 1b, x2, 552,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x5, 0x200, 3f, x2, 556,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaaa, 0x8, 1b, x2, 560,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555555, 0x556, 1b, x2, 564,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x3, 0x80, 3f, x2, 568,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x10000, 0x0, 3f, x2, 572,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x1, 0x2, 3f, x2, 576,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb505, 0x4, 1b, x2, 580,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666667, 0x6, 3f, x2, 584,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333334, 0x100, 3f, x2, 588,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x6, 0x80, 3f, x2, 592,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaab, 0x100, 3f, x2, 596,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555556, 0x556, 1b, x2, 600,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x4, 0x8, 1b, x2, 604,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xfffe, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x0, 0x0, 3f, x2, 612,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb503, 0x20, 3f, x2, 616,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666665, 0x10, 3f, x2, 620,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333332, 0x20, 3f, x2, 624,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaa9, 0xa, 1b, x2, 628,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555554, 0x10, 3f, x2, 632,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x2, 0x8, 1b, x2, 636,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xffff, 0x6, 1b, x2, 640,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb504, 0x2, 1b, x2, 644,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x2, 648,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333333, 0x4, 3f, x2, 652,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x5, 0x2, 3f, x2, 656,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaaa, 0x556, 1b, x2, 660,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555555, 0x100, 3f, x2, 664,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x3, 0x6, 3f, x2, 668,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x10000, 0x10, 3f, x2, 672,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x1, 0x4, 3f, x2, 676,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb505, 0x556, 1b, x2, 680,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666667, 0x80, 3f, x2, 684,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x2, 688,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x6, 0x0, 3f, x2, 692,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaab, 0x6, 3f, x2, 696,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555556, 0x556, 1b, x2, 700,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x4, 0x4, 1b, x2, 704,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xfffe, 0x20, 3f, x2, 708,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x0, 0x0, 3f, x2, 712,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb503, 0x6, 3f, x2, 716,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666665, 0x400, 1b, x2, 720,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x2, 724,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaa9, 0x2, 1b, x2, 728,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555554, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x2, 0xa, 1b, x2, 736,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xffff, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb504, 0x8, 3f, x2, 744,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x2, 748,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333333, 0x40, 3f, x2, 752,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x5, 0x40, 3f, x2, 756,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaaa, 0x8, 3f, x2, 760,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555555, 0x4, 1b, x2, 764,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x3, 0x8, 3f, x2, 768,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x10000, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x1, 0x4, 3f, x2, 776,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb505, 0x4, 1b, x2, 780,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666667, 0x10, 3f, x2, 784,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x6, 0x6, 1b, x2, 792,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaab, 0x6, 1b, x2, 796,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555556, 0x4, 1b, x2, 800,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x4, 0x400, 3f, x2, 804,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xfffe, 0x4, 1b, x2, 808,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x0, 0x2, 1b, x2, 812,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb503, 0x80, 3f, x2, 816,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666665, 0x20, 3f, x2, 820,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333332, 0xa, 1b, x2, 824,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaa9, 0x4, 3f, x2, 828,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555554, 0x4, 1b, x2, 832,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x2, 0x2, 1b, x2, 836,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffff, 0x0, 1b, x2, 840,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 844,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666666, 0x200, 3f, x2, 848,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333333, 0x10, 3f, x2, 852,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x5, 0x100, 3f, x2, 856,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaaa, 0x6, 1b, x2, 860,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555555, 0x6, 3f, x2, 864,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x3, 0x400, 1b, x2, 868,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x10000, 0x40, 3f, x2, 872,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x1, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb505, 0xa, 1b, x2, 880,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666667, 0x4, 1b, x2, 884,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333334, 0x10, 3f, x2, 888,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x6, 0x100, 3f, x2, 892,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaab, 0x10, 3f, x2, 896,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555556, 0x4, 1b, x2, 900,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x4, 0x6, 3f, x2, 904,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xfffe, 0x2, 3f, x2, 908,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x0, 0x6, 3f, x2, 912,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb503, 0x8, 3f, x2, 916,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666665, 0x4, 3f, x2, 920,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333332, 0x10, 3f, x2, 924,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaa9, 0x400, 3f, x2, 928,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555554, 0x0, 3f, x2, 932,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x2, 0x0, 3f, x2, 936,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xffff, 0x2, 1b, x2, 940,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb504, 0x10, 3f, x2, 944,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666666, 0x0, 3f, x2, 948,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333333, 0x8, 1b, x2, 952,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x5, 0x6, 1b, x2, 956,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaaa, 0x40, 3f, x2, 960,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x2, 964,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x3, 0x400, 3f, x2, 968,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x10000, 0x0, 3f, x2, 972,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x1, 0x0, 1b, x2, 976,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb505, 0x8, 3f, x2, 980,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666667, 0x8, 3f, x2, 984,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 3f, x2, 988,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x2, 992,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 3f, x2, 996,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555556, 0xa, 1b, x2, 1000,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x4, 0x0, 1b, x2, 1004,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xfffe, 0x100, 3f, x2, 1008,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x0, 0x2, 3f, x2, 1012,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb503, 0x6, 3f, x2, 1016,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666665, 0x556, 1b, x2, 1020,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333332, 0x4, 1b, x2, 1024,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x6, 3f, x2, 1028,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555554, 0x8, 3f, x2, 1032,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x2, 0x6, 3f, x2, 1036,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffff, 0x100, 3f, x2, 1040,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb504, 0x0, 1b, x2, 1044,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666666, 0x20, 3f, x2, 1048,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333333, 0x4, 3f, x2, 1052,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x5, 0x100, 3f, x2, 1056,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0x2, 1b, x2, 1060,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555555, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x3, 0x4, 3f, x2, 1068,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x10000, 0x4, 3f, x2, 1072,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x1, 0xa, 1b, x2, 1076,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x2, 1080,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x2, 1084,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x2, 1088,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x6, 0x6, 1b, x2, 1092,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaab, 0x6, 1b, x2, 1096,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555556, 0x556, 1b, x2, 1100,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x4, 0x6, 3f, x2, 1104,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xfffe, 0x0, 1b, x2, 1108,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x0, 0x6, 1b, x2, 1112,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x2, 1116,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666665, 0xa, 1b, x2, 1120,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333332, 0x20, 3f, x2, 1124,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaa9, 0x8, 3f, x2, 1128,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555554, 0x6, 3f, x2, 1132,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x2, 0x100, 3f, x2, 1136,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xffff, 0x6, 1b, x2, 1140,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x2, 1144,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666666, 0x0, 3f, x2, 1148,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333333, 0x2, 1b, x2, 1152,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x5, 0x4, 3f, x2, 1156,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaaa, 0x0, 3f, x2, 1160,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555555, 0x8, 3f, x2, 1164,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x3, 0x0, 3f, x2, 1168,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x10000, 0x6, 1b, x2, 1172,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x1, 0x6, 1b, x2, 1176,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb505, 0x8, 3f, x2, 1180,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666667, 0x0, 1b, x2, 1184,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x2, 1188,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x6, 0x200, 3f, x2, 1192,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaab, 0x400, 1b, x2, 1196,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555556, 0x0, 1b, x2, 1200,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x4, 0x40, 3f, x2, 1204,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xfffe, 0x6, 1b, x2, 1208,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb503, 0x8, 1b, x2, 1216,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666665, 0x556, 1b, x2, 1220,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333332, 0x8, 1b, x2, 1224,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaa9, 0x0, 1b, x2, 1228,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555554, 0x6, 1b, x2, 1232,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x2, 0x100, 3f, x2, 1236,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xffff, 0x6, 3f, x2, 1240,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb504, 0x400, 3f, x2, 1244,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666666, 0x100, 3f, x2, 1248,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333333, 0x8, 1b, x2, 1252,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x5, 0x10, 3f, x2, 1256,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaaa, 0x400, 1b, x2, 1260,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555555, 0xa, 1b, x2, 1264,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x3, 0x2, 3f, x2, 1268,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x2, 1272,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x1, 0x6, 1b, x2, 1276,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb505, 0x2, 1b, x2, 1280,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666667, 0x4, 1b, x2, 1284,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x2, 1288,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x6, 0x200, 3f, x2, 1292,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 3f, x2, 1296,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555556, 0x0, 3f, x2, 1300,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x4, 0x8, 1b, x2, 1304,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xfffe, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x0, 0x80, 3f, x2, 1312,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb503, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666665, 0x6, 3f, x2, 1320,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333332, 0x100, 3f, x2, 1324,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaa9, 0xa, 1b, x2, 1328,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555554, 0x0, 1b, x2, 1332,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x2, 0x40, 3f, x2, 1336,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xffff, 0x6, 3f, x2, 1340,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb504, 0x6, 1b, x2, 1344,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x2, 1348,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333333, 0x6, 3f, x2, 1352,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x5, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaaa, 0x8, 3f, x2, 1360,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555555, 0x0, 3f, x2, 1364,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x3, 0x0, 1b, x2, 1368,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x10000, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x1, 0x100, 3f, x2, 1376,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb505, 0x40, 3f, x2, 1380,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666667, 0x400, 3f, x2, 1384,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333334, 0x10, 3f, x2, 1388,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x6, 0x20, 3f, x2, 1392,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaab, 0x2, 3f, x2, 1396,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555556, 0x8, 1b, x2, 1400,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x4, 0x400, 3f, x2, 1404,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xfffe, 0x400, 3f, x2, 1408,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x0, 0x0, 3f, x2, 1412,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb503, 0x400, 3f, x2, 1416,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666665, 0x20, 3f, x2, 1420,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333332, 0x2, 1b, x2, 1424,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaa9, 0x80, 3f, x2, 1428,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555554, 0xa, 1b, x2, 1432,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x2, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xffff, 0x2, 3f, x2, 1440,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb504, 0x8, 1b, x2, 1444,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666666, 0x8, 1b, x2, 1448,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333333, 0x400, 3f, x2, 1452,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x5, 0x8, 3f, x2, 1456,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaaa, 0x400, 1b, x2, 1460,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555555, 0x2, 1b, x2, 1464,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x3, 0x20, 3f, x2, 1468,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x10000, 0x200, 3f, x2, 1472,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x1, 0x8, 3f, x2, 1476,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb505, 0x400, 3f, x2, 1480,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666667, 0x20, 3f, x2, 1484,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333334, 0x2, 1b, x2, 1488,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x6, 0x20, 3f, x2, 1492,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaab, 0x8, 3f, x2, 1496,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1500,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x4, 0x0, 1b, x2, 1504,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xfffe, 0x556, 1b, x2, 1508,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x0, 0x6, 3f, x2, 1512,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb503, 0x20, 3f, x2, 1516,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666665, 0x400, 1b, x2, 1520,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333332, 0x100, 3f, x2, 1524,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaa9, 0x400, 1b, x2, 1528,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x2, 1532,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1536,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff, 0x4, 1b, x2, 1540,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb504, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666666, 0x8, 1b, x2, 1548,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1552,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x5, 0x8, 1b, x2, 1556,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaaa, 0x200, 3f, x2, 1560,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555555, 0x80, 3f, x2, 1564,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x2, 1568,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x10000, 0x10, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x1, 0x8, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb505, 0x80, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666667, 0x4, 3f, x2, 1584,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1588,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x6, 0x10, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaab, 0x556, 1b, x2, 1596,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555556, 0x2, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffe, 0x4, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x0, 0x6, 1b, x2, 1612,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb503, 0x10, 3f, x2, 1616,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x2, 1620,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333332, 0x8, 1b, x2, 1624,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaa9, 0x0, 1b, x2, 1628,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x2, 1632,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x2, 0x400, 3f, x2, 1636,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xffff, 0x0, 3f, x2, 1640,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb504, 0x10, 3f, x2, 1644,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 3f, x2, 1648,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333333, 0x400, 3f, x2, 1652,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x5, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaaa, 0x4, 3f, x2, 1660,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x2, 1664,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x3, 0x40, 3f, x2, 1668,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x10000, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x1, 0x40, 3f, x2, 1676,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb505, 0x40, 3f, x2, 1680,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666667, 0x80, 3f, x2, 1684,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1688,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x6, 0x4, 1b, x2, 1692,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaab, 0xa, 1b, x2, 1696,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555556, 0x10, 3f, x2, 1700,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x4, 0x2, 1b, x2, 1704,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xfffe, 0x100, 3f, x2, 1708,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x0, 0x556, 1b, x2, 1712,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb503, 0x2, 1b, x2, 1716,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1720,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1724,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaa9, 0x200, 3f, x2, 1728,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x2, 1732,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x2, 0x4, 3f, x2, 1736,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xffff, 0x10, 3f, x2, 1740,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb504, 0x4, 3f, x2, 1744,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666666, 0x4, 1b, x2, 1748,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaaa, 0x4, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555555, 0x100, 3f, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x3, 0x80, 3f, x2, 1768,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x10000, 0x100, 3f, x2, 1772,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x1, 0x6, 3f, x2, 1776,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb505, 0x2, 3f, x2, 1780,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666667, 0x4, 3f, x2, 1784,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333334, 0x4, 1b, x2, 1788,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x6, 0x200, 3f, x2, 1792,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x8, 3f, x2, 1796,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555556, 0x556, 1b, x2, 1800,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x4, 0x8, 3f, x2, 1804,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xfffe, 0x4, 3f, x2, 1808,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x0, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb503, 0x400, 1b, x2, 1816,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666665, 0x8, 1b, x2, 1820,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333332, 0x0, 1b, x2, 1824,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x400, 1b, x2, 1828,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 3f, x2, 1832,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x2, 0x8, 1b, x2, 1836,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xffff, 0x10, 3f, x2, 1840,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb504, 0x8, 3f, x2, 1844,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666666, 0x2, 1b, x2, 1848,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333333, 0x80, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x4, 0x4, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x0, 0x40, 3f, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb503, 0x6, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666665, 0x8, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaa9, 0x80, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x2, 0x0, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xffff, 0x100, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb504, 0x0, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666666, 0x8, 1b, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333333, 0x6, 3f, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x5, 0x4, 1b, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaaa, 0x400, 3f, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x3, 0x20, 3f, x2, 1920,0) - -inst_489: -// rs1_val==2 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x10000, 0x2, 3f, x2, 1924,0) - -inst_490: -// rs1_val==2 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x1, 0x6, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb505, 0x10, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666667, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333334, 0x400, 1b, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x6, 0x6, 3f, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaab, 0x6, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555556, 0x10, 3f, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x4, 0x8, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xfffe, 0x0, 3f, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x0, 0x400, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb503, 0x0, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666665, 0x4, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333332, 0x400, 3f, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaa9, 0x2, 1b, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555554, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x2, 0x4, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xffff, 0x80, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb504, 0x8, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666666, 0x40, 3f, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x5, 0x40, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaaa, 0x200, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555555, 0x2, 3f, x2, 2016,0) - -inst_513: -// rs1_val==2 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x2, 2020,0) - -inst_514: -// rs1_val==65535 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x10000, 0x400, 1b, x2, 2024,0) - -inst_515: -// rs1_val==65535 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x1, 0x400, 1b, x2, 2028,0) - -inst_516: -// rs1_val==65535 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb505, 0x80, 3f, x2, 2032,0) - -inst_517: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666667, 0x556, 1b, x2, 2036,0) - -inst_518: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333334, 0x200, 3f, x2, 2040,0) - -inst_519: -// rs1_val==65535 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x6, 0x4, 1b, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaab, 0x20, 3f, x2, 0,0) - -inst_521: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555556, 0x100, 3f, x2, 4,0) - -inst_522: -// rs1_val==65535 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x4, 0x6, 1b, x2, 8,0) - -inst_523: -// rs1_val==65535 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xfffe, 0x6, 3f, x2, 12,0) - -inst_524: -// rs1_val==65535 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x0, 0x40, 3f, x2, 16,0) - -inst_525: -// rs1_val==65535 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb503, 0x0, 3f, x2, 20,0) - -inst_526: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666665, 0x200, 3f, x2, 24,0) - -inst_527: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x2, 28,0) - -inst_528: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaa9, 0xa, 1b, x2, 32,0) - -inst_529: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555554, 0x200, 3f, x2, 36,0) - -inst_530: -// rs1_val==65535 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x2, 0x0, 3f, x2, 40,0) - -inst_531: -// rs1_val==65535 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xffff, 0x20, 3f, x2, 44,0) - -inst_532: -// rs1_val==65535 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb504, 0x8, 3f, x2, 48,0) - -inst_533: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x2, 52,0) - -inst_534: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333333, 0x40, 3f, x2, 56,0) - -inst_535: -// rs1_val==65535 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x5, 0x2, 1b, x2, 60,0) - -inst_536: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaaa, 0x4, 1b, x2, 64,0) - -inst_537: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555555, 0x0, 1b, x2, 68,0) - -inst_538: -// rs1_val==65535 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x3, 0x400, 3f, x2, 72,0) - -inst_539: -// rs1_val==46340 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x10000, 0x8, 1b, x2, 76,0) - -inst_540: -// rs1_val==46340 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x1, 0x100, 3f, x2, 80,0) - -inst_541: -// rs1_val==46340 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb505, 0x8, 1b, x2, 84,0) - -inst_542: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666667, 0x2, 1b, x2, 88,0) - -inst_543: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333334, 0x6, 3f, x2, 92,0) - -inst_544: -// rs1_val==46340 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x6, 0x6, 1b, x2, 96,0) - -inst_545: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaab, 0x80, 3f, x2, 100,0) - -inst_546: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x2, 104,0) - -inst_547: -// rs1_val==46340 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x4, 0x400, 3f, x2, 108,0) - -inst_548: -// rs1_val==46340 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xfffe, 0x8, 3f, x2, 112,0) - -inst_549: -// rs1_val==46340 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x2, 116,0) - -inst_550: -// rs1_val==46340 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x2, 120,0) - -inst_551: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666665, 0xa, 1b, x2, 124,0) - -inst_552: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x2, 128,0) - -inst_553: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaa9, 0x4, 1b, x2, 132,0) - -inst_554: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555554, 0x10, 3f, x2, 136,0) - -inst_555: -// rs1_val==46340 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x2, 0x10, 3f, x2, 140,0) - -inst_556: -// rs1_val==46340 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xffff, 0x2, 1b, x2, 144,0) - -inst_557: -// rs1_val==46340 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb504, 0x2, 3f, x2, 148,0) - -inst_558: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x2, 152,0) - -inst_559: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333333, 0x4, 1b, x2, 156,0) - -inst_560: -// rs1_val==46340 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x5, 0x6, 1b, x2, 160,0) - -inst_561: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x2, 164,0) - -inst_562: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x2, 168,0) - -inst_563: -// rs1_val==46340 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x3, 0x0, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x10000, 0x100, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x1, 0x80, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb505, 0x0, 1b, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666667, 0x40, 3f, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333334, 0x6, 1b, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x6, 0x4, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaab, 0x4, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555556, 0x20, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xfffe, 0x40, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x0, 0x10, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb503, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666665, 0x8, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaa9, 0x100, 3f, x2, 232,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555554, 0x10, 3f, x2, 236,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x2, 0x40, 3f, x2, 240,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffff, 0x4, 1b, x2, 244,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb504, 0x80, 3f, x2, 248,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666666, 0x4, 3f, x2, 252,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333333, 0x2, 3f, x2, 256,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x2, 260,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaaa, 0x6, 3f, x2, 264,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x2, 268,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x3, 0x4, 3f, x2, 272,0) - -inst_589: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x10000, 0x0, 1b, x2, 276,0) - -inst_590: -// rs1_val==858993459 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x1, 0x2, 3f, x2, 280,0) - -inst_591: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb505, 0xa, 1b, x2, 284,0) - -inst_592: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666667, 0x40, 3f, x2, 288,0) - -inst_593: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333334, 0x400, 3f, x2, 292,0) - -inst_594: -// rs1_val==858993459 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x6, 0x6, 3f, x2, 296,0) - -inst_595: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaab, 0xa, 1b, x2, 300,0) - -inst_596: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555556, 0x8, 3f, x2, 304,0) - -inst_597: -// rs1_val==858993459 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x4, 0x10, 3f, x2, 308,0) - -inst_598: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xfffe, 0x200, 3f, x2, 312,0) - -inst_599: -// rs1_val==858993459 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x0, 0x20, 3f, x2, 316,0) - -inst_600: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x3, 0x2, 1b, x2, 320,0) - -inst_601: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb503, 0x40, 3f, x2, 324,0) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666665, 0x6, 1b, x2, 328,0) - -inst_603: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333332, 0x2, 1b, x2, 332,0) - -inst_604: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaa9, 0x2, 3f, x2, 336,0) - -inst_605: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555554, 0x400, 1b, x2, 340,0) - -inst_606: -// rs1_val==858993459 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x2, 0x80, 3f, x2, 344,0) - -inst_607: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xffff, 0x2, 3f, x2, 348,0) - -inst_608: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb504, 0x8, 3f, x2, 352,0) - -inst_609: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666666, 0x0, 1b, x2, 356,0) - -inst_610: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333333, 0x100, 3f, x2, 360,0) - -inst_611: -// rs1_val==858993459 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x5, 0x4, 1b, x2, 364,0) - -inst_612: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaaa, 0x80, 3f, x2, 368,0) - -inst_613: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555555, 0x4, 3f, x2, 372,0) - -inst_614: -// rs1_val==858993459 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x3, 0x400, 1b, x2, 376,0) - -inst_615: -// rs1_val==5 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x10000, 0x400, 1b, x2, 380,0) - -inst_616: -// rs1_val==5 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x1, 0x8, 1b, x2, 384,0) - -inst_617: -// rs1_val==5 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb505, 0x2, 1b, x2, 388,0) - -inst_618: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666667, 0x400, 1b, x2, 392,0) - -inst_619: -// rs1_val==5 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333334, 0x10, 3f, x2, 396,0) - -inst_620: -// rs1_val==5 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x6, 0x40, 3f, x2, 400,0) - -inst_621: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaab, 0x6, 1b, x2, 404,0) - -inst_622: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555556, 0xa, 1b, x2, 408,0) - -inst_623: -// rs1_val==5 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x2, 412,0) - -inst_624: -// rs1_val==5 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xfffe, 0x10, 3f, x2, 416,0) - -inst_625: -// rs1_val==5 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x0, 0x0, 1b, x2, 420,0) - -inst_626: -// rs1_val==5 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb503, 0x400, 3f, x2, 424,0) - -inst_627: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666665, 0x20, 3f, x2, 428,0) - -inst_628: -// rs1_val==5 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333332, 0x10, 3f, x2, 432,0) - -inst_629: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaa9, 0x80, 3f, x2, 436,0) - -inst_630: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555554, 0x200, 3f, x2, 440,0) - -inst_631: -// rs1_val==5 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x2, 0x100, 3f, x2, 444,0) - -inst_632: -// rs1_val==5 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xffff, 0x0, 3f, x2, 448,0) - -inst_633: -// rs1_val==5 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb504, 0x40, 3f, x2, 452,0) - -inst_634: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x2, 456,0) - -inst_635: -// rs1_val==5 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333333, 0x8, 3f, x2, 460,0) - -inst_636: -// rs1_val==5 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x2, 464,0) - -inst_637: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaaa, 0x4, 3f, x2, 468,0) - -inst_638: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555555, 0x80, 3f, x2, 472,0) - -inst_639: -// rs1_val==5 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x3, 0x0, 1b, x2, 476,0) - -inst_640: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x10000, 0x20, 3f, x2, 480,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x1, 0x8, 3f, x2, 484,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb505, 0x0, 1b, x2, 488,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666667, 0x2, 1b, x2, 492,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333334, 0x400, 1b, x2, 496,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x6, 0x200, 3f, x2, 500,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x4, 3f, x2, 504,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555556, 0x556, 1b, x2, 508,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x4, 0x0, 1b, x2, 512,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xfffe, 0x40, 3f, x2, 516,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x0, 0x4, 3f, x2, 520,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb503, 0x0, 3f, x2, 524,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666665, 0x200, 3f, x2, 528,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333332, 0x20, 3f, x2, 532,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x2, 1b, x2, 536,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555554, 0x10, 3f, x2, 540,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x2, 0x2, 1b, x2, 544,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xffff, 0x100, 3f, x2, 548,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb504, 0x200, 3f, x2, 552,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666666, 0x10, 3f, x2, 556,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333333, 0xa, 1b, x2, 560,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x5, 0x200, 3f, x2, 564,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x4, 1b, x2, 568,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555555, 0x4, 3f, x2, 572,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x3, 0x200, 3f, x2, 576,0) - -inst_665: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x10000, 0x4, 1b, x2, 580,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x1, 0x4, 3f, x2, 584,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb505, 0x2, 1b, x2, 588,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666667, 0xa, 1b, x2, 592,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333334, 0x8, 3f, x2, 596,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 600,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaab, 0x4, 3f, x2, 604,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x2, 608,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x4, 0x6, 1b, x2, 612,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xfffe, 0x2, 3f, x2, 616,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x0, 0x20, 3f, x2, 620,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb503, 0xa, 1b, x2, 624,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x2, 628,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x2, 632,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaa9, 0x20, 3f, x2, 636,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555554, 0xa, 1b, x2, 640,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x2, 0x0, 1b, x2, 644,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xffff, 0x2, 3f, x2, 648,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb504, 0x2, 3f, x2, 652,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 656,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333333, 0x2, 3f, x2, 660,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x5, 0x100, 3f, x2, 664,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaaa, 0x556, 1b, x2, 668,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555555, 0x400, 3f, x2, 672,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x3, 0x400, 1b, x2, 676,0) - -inst_690: -// rs1_val==3 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x10000, 0x2, 1b, x2, 680,0) - -inst_691: -// rs1_val==3 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x1, 0x400, 3f, x2, 684,0) - -inst_692: -// rs1_val==3 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb505, 0x10, 3f, x2, 688,0) - -inst_693: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666667, 0x0, 3f, x2, 692,0) - -inst_694: -// rs1_val==3 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 696,0) - -inst_695: -// rs1_val==3 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x6, 0x80, 3f, x2, 700,0) - -inst_696: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaab, 0x4, 1b, x2, 704,0) - -inst_697: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555556, 0x10, 3f, x2, 708,0) - -inst_698: -// rs1_val==3 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x4, 0x8, 1b, x2, 712,0) - -inst_699: -// rs1_val==3 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xfffe, 0xa, 1b, x2, 716,0) - -inst_700: -// rs1_val==3 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x0, 0x400, 1b, x2, 720,0) - -inst_701: -// rs1_val==3 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 724,0) - -inst_702: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666665, 0x4, 1b, x2, 728,0) - -inst_703: -// rs1_val==3 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333332, 0x556, 1b, x2, 732,0) - -inst_704: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaa9, 0x10, 3f, x2, 736,0) - -inst_705: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555554, 0x400, 3f, x2, 740,0) - -inst_706: -// rs1_val==3 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x2, 0x4, 3f, x2, 744,0) - -inst_707: -// rs1_val==3 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xffff, 0x200, 3f, x2, 748,0) - -inst_708: -// rs1_val==3 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb504, 0x40, 3f, x2, 752,0) - -inst_709: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x2, 756,0) - -inst_710: -// rs1_val==3 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333333, 0x80, 3f, x2, 760,0) - -inst_711: -// rs1_val==3 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 764,0) - -inst_712: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaaa, 0x6, 3f, x2, 768,0) - -inst_713: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555555, 0x8, 1b, x2, 772,0) - -inst_714: -// rs1_val==3 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x3, 0x4, 3f, x2, 776,0) - -inst_715: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x5, 0x8, 1b, x2, 780,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x6, 1b, x2, 784,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555555, 0x400, 3f, x2, 788,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x10000, 0x2, 1b, x2, 792,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x1, 0x556, 1b, x2, 796,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb505, 0x0, 1b, x2, 800,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666667, 0x100, 3f, x2, 804,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333334, 0x6, 3f, x2, 808,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x6, 0x2, 1b, x2, 812,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaab, 0x556, 1b, x2, 816,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555556, 0x80, 3f, x2, 820,0) - -inst_726: -// rs2_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0x9; op2val:0xfffbffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x9, 0xfffbffff, 0x40, 3f, x2, 824,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 207*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bne-01.S deleted file mode 100644 index 58a333c0e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bne-01.S +++ /dev/null @@ -1,3010 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bne instruction of the RISC-V E extension for the bne covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bne) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x14, rs2==x14, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x14; op2:x14; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x3, x14, x14, -0x2000001, -0x2000001, 0x8, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x10, rs2_val == 2147483647, -// opcode: bne, op1:x9; op2:x10; op1val:0x9; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x9, x10, 0x9, 0x7fffffff, 0x6, 1b, x2, 4,0) - -inst_2: -// rs1==x12, rs2==x4, rs2_val == -1073741825, rs1_val == -257, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x12; op2:x4; op1val:-0x101; op2val:-0x40000001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x3, x12, x4, -0x101, -0x40000001, 0x10, 3f, x2, 8,0) - -inst_3: -// rs1==x6, rs2==x5, rs2_val == -536870913, rs1_val == -2097153 -// opcode: bne, op1:x6; op2:x5; op1val:-0x200001; op2val:-0x20000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x6, x5, -0x200001, -0x20000001, 0x2, 1b, x2, 12,0) - -inst_4: -// rs1==x13, rs2==x0, rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x13; op2:x0; op1val:-0x1000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x13, x0, -0x1000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_5: -// rs1==x7, rs2==x8, rs2_val == -67108865, rs1_val == 64, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x7; op2:x8; op1val:0x40; op2val:-0x4000001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x3, x7, x8, 0x40, -0x4000001, 0x100, 3f, x2, 20,0) - -inst_6: -// rs1==x1, rs2==x13, rs2_val == -33554433, rs1_val == 4194304 -// opcode: bne, op1:x1; op2:x13; op1val:0x400000; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x1, x13, 0x400000, -0x2000001, 0x2, 1b, x2, 24,0) - -inst_7: -// rs1==x5, rs2==x11, rs2_val == -16777217, rs1_val == 2147483647 -// opcode: bne, op1:x5; op2:x11; op1val:0x7fffffff; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x13, x5, x11, 0x7fffffff, -0x1000001, 0x2, 3f, x2, 28,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_8: -// rs1==x0, rs2==x1, rs2_val == -8388609, rs1_val == 8192 -// opcode: bne, op1:x0; op2:x1; op1val:0x0; op2val:-0x800001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x13, x0, x1, 0x0, -0x800001, 0x4, 1b, x5, 0,0) - -inst_9: -// rs1==x4, rs2==x9, rs2_val == -4194305, rs1_val == -16385 -// opcode: bne, op1:x4; op2:x9; op1val:-0x4001; op2val:-0x400001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x13, x4, x9, -0x4001, -0x400001, 0x10, 3f, x5, 4,0) - -inst_10: -// rs1==x11, rs2==x15, rs2_val == -2097153, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -67108865 -// opcode: bne, op1:x11; op2:x15; op1val:-0x4000001; op2val:-0x200001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x13, x11, x15, -0x4000001, -0x200001, 0x80, 3f, x5, 8,0) - -inst_11: -// rs1==x15, rs2==x3, rs2_val == -1048577, -// opcode: bne, op1:x15; op2:x3; op1val:0x6; op2val:-0x100001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x13, x15, x3, 0x6, -0x100001, 0x6, 1b, x5, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == -524289, rs1_val == -4097 -// opcode: bne, op1:x10; op2:x7; op1val:-0x1001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x13, x10, x7, -0x1001, -0x80001, 0x556, 1b, x5, 16,0) - -inst_13: -// rs1==x3, rs2==x2, rs2_val == -262145, -// opcode: bne, op1:x3; op2:x2; op1val:0xb505; op2val:-0x40001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x13, x3, x2, 0xb505, -0x40001, 0x0, 3f, x5, 20,0) - -inst_14: -// rs1==x2, rs2==x12, rs2_val == -131073, -// opcode: bne, op1:x2; op2:x12; op1val:-0x6; op2val:-0x20001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x13, x2, x12, -0x6, -0x20001, 0x100, 3f, x5, 24,0) - -inst_15: -// rs1==x8, rs2==x6, rs2_val == -65537, -// opcode: bne, op1:x8; op2:x6; op1val:0x66666666; op2val:-0x10001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x13, x8, x6, 0x66666666, -0x10001, 0x200, 3f, x5, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs2_val == -32769, rs1_val == -2147483648 -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x8001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, -0x8001, 0x400, 3f, x1, 0,0) - -inst_17: -// rs2_val == -16385, rs1_val == 32 -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:-0x4001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, -0x4001, 0x6, 1b, x1, 4,0) - -inst_18: -// rs2_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x2001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x2001, 0x8, 3f, x1, 8,0) - -inst_19: -// rs2_val == -4097, rs1_val == 2048 -// opcode: bne, op1:x10; op2:x11; op1val:0x800; op2val:-0x1001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800, -0x1001, 0x2, 3f, x1, 12,0) - -inst_20: -// rs2_val == -2049, rs1_val == -65537 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x801; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10001, -0x801, 0x0, 3f, x1, 16,0) - -inst_21: -// rs2_val == -1025, rs1_val == -262145 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40001, -0x401, 0x0, 3f, x1, 20,0) - -inst_22: -// rs2_val == -513, rs1_val == -268435457 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10000001, -0x201, 0x2, 3f, x1, 24,0) - -inst_23: -// rs2_val == -257, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x101; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, -0x101, 0x8, 3f, x1, 28,0) - -inst_24: -// rs2_val == -129, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x81, 0x4, 1b, x1, 32,0) - -inst_25: -// rs2_val == -65, rs1_val == -2049 -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:-0x41; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, -0x41, 0x8, 3f, x1, 36,0) - -inst_26: -// rs2_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000000, -0x21, 0x20, 3f, x1, 40,0) - -inst_27: -// rs2_val == -17, rs1_val == -1073741825 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x11; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000001, -0x11, 0x400, 3f, x1, 44,0) - -inst_28: -// rs2_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x9; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x9, 0x100, 3f, x1, 48,0) - -inst_29: -// rs2_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1001, -0x5, 0x4, 1b, x1, 52,0) - -inst_30: -// rs2_val == -3, rs1_val == 134217728 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000000, -0x3, 0x556, 1b, x1, 56,0) - -inst_31: -// rs2_val == -2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x101; op2val:-0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x101, -0x2, 0x2, 3f, x1, 60,0) - -inst_32: -// rs1_val == -536870913, -// opcode: bne, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20000001, -0x1001, 0x80, 3f, x1, 64,0) - -inst_33: -// rs1_val == -134217729, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8000001, 0x2, 0x0, 1b, x1, 68,0) - -inst_34: -// rs1_val == -8388609, -// opcode: bne, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x11; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x800001, -0x11, 0x200, 3f, x1, 72,0) - -inst_35: -// rs1_val == -4194305, -// opcode: bne, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x20000001; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x400001, -0x20000001, 0x40, 3f, x1, 76,0) - -inst_36: -// rs1_val == -1048577, -// opcode: bne, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x800001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x100001, -0x800001, 0x2, 1b, x1, 80,0) - -inst_37: -// rs1_val == -524289, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80001, -0x200001, 0x10, 3f, x1, 84,0) - -inst_38: -// rs1_val == -131073, rs2_val == 1024 -// opcode: bne, op1:x10; op2:x11; op1val:-0x20001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20001, 0x400, 0x10, 3f, x1, 88,0) - -inst_39: -// rs1_val == -32769, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x80001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, -0x80001, 0x4, 3f, x1, 92,0) - -inst_40: -// rs1_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2001, -0x100001, 0x2, 1b, x1, 96,0) - -inst_41: -// rs1_val == -1025, rs2_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x401; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x401, -0x55555556, 0x556, 1b, x1, 100,0) - -inst_42: -// rs1_val == -513, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x6, 0x20, 3f, x1, 104,0) - -inst_43: -// rs1_val == -129, -// opcode: bne, op1:x10; op2:x11; op1val:-0x81; op2val:-0x400001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x81, -0x400001, 0x6, 1b, x1, 108,0) - -inst_44: -// rs1_val == -65, -// opcode: bne, op1:x10; op2:x11; op1val:-0x41; op2val:-0x1001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x41, -0x1001, 0x200, 3f, x1, 112,0) - -inst_45: -// rs1_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x21; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x21, -0x55555556, 0x80, 3f, x1, 116,0) - -inst_46: -// rs1_val == -17, -// opcode: bne, op1:x10; op2:x11; op1val:-0x11; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x11, -0x101, 0x6, 1b, x1, 120,0) - -inst_47: -// rs1_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:-0x40001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, -0x40001, 0x400, 1b, x1, 124,0) - -inst_48: -// rs1_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x5, 0x6, 0x80, 3f, x1, 128,0) - -inst_49: -// rs1_val == -3, rs2_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x3, 0x55555555, 0xa, 1b, x1, 132,0) - -inst_50: -// rs1_val == -2, rs2_val == 524288 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2, 0x80000, 0x4, 3f, x1, 136,0) - -inst_51: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x80000000, 0x2, 1b, x1, 140,0) - -inst_52: -// rs2_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:0x40000000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, 0x40000000, 0x80, 3f, x1, 144,0) - -inst_53: -// rs2_val == 536870912, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20000000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x20000000, 0x8, 1b, x1, 148,0) - -inst_54: -// rs2_val == 268435456, rs1_val == 16384 -// opcode: bne, op1:x10; op2:x11; op1val:0x4000; op2val:0x10000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000, 0x10000000, 0x2, 3f, x1, 152,0) - -inst_55: -// rs2_val == 134217728, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x8000000, 0x2, 3f, x1, 156,0) - -inst_56: -// rs2_val == 67108864, rs1_val == 8 -// opcode: bne, op1:x10; op2:x11; op1val:0x8; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8, 0x4000000, 0xa, 1b, x1, 160,0) - -inst_57: -// rs2_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2000000, 0xa, 1b, x1, 164,0) - -inst_58: -// rs2_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x1000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x4000001, 0x1000000, 0x2, 1b, x1, 168,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, 0x800000, 0x8, 3f, x1, 172,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bne, op1:x10; op2:x11; op1val:-0x6; op2val:0x400000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x6, 0x400000, 0x200, 3f, x1, 176,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x200000, 0x20, 3f, x1, 180,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x100000, 0x0, 3f, x1, 184,0) - -inst_63: -// rs2_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000, 0x40000, 0x80, 3f, x1, 188,0) - -inst_64: -// rs2_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x20000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x20000, 0xa, 1b, x1, 192,0) - -inst_65: -// rs2_val == 65536, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x10000, 0xa, 1b, x1, 196,0) - -inst_66: -// rs2_val == 32768, -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, 0x8000, 0x0, 1b, x1, 200,0) - -inst_67: -// rs2_val == 16384, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x4000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, 0x4000, 0xa, 1b, x1, 204,0) - -inst_68: -// rs2_val == 8192, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x2000, 0xa, 1b, x1, 208,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32768 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000, 0x1000, 0x6, 3f, x1, 212,0) - -inst_70: -// rs2_val == 2048, -// opcode: bne, op1:x10; op2:x11; op1val:0x40; op2val:0x800; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40, 0x800, 0x6, 3f, x1, 216,0) - -inst_71: -// rs2_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x200, 0x400, 1b, x1, 220,0) - -inst_72: -// rs2_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x100; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x100, 0x200, 3f, x1, 224,0) - -inst_73: -// rs2_val == 128, rs1_val == 65536 -// opcode: bne, op1:x10; op2:x11; op1val:0x10000; op2val:0x80; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000, 0x80, 0x80, 3f, x1, 228,0) - -inst_74: -// rs2_val == 64, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x40, 0x8, 3f, x1, 232,0) - -inst_75: -// rs2_val == 32, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x20; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x20, 0x40, 3f, x1, 236,0) - -inst_76: -// rs2_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x10; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x10, 0x400, 3f, x1, 240,0) - -inst_77: -// rs2_val == 8, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x8, 0x80, 3f, x1, 244,0) - -inst_78: -// rs2_val == 4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, 0x4, 0x2, 1b, x1, 248,0) - -inst_79: -// rs2_val == 1, rs1_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x1, 0x4, 3f, x1, 252,0) - -inst_80: -// rs1_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000000, 0x33333333, 0x2, 1b, x1, 256,0) - -inst_81: -// rs1_val == 536870912, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000000, -0x9, 0x4, 3f, x1, 260,0) - -inst_82: -// rs1_val == 268435456, -// opcode: bne, op1:x10; op2:x11; op1val:0x10000000; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000000, 0x4, 0x10, 3f, x1, 264,0) - -inst_83: -// rs1_val == 67108864, -// opcode: bne, op1:x10; op2:x11; op1val:0x4000000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000000, 0x800000, 0x200, 3f, x1, 268,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000000; op2val:0x3fffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000000, 0x3fffffff, 0x2, 3f, x1, 272,0) - -inst_85: -// rs1_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000000; op2val:-0x100001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000000, -0x100001, 0x0, 3f, x1, 276,0) - -inst_86: -// rs1_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x800000; op2val:0x1000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800000, 0x1000, 0x8, 1b, x1, 280,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:0x200000; op2val:-0x401; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200000, -0x401, 0x200, 3f, x1, 284,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:0x100000; op2val:-0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100000, -0x1, 0x8, 3f, x1, 288,0) - -inst_89: -// rs1_val == 524288, -// opcode: bne, op1:x10; op2:x11; op1val:0x80000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80000, 0x0, 0x2, 3f, x1, 292,0) - -inst_90: -// rs1_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000, 0x33333333, 0x0, 3f, x1, 296,0) - -inst_91: -// rs1_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000; op2val:-0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000, -0x5, 0x100, 3f, x1, 300,0) - -inst_92: -// rs1_val == 4096, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000; op2val:0x100000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000, 0x100000, 0x20, 3f, x1, 304,0) - -inst_93: -// rs1_val == 1024, -// opcode: bne, op1:x10; op2:x11; op1val:0x400; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x400, 0x80, 0x400, 1b, x1, 308,0) - -inst_94: -// rs1_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x200; op2val:-0x9; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200, -0x9, 0x0, 3f, x1, 312,0) - -inst_95: -// rs1_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:0x100; op2val:0x8000; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100, 0x8000, 0x556, 1b, x1, 316,0) - -inst_96: -// rs1_val == 128, -// opcode: bne, op1:x10; op2:x11; op1val:0x80; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80, 0x55555556, 0x20, 3f, x1, 320,0) - -inst_97: -// rs1_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:0x10; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10, -0x81, 0x4, 1b, x1, 324,0) - -inst_98: -// rs1_val == 4, rs1_val==4 and rs2_val==0 -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x0, 0x556, 1b, x1, 328,0) - -inst_99: -// rs1_val == 1, -// opcode: bne, op1:x10; op2:x11; op1val:0x1; op2val:-0x4000001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1, -0x4000001, 0x200, 3f, x1, 332,0) - -inst_100: -// rs1_val==46341 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb505, 0xa, 1b, x1, 336,0) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb503, 0x4, 1b, x1, 340,0) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666667, 0x200, 3f, x1, 344,0) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x1, 348,0) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x6, 0x4, 3f, x1, 352,0) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555555, 0x2, 3f, x1, 356,0) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555556, 0x2, 3f, x1, 360,0) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x4, 0x6, 3f, x1, 364,0) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb503, 0x556, 1b, x1, 368,0) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x0, 0x6, 1b, x1, 372,0) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666665, 0x6, 3f, x1, 376,0) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333332, 0x400, 3f, x1, 380,0) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x1, 384,0) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x2, 0x20, 3f, x1, 388,0) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb504, 0x200, 3f, x1, 392,0) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb504, 0x6, 3f, x1, 396,0) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666666, 0x100, 3f, x1, 400,0) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333333, 0x10, 3f, x1, 404,0) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x5, 0x2, 3f, x1, 408,0) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555556, 0x6, 1b, x1, 412,0) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 416,0) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x3, 0x6, 3f, x1, 420,0) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb505, 0x4, 1b, x1, 424,0) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb503, 0x400, 3f, x1, 428,0) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666667, 0x100, 3f, x1, 432,0) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333334, 0x4, 3f, x1, 436,0) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x6, 0x10, 3f, x1, 440,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555555, 0x556, 1b, x1, 444,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x1, 448,0) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x4, 0x400, 3f, x1, 452,0) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb503, 0x400, 3f, x1, 456,0) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x0, 0x4, 3f, x1, 460,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666665, 0x6, 1b, x1, 464,0) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333332, 0x6, 1b, x1, 468,0) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555554, 0x2, 1b, x1, 472,0) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x2, 0x400, 3f, x1, 476,0) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb504, 0x2, 1b, x1, 480,0) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb504, 0x400, 3f, x1, 484,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666666, 0x4, 1b, x1, 488,0) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333333, 0x2, 3f, x1, 492,0) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x5, 0x400, 3f, x1, 496,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555556, 0x6, 1b, x1, 500,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555555, 0x20, 3f, x1, 504,0) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x3, 0x556, 1b, x1, 508,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x1, 512,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb503, 0x6, 1b, x1, 516,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666667, 0x2, 1b, x1, 520,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x1, 524,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x6, 0x0, 3f, x1, 528,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555555, 0x10, 3f, x1, 532,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555556, 0x8, 1b, x1, 536,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x4, 0x4, 3f, x1, 540,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb503, 0x4, 1b, x1, 544,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x0, 0x556, 1b, x1, 548,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666665, 0x2, 3f, x1, 552,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333332, 0x20, 3f, x1, 556,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x1, 560,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x2, 0x6, 3f, x1, 564,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb504, 0x20, 3f, x1, 568,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb504, 0x2, 1b, x1, 572,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x1, 576,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x1, 580,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x5, 0x400, 3f, x1, 584,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555556, 0x40, 3f, x1, 588,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555555, 0x4, 3f, x1, 592,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x3, 0x2, 1b, x1, 596,0) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb505, 0x556, 1b, x1, 600,0) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb503, 0x100, 3f, x1, 604,0) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666667, 0x200, 3f, x1, 608,0) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333334, 0x100, 3f, x1, 612,0) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x6, 0x0, 1b, x1, 616,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555555, 0x2, 3f, x1, 620,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x1, 624,0) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x4, 0x556, 1b, x1, 628,0) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb503, 0x40, 3f, x1, 632,0) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x0, 0x2, 1b, x1, 636,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666665, 0x40, 3f, x1, 640,0) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x1, 644,0) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555554, 0x6, 1b, x1, 648,0) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x2, 0x556, 1b, x1, 652,0) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb504, 0x2, 1b, x1, 656,0) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb504, 0x8, 3f, x1, 660,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666666, 0x0, 3f, x1, 664,0) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333333, 0x4, 3f, x1, 668,0) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x5, 0x6, 3f, x1, 672,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555556, 0x200, 3f, x1, 676,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555555, 0x0, 3f, x1, 680,0) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x3, 0x80, 3f, x1, 684,0) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 688,0) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb503, 0x20, 3f, x1, 692,0) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666667, 0x2, 3f, x1, 696,0) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333334, 0x40, 3f, x1, 700,0) - -inst_192: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x6, 0x4, 1b, x1, 704,0) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555555, 0x0, 1b, x1, 708,0) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555556, 0x2, 1b, x1, 712,0) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x4, 0x20, 3f, x1, 716,0) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb503, 0x400, 1b, x1, 720,0) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x0, 0xa, 1b, x1, 724,0) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666665, 0x4, 1b, x1, 728,0) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333332, 0x0, 3f, x1, 732,0) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555554, 0x4, 1b, x1, 736,0) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x2, 0x80, 3f, x1, 740,0) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb504, 0x4, 1b, x1, 744,0) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb504, 0x6, 3f, x1, 748,0) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666666, 0x2, 1b, x1, 752,0) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333333, 0x8, 3f, x1, 756,0) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 760,0) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555556, 0x80, 3f, x1, 764,0) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555555, 0x556, 1b, x1, 768,0) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x3, 0x6, 3f, x1, 772,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x1, 776,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb503, 0x40, 3f, x1, 780,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666667, 0xa, 1b, x1, 784,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333334, 0x400, 1b, x1, 788,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 792,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555555, 0x556, 1b, x1, 796,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555556, 0x0, 3f, x1, 800,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x4, 0x40, 3f, x1, 804,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb503, 0x556, 1b, x1, 808,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x0, 0x4, 3f, x1, 812,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666665, 0x80, 3f, x1, 816,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333332, 0x80, 3f, x1, 820,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555554, 0x0, 3f, x1, 824,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x2, 0x10, 3f, x1, 828,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb504, 0x8, 3f, x1, 832,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb504, 0x2, 3f, x1, 836,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666666, 0x20, 3f, x1, 840,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333333, 0x6, 1b, x1, 844,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x5, 0x2, 3f, x1, 848,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555556, 0x8, 3f, x1, 852,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555555, 0x10, 3f, x1, 856,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x3, 0x20, 3f, x1, 860,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x1, 864,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb503, 0x2, 1b, x1, 868,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x1, 872,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333334, 0x556, 1b, x1, 876,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x6, 0x556, 1b, x1, 880,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555555, 0xa, 1b, x1, 884,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555556, 0x6, 3f, x1, 888,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x4, 0x40, 3f, x1, 892,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb503, 0x4, 1b, x1, 896,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x0, 0x400, 1b, x1, 900,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x1, 904,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333332, 0x8, 3f, x1, 908,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555554, 0x0, 1b, x1, 912,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x2, 0x8, 1b, x1, 916,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x1, 920,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb504, 0x10, 3f, x1, 924,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666666, 0x8, 1b, x1, 928,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333333, 0x20, 3f, x1, 932,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x5, 0x4, 3f, x1, 936,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555556, 0x400, 3f, x1, 940,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x1, 944,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 948,0) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb505, 0x2, 1b, x1, 952,0) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb503, 0x0, 3f, x1, 956,0) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666667, 0x2, 1b, x1, 960,0) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333334, 0x0, 3f, x1, 964,0) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x6, 0x4, 3f, x1, 968,0) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555555, 0x0, 1b, x1, 972,0) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555556, 0x0, 3f, x1, 976,0) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x4, 0x0, 3f, x1, 980,0) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb503, 0x8, 3f, x1, 984,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666665, 0x8, 1b, x1, 988,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333332, 0x40, 3f, x1, 992,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555554, 0x556, 1b, x1, 996,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x2, 0x8, 1b, x1, 1000,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb504, 0x20, 3f, x1, 1004,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb504, 0x80, 3f, x1, 1008,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666666, 0x556, 1b, x1, 1012,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1016,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x5, 0x100, 3f, x1, 1020,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555556, 0x20, 3f, x1, 1024,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555555, 0x8, 3f, x1, 1028,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x3, 0x10, 3f, x1, 1032,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb505, 0x80, 3f, x1, 1036,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb503, 0x8, 1b, x1, 1040,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666667, 0x400, 3f, x1, 1044,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333334, 0x2, 3f, x1, 1048,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x6, 0x10, 3f, x1, 1052,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1056,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555556, 0x80, 3f, x1, 1060,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x4, 0x400, 3f, x1, 1064,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb503, 0xa, 1b, x1, 1068,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x0, 0x40, 3f, x1, 1072,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666665, 0x6, 3f, x1, 1076,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333332, 0x0, 3f, x1, 1080,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555554, 0x556, 1b, x1, 1084,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x2, 0x10, 3f, x1, 1088,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb504, 0x20, 3f, x1, 1092,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb504, 0x2, 1b, x1, 1096,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666666, 0x556, 1b, x1, 1100,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333333, 0x4, 1b, x1, 1104,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x5, 0x20, 3f, x1, 1108,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555556, 0x8, 3f, x1, 1112,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555555, 0x2, 3f, x1, 1116,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x3, 0x0, 3f, x1, 1120,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb505, 0x0, 3f, x1, 1124,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb503, 0x80, 3f, x1, 1128,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666667, 0x10, 3f, x1, 1132,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333334, 0x80, 3f, x1, 1136,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x6, 0x4, 1b, x1, 1140,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555555, 0x0, 1b, x1, 1144,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555556, 0x0, 3f, x1, 1148,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x4, 0x556, 1b, x1, 1152,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb503, 0x400, 3f, x1, 1156,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x0, 0x8, 3f, x1, 1160,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666665, 0x2, 1b, x1, 1164,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333332, 0x400, 3f, x1, 1168,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555554, 0x4, 3f, x1, 1172,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x2, 0x8, 3f, x1, 1176,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb504, 0x2, 1b, x1, 1180,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb504, 0x400, 1b, x1, 1184,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666666, 0x8, 1b, x1, 1188,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333333, 0x200, 3f, x1, 1192,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x5, 0x2, 3f, x1, 1196,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1200,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555555, 0x0, 1b, x1, 1204,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x3, 0xa, 1b, x1, 1208,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb505, 0x2, 3f, x1, 1212,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb503, 0x20, 3f, x1, 1216,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666667, 0x400, 3f, x1, 1220,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x1, 1224,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x6, 0x4, 3f, x1, 1228,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555555, 0x0, 3f, x1, 1232,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555556, 0x6, 3f, x1, 1236,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x4, 0x8, 3f, x1, 1240,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x1, 1244,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x0, 0x0, 3f, x1, 1248,0) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x0, 0x0, 3f, x1, 1252,0) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666665, 0x400, 3f, x1, 1256,0) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x1, 1260,0) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555554, 0xa, 1b, x1, 1264,0) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x2, 0x2, 3f, x1, 1268,0) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x1, 1272,0) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb504, 0x0, 1b, x1, 1276,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x1, 1280,0) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x1, 1284,0) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x5, 0x2, 1b, x1, 1288,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1292,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555555, 0x4, 1b, x1, 1296,0) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x3, 0x4, 1b, x1, 1300,0) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb505, 0x20, 3f, x1, 1304,0) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb503, 0x400, 3f, x1, 1308,0) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666667, 0x6, 1b, x1, 1312,0) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333334, 0x100, 3f, x1, 1316,0) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x6, 0x80, 3f, x1, 1320,0) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555555, 0x8, 3f, x1, 1324,0) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555556, 0x10, 3f, x1, 1328,0) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x4, 0x400, 1b, x1, 1332,0) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb503, 0x8, 3f, x1, 1336,0) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x0, 0x8, 1b, x1, 1340,0) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666665, 0x2, 1b, x1, 1344,0) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333332, 0x6, 3f, x1, 1348,0) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555554, 0x100, 3f, x1, 1352,0) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x2, 0x6, 3f, x1, 1356,0) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb504, 0x2, 1b, x1, 1360,0) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb504, 0x6, 1b, x1, 1364,0) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666666, 0x556, 1b, x1, 1368,0) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333333, 0x4, 1b, x1, 1372,0) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x5, 0x20, 3f, x1, 1376,0) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1380,0) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555555, 0x4, 3f, x1, 1384,0) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x3, 0x8, 1b, x1, 1388,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb505, 0x80, 3f, x1, 1392,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb503, 0x0, 3f, x1, 1396,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666667, 0x40, 3f, x1, 1400,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333334, 0x4, 1b, x1, 1404,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x6, 0x80, 3f, x1, 1408,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555555, 0x20, 3f, x1, 1412,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555556, 0x400, 1b, x1, 1416,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x4, 0x6, 1b, x1, 1420,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb503, 0x400, 3f, x1, 1424,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x0, 0x4, 3f, x1, 1428,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666665, 0x2, 1b, x1, 1432,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333332, 0x2, 1b, x1, 1436,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555554, 0x200, 3f, x1, 1440,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x2, 0x400, 3f, x1, 1444,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb504, 0x556, 1b, x1, 1448,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb504, 0x0, 1b, x1, 1452,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666666, 0x6, 1b, x1, 1456,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333333, 0x2, 3f, x1, 1460,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x5, 0x4, 1b, x1, 1464,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555556, 0x6, 1b, x1, 1468,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555555, 0x4, 3f, x1, 1472,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x3, 0x40, 3f, x1, 1476,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb505, 0x6, 1b, x1, 1480,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb503, 0x10, 3f, x1, 1484,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666667, 0x8, 3f, x1, 1488,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x1, 1492,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x6, 0x556, 1b, x1, 1496,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555555, 0xa, 1b, x1, 1500,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x1, 1504,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x4, 0x0, 1b, x1, 1508,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb503, 0x10, 3f, x1, 1512,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1516,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666665, 0x20, 3f, x1, 1520,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x1, 1524,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555554, 0x400, 3f, x1, 1528,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x2, 0x4, 3f, x1, 1532,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x1, 1536,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb504, 0x6, 3f, x1, 1540,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x1, 1544,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x1, 1548,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x5, 0x200, 3f, x1, 1552,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555556, 0x100, 3f, x1, 1556,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555555, 0xa, 1b, x1, 1560,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x3, 0x8, 1b, x1, 1564,0) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb505, 0x200, 3f, x1, 1568,0) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb503, 0x4, 1b, x1, 1572,0) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666667, 0x40, 3f, x1, 1576,0) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333334, 0x2, 3f, x1, 1580,0) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x6, 0x0, 3f, x1, 1584,0) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555555, 0x4, 1b, x1, 1588,0) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555556, 0x8, 3f, x1, 1592,0) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x4, 0x4, 1b, x1, 1596,0) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb503, 0x0, 1b, x1, 1600,0) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x0, 0x200, 3f, x1, 1604,0) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666665, 0x6, 3f, x1, 1608,0) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333332, 0x4, 3f, x1, 1612,0) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555554, 0x4, 1b, x1, 1616,0) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x2, 0x100, 3f, x1, 1620,0) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb504, 0x400, 1b, x1, 1624,0) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb504, 0x10, 3f, x1, 1628,0) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666666, 0x6, 3f, x1, 1632,0) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333333, 0x6, 3f, x1, 1636,0) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x5, 0x4, 1b, x1, 1640,0) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555556, 0x8, 1b, x1, 1644,0) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555555, 0x2, 1b, x1, 1648,0) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x3, 0x400, 3f, x1, 1652,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666665, 0x200, 3f, x1, 1656,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333332, 0x6, 3f, x1, 1660,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x1, 1664,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x2, 0x6, 1b, x1, 1668,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb504, 0x8, 1b, x1, 1672,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb504, 0xa, 1b, x1, 1676,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666666, 0x100, 3f, x1, 1680,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333333, 0x2, 1b, x1, 1684,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x5, 0x20, 3f, x1, 1688,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555556, 0xa, 1b, x1, 1692,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555555, 0xa, 1b, x1, 1696,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x3, 0x200, 3f, x1, 1700,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb505, 0xa, 1b, x1, 1704,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb503, 0x400, 3f, x1, 1708,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x1, 1712,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333334, 0x100, 3f, x1, 1716,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x6, 0x0, 1b, x1, 1720,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555555, 0x40, 3f, x1, 1724,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x1, 1728,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x4, 0x2, 3f, x1, 1732,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb503, 0x20, 3f, x1, 1736,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x0, 0x4, 1b, x1, 1740,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666665, 0x20, 3f, x1, 1744,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333332, 0x20, 3f, x1, 1748,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x1, 1752,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x2, 0x400, 1b, x1, 1756,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x1, 1760,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb504, 0x6, 1b, x1, 1764,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x1, 1768,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333333, 0x400, 3f, x1, 1772,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x5, 0x200, 3f, x1, 1776,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555556, 0x0, 3f, x1, 1780,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555555, 0x4, 3f, x1, 1784,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x3, 0x400, 1b, x1, 1788,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb505, 0x8, 1b, x1, 1792,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb503, 0x8, 3f, x1, 1796,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666667, 0x400, 1b, x1, 1800,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1804,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x6, 0x10, 3f, x1, 1808,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555555, 0x0, 3f, x1, 1812,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1816,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1820,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb503, 0x4, 3f, x1, 1824,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x0, 0x200, 3f, x1, 1828,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666665, 0x4, 1b, x1, 1832,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333332, 0x6, 1b, x1, 1836,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555554, 0x4, 3f, x1, 1840,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x2, 0x0, 1b, x1, 1844,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb504, 0x80, 3f, x1, 1848,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb504, 0x10, 3f, x1, 1852,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666666, 0x80, 3f, x1, 1856,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333333, 0x10, 3f, x1, 1860,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x5, 0xa, 1b, x1, 1864,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555556, 0x2, 1b, x1, 1868,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x1, 1872,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x3, 0x10, 3f, x1, 1876,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb505, 0x100, 3f, x1, 1880,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb503, 0x400, 1b, x1, 1884,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666667, 0x4, 1b, x1, 1888,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333334, 0x6, 1b, x1, 1892,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x6, 0x2, 3f, x1, 1896,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555555, 0x400, 1b, x1, 1900,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555556, 0x10, 3f, x1, 1904,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x4, 0x4, 3f, x1, 1908,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb503, 0x10, 3f, x1, 1912,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x0, 0x40, 3f, x1, 1916,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666665, 0x2, 1b, x1, 1920,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333332, 0x40, 3f, x1, 1924,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555554, 0x0, 3f, x1, 1928,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x2, 0x80, 3f, x1, 1932,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb504, 0x4, 1b, x1, 1936,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb504, 0x2, 1b, x1, 1940,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666666, 0x0, 1b, x1, 1944,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333333, 0x200, 3f, x1, 1948,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x5, 0x556, 1b, x1, 1952,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555556, 0x200, 3f, x1, 1956,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555555, 0xa, 1b, x1, 1960,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x3, 0x6, 1b, x1, 1964,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb505, 0x10, 3f, x1, 1968,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb503, 0x10, 3f, x1, 1972,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666667, 0x4, 3f, x1, 1976,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333334, 0x556, 1b, x1, 1980,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x6, 0x80, 3f, x1, 1984,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555555, 0x400, 3f, x1, 1988,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555556, 0x4, 1b, x1, 1992,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x4, 0x40, 3f, x1, 1996,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb503, 0x20, 3f, x1, 2000,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2004,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666665, 0x4, 1b, x1, 2008,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333332, 0x80, 3f, x1, 2012,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555554, 0x400, 1b, x1, 2016,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x2, 0xa, 1b, x1, 2020,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb504, 0x200, 3f, x1, 2024,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb504, 0x80, 3f, x1, 2028,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x1, 2032,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333333, 0x0, 1b, x1, 2036,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x5, 0x20, 3f, x1, 2040,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555556, 0x10, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555555, 0x20, 3f, x1, 0,0) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x3, 0x4, 3f, x1, 4,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb505, 0x6, 3f, x1, 8,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb503, 0x6, 1b, x1, 12,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666667, 0x400, 1b, x1, 16,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333334, 0x400, 3f, x1, 20,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x6, 0x2, 1b, x1, 24,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555555, 0x20, 3f, x1, 28,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555556, 0x400, 1b, x1, 32,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x4, 0x6, 1b, x1, 36,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 40,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x0, 0x100, 3f, x1, 44,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666665, 0x8, 1b, x1, 48,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333332, 0x6, 3f, x1, 52,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555554, 0x200, 3f, x1, 56,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x2, 0x20, 3f, x1, 60,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb504, 0x400, 1b, x1, 64,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb504, 0x80, 3f, x1, 68,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666666, 0x8, 1b, x1, 72,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333333, 0x8, 3f, x1, 76,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x5, 0x100, 3f, x1, 80,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555556, 0x100, 3f, x1, 84,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555555, 0xa, 1b, x1, 88,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 92,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb505, 0x100, 3f, x1, 96,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb503, 0x400, 3f, x1, 100,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666667, 0x200, 3f, x1, 104,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 108,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x6, 0x0, 3f, x1, 112,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555555, 0x80, 3f, x1, 116,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555556, 0x6, 3f, x1, 120,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x4, 0x400, 1b, x1, 124,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb503, 0x8, 3f, x1, 128,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x0, 0x6, 1b, x1, 132,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x1, 136,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333332, 0x8, 3f, x1, 140,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555554, 0x400, 3f, x1, 144,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2, 0x0, 1b, x1, 148,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb504, 0x6, 1b, x1, 152,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb504, 0x556, 1b, x1, 156,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666666, 0x6, 1b, x1, 160,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x1, 164,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x5, 0xa, 1b, x1, 168,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 172,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555555, 0x40, 3f, x1, 176,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x3, 0x8, 1b, x1, 180,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb505, 0x2, 1b, x1, 184,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb503, 0x0, 1b, x1, 188,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666667, 0x0, 1b, x1, 192,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333334, 0x4, 1b, x1, 196,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 200,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555555, 0x40, 3f, x1, 204,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x1, 208,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x4, 0x100, 3f, x1, 212,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb503, 0x4, 3f, x1, 216,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, -0x10000001, 0x8, 1b, x1, 220,0) - -inst_584: -// rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x8000001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1000001, -0x8000001, 0x6, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jal-01.S deleted file mode 100644 index b3870318b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jal-01.S +++ /dev/null @@ -1,155 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jal instruction of the RISC-V E extension for the jal covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jal) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rd==x8, imm_val < 0, -// opcode: jal; dest:x8; immval:0x4; align:0 -TEST_JAL_OP(x2, x8, 0x4, 1b, x7, 0,0) - -inst_1: -// rd==x14, imm_val == ((2**(18))), imm_val > 0 -// opcode: jal; dest:x14; immval:0x40000; align:0 -TEST_JAL_OP(x2, x14, 0x40000, 3f, x7, 4,0) - -inst_2: -// rd==x9, imm_val == (-(2**(18))), -// opcode: jal; dest:x9; immval:0x40000; align:0 -TEST_JAL_OP(x2, x9, 0x40000, 1b, x7, 8,0) - -inst_3: -// rd==x12, -// opcode: jal; dest:x12; immval:0x80000; align:0 -TEST_JAL_OP(x2, x12, 0x80000, 1b, x7, 12,0) - -inst_4: -// rd==x0, -// opcode: jal; dest:x0; immval:0x80000; align:0 -TEST_JAL_OP(x2, x0, 0x80000, 1b, x7, 16,0) - -inst_5: -// rd==x3, -// opcode: jal; dest:x3; immval:0x80000; align:0 -TEST_JAL_OP(x2, x3, 0x80000, 1b, x7, 20,0) - -inst_6: -// rd==x4, -// opcode: jal; dest:x4; immval:0x80000; align:0 -TEST_JAL_OP(x2, x4, 0x80000, 1b, x7, 24,0) - -inst_7: -// rd==x5, -// opcode: jal; dest:x5; immval:0x80000; align:0 -TEST_JAL_OP(x2, x5, 0x80000, 1b, x7, 28,0) - -inst_8: -// rd==x13, -// opcode: jal; dest:x13; immval:0x80000; align:0 -TEST_JAL_OP(x2, x13, 0x80000, 1b, x7, 32,0) - -inst_9: -// rd==x6, -// opcode: jal; dest:x6; immval:0x80000; align:0 -TEST_JAL_OP(x2, x6, 0x80000, 1b, x7, 36,0) - -inst_10: -// rd==x15, -// opcode: jal; dest:x15; immval:0x80000; align:0 -TEST_JAL_OP(x2, x15, 0x80000, 1b, x7, 40,0) - -inst_11: -// rd==x1, -// opcode: jal; dest:x1; immval:0x80000; align:0 -TEST_JAL_OP(x2, x1, 0x80000, 1b, x7, 44,0) - -inst_12: -// rd==x2, -// opcode: jal; dest:x2; immval:0x80000; align:0 -TEST_JAL_OP(x3, x2, 0x80000, 1b, x7, 48,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x7, -// opcode: jal; dest:x7; immval:0x80000; align:0 -TEST_JAL_OP(x3, x7, 0x80000, 1b, x1, 0,0) - -inst_14: -// rd==x10, -// opcode: jal; dest:x10; immval:0x80000; align:0 -TEST_JAL_OP(x3, x10, 0x80000, 1b, x1, 4,0) - -inst_15: -// rd==x11, -// opcode: jal; dest:x11; immval:0x80000; align:0 -TEST_JAL_OP(x3, x11, 0x80000, 1b, x1, 8,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 3*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jalr-01.S deleted file mode 100644 index 2b58baf13..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jalr-01.S +++ /dev/null @@ -1,215 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jalr instruction of the RISC-V E extension for the jalr covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jalr) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x12, imm_val < 0, imm_val == -129 -// opcode: jalr; op1:x8; dest:x12; immval:-0x81; align:0 -TEST_JALR_OP(x6, x12, x8, -0x81, x1, 0,0) - -inst_1: -// rs1 == rd, rs1==x5, rd==x5, imm_val == 2047, imm_val > 0 -// opcode: jalr; op1:x5; dest:x5; immval:0x7ff; align:0 -TEST_JALR_OP(x6, x5, x5, 0x7ff, x1, 4,0) - -inst_2: -// rs1==x3, rd==x15, imm_val == -1025, -// opcode: jalr; op1:x3; dest:x15; immval:-0x401; align:0 -TEST_JALR_OP(x6, x15, x3, -0x401, x1, 8,0) - -inst_3: -// rs1==x2, rd==x3, imm_val == -513, -// opcode: jalr; op1:x2; dest:x3; immval:-0x201; align:0 -TEST_JALR_OP(x6, x3, x2, -0x201, x1, 12,0) - -inst_4: -// rs1==x4, rd==x9, imm_val == -257, -// opcode: jalr; op1:x4; dest:x9; immval:-0x101; align:0 -TEST_JALR_OP(x6, x9, x4, -0x101, x1, 16,0) - -inst_5: -// rs1==x9, rd==x7, imm_val == -65, -// opcode: jalr; op1:x9; dest:x7; immval:-0x41; align:0 -TEST_JALR_OP(x6, x7, x9, -0x41, x1, 20,0) - -inst_6: -// rs1==x10, rd==x13, imm_val == -33, -// opcode: jalr; op1:x10; dest:x13; immval:-0x21; align:0 -TEST_JALR_OP(x6, x13, x10, -0x21, x1, 24,0) - -inst_7: -// rs1==x14, rd==x0, imm_val == -17, -// opcode: jalr; op1:x14; dest:x0; immval:-0x11; align:0 -TEST_JALR_OP(x5, x0, x14, -0x11, x1, 28,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rd==x4, imm_val == -9, -// opcode: jalr; op1:x15; dest:x4; immval:-0x9; align:0 -TEST_JALR_OP(x5, x4, x15, -0x9, x3, 0,0) - -inst_9: -// rs1==x7, rd==x14, imm_val == -5, -// opcode: jalr; op1:x7; dest:x14; immval:-0x5; align:0 -TEST_JALR_OP(x5, x14, x7, -0x5, x3, 4,0) - -inst_10: -// rs1==x11, rd==x2, imm_val == -3, -// opcode: jalr; op1:x11; dest:x2; immval:-0x3; align:0 -TEST_JALR_OP(x5, x2, x11, -0x3, x3, 8,0) - -inst_11: -// rs1==x6, rd==x11, imm_val == -2, -// opcode: jalr; op1:x6; dest:x11; immval:-0x2; align:0 -TEST_JALR_OP(x5, x11, x6, -0x2, x3, 12,0) - -inst_12: -// rs1==x12, rd==x8, imm_val == -2048, -// opcode: jalr; op1:x12; dest:x8; immval:-0x800; align:0 -TEST_JALR_OP(x5, x8, x12, -0x800, x3, 16,0) - -inst_13: -// rs1==x13, rd==x1, imm_val == 1024, -// opcode: jalr; op1:x13; dest:x1; immval:0x400; align:0 -TEST_JALR_OP(x5, x1, x13, 0x400, x3, 20,0) - -inst_14: -// rs1==x1, rd==x10, imm_val == 512, -// opcode: jalr; op1:x1; dest:x10; immval:0x200; align:0 -TEST_JALR_OP(x2, x10, x1, 0x200, x3, 24,0) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x6, imm_val == 256, -// opcode: jalr; op1:x13; dest:x6; immval:0x100; align:0 -TEST_JALR_OP(x2, x6, x13, 0x100, x1, 0,0) - -inst_16: -// imm_val == 128, -// opcode: jalr; op1:x10; dest:x11; immval:0x80; align:0 -TEST_JALR_OP(x2, x11, x10, 0x80, x1, 4,0) - -inst_17: -// imm_val == 64, -// opcode: jalr; op1:x10; dest:x11; immval:0x40; align:0 -TEST_JALR_OP(x2, x11, x10, 0x40, x1, 8,0) - -inst_18: -// imm_val == 32, -// opcode: jalr; op1:x10; dest:x11; immval:0x20; align:0 -TEST_JALR_OP(x2, x11, x10, 0x20, x1, 12,0) - -inst_19: -// imm_val == 16, -// opcode: jalr; op1:x10; dest:x11; immval:0x10; align:0 -TEST_JALR_OP(x2, x11, x10, 0x10, x1, 16,0) - -inst_20: -// imm_val == 8, -// opcode: jalr; op1:x10; dest:x11; immval:0x8; align:0 -TEST_JALR_OP(x2, x11, x10, 0x8, x1, 20,0) - -inst_21: -// imm_val == 4, -// opcode: jalr; op1:x10; dest:x11; immval:0x4; align:0 -TEST_JALR_OP(x2, x11, x10, 0x4, x1, 24,0) - -inst_22: -// imm_val == 1, -// opcode: jalr; op1:x10; dest:x11; immval:0x1; align:0 -TEST_JALR_OP(x2, x11, x10, 0x1, x1, 28,0) - -inst_23: -// imm_val == -1366, -// opcode: jalr; op1:x10; dest:x11; immval:-0x556; align:0 -TEST_JALR_OP(x2, x11, x10, -0x556, x1, 32,0) - -inst_24: -// imm_val == 1365, -// opcode: jalr; op1:x10; dest:x11; immval:0x555; align:0 -TEST_JALR_OP(x2, x11, x10, 0x555, x1, 36,0) - -inst_25: -// imm_val == 2, -// opcode: jalr; op1:x10; dest:x11; immval:0x2; align:0 -TEST_JALR_OP(x2, x11, x10, 0x2, x1, 40,0) - -inst_26: -// imm_val == -17, -// opcode: jalr; op1:x10; dest:x11; immval:-0x11; align:0 -TEST_JALR_OP(x2, x11, x10, -0x11, x1, 44,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 12*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lb-align-01.S deleted file mode 100644 index 2b50abf21..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lb-align-01.S +++ /dev/null @@ -1,165 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lb instruction of the RISC-V E extension for the lb-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lb-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lb op1:x8; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x1,x4,0,x8,x9,-0x4,0,lb,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lb op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x1,x4,0,x15,x15,0x5,4,lb,0) - -inst_2: -// rs1==x2, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lb op1:x2; dest:x8; immval:0x2; align:0 -TEST_LOAD(x1,x4,0,x2,x8,0x2,8,lb,0) - -inst_3: -// rs1==x11, rd==x7, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lb op1:x11; dest:x7; immval:-0x101; align:0 -TEST_LOAD(x1,x4,0,x11,x7,-0x101,12,lb,0) - -inst_4: -// rs1==x6, rd==x5, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lb op1:x6; dest:x5; immval:0x4; align:1 -TEST_LOAD(x1,x4,0,x6,x5,0x4,16,lb,1) - -inst_5: -// rs1==x12, rd==x10, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lb op1:x12; dest:x10; immval:-0x3; align:1 -TEST_LOAD(x1,x4,0,x12,x10,-0x3,20,lb,1) - -inst_6: -// rs1==x10, rd==x12, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lb op1:x10; dest:x12; immval:-0x2; align:1 -TEST_LOAD(x1,x4,0,x10,x12,-0x2,24,lb,1) - -inst_7: -// rs1==x9, rd==x6, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lb op1:x9; dest:x6; immval:-0x401; align:1 -TEST_LOAD(x1,x4,0,x9,x6,-0x401,28,lb,1) - -inst_8: -// rs1==x13, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lb op1:x13; dest:x3; immval:0x40; align:2 -TEST_LOAD(x1,x4,0,x13,x3,0x40,32,lb,2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_9: -// rs1==x4, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lb op1:x4; dest:x1; immval:0x5; align:2 -TEST_LOAD(x6,x8,0,x4,x1,0x5,0,lb,2) - -inst_10: -// rs1==x1, rd==x11, imm_val == 0, -// opcode:lb op1:x1; dest:x11; immval:0x0; align:0 -TEST_LOAD(x6,x8,0,x1,x11,0x0,4,lb,0) - -inst_11: -// rs1==x3, rd==x14, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lb op1:x3; dest:x14; immval:0x2; align:2 -TEST_LOAD(x6,x8,0,x3,x14,0x2,8,lb,2) - -inst_12: -// rs1==x7, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lb op1:x7; dest:x4; immval:-0x1; align:2 -TEST_LOAD(x6,x8,0,x7,x4,-0x1,12,lb,2) - -inst_13: -// rs1==x14, rd==x0, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x14; dest:x0; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x14,x0,-0x8,16,lb,3) - -inst_14: -// rs1==x5, rd==x13, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lb op1:x5; dest:x13; immval:-0x3; align:3 -TEST_LOAD(x6,x8,0,x5,x13,-0x3,20,lb,3) - -inst_15: -// rd==x2, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lb op1:x5; dest:x2; immval:-0x556; align:3 -TEST_LOAD(x6,x8,0,x5,x2,-0x556,24,lb,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lb op1:x10; dest:x11; immval:-0x401; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x401,28,lb,3) - -inst_17: -// ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x10; dest:x11; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x8,32,lb,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 9*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lbu-align-01.S deleted file mode 100644 index 0e73a6815..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lbu-align-01.S +++ /dev/null @@ -1,165 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lbu instruction of the RISC-V E extension for the lbu-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lbu-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode:lbu op1:x14; dest:x0; immval:0x100; align:0 -TEST_LOAD(x1,x8,0,x14,x0,0x100,0,lbu,0) - -inst_1: -// rs1 == rd, rs1==x13, rd==x13, ea_align == 0 and (imm_val % 4) == 1, imm_val < 0 -// opcode:lbu op1:x13; dest:x13; immval:-0x7; align:0 -TEST_LOAD(x1,x8,0,x13,x13,-0x7,4,lbu,0) - -inst_2: -// rs1==x2, rd==x3, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lbu op1:x2; dest:x3; immval:0x6; align:0 -TEST_LOAD(x1,x8,0,x2,x3,0x6,8,lbu,0) - -inst_3: -// rs1==x5, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lbu op1:x5; dest:x11; immval:-0x9; align:0 -TEST_LOAD(x1,x8,0,x5,x11,-0x9,12,lbu,0) - -inst_4: -// rs1==x4, rd==x15, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lbu op1:x4; dest:x15; immval:-0x4; align:1 -TEST_LOAD(x1,x8,0,x4,x15,-0x4,16,lbu,1) - -inst_5: -// rs1==x6, rd==x9, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lbu op1:x6; dest:x9; immval:0x1; align:1 -TEST_LOAD(x1,x8,0,x6,x9,0x1,20,lbu,1) - -inst_6: -// rs1==x9, rd==x7, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lbu op1:x9; dest:x7; immval:0x6; align:1 -TEST_LOAD(x1,x8,0,x9,x7,0x6,24,lbu,1) - -inst_7: -// rs1==x10, rd==x8, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x8; immval:-0x201; align:1 -TEST_LOAD(x1,x13,0,x10,x8,-0x201,28,lbu,1) -RVTEST_SIGBASE( x9,signature_x9_0) - -inst_8: -// rs1==x11, rd==x1, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lbu op1:x11; dest:x1; immval:0x4; align:2 -TEST_LOAD(x9,x13,0,x11,x1,0x4,0,lbu,2) - -inst_9: -// rs1==x3, rd==x4, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lbu op1:x3; dest:x4; immval:-0x7; align:2 -TEST_LOAD(x9,x13,0,x3,x4,-0x7,4,lbu,2) - -inst_10: -// rs1==x1, rd==x6, imm_val == 0, -// opcode:lbu op1:x1; dest:x6; immval:0x0; align:0 -TEST_LOAD(x9,x13,0,x1,x6,0x0,8,lbu,0) - -inst_11: -// rs1==x8, rd==x10, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lbu op1:x8; dest:x10; immval:-0x2; align:2 -TEST_LOAD(x9,x13,0,x8,x10,-0x2,12,lbu,2) - -inst_12: -// rs1==x12, rd==x2, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lbu op1:x12; dest:x2; immval:-0x401; align:2 -TEST_LOAD(x9,x13,0,x12,x2,-0x401,16,lbu,2) - -inst_13: -// rs1==x7, rd==x5, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lbu op1:x7; dest:x5; immval:0x4; align:3 -TEST_LOAD(x9,x13,0,x7,x5,0x4,20,lbu,3) - -inst_14: -// rs1==x15, rd==x14, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lbu op1:x15; dest:x14; immval:0x9; align:3 -TEST_LOAD(x9,x2,0,x15,x14,0x9,24,lbu,3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x12, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lbu op1:x14; dest:x12; immval:-0x2; align:3 -TEST_LOAD(x1,x2,0,x14,x12,-0x2,0,lbu,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x11; immval:-0x5; align:3 -TEST_LOAD(x1,x2,0,x10,x11,-0x5,4,lbu,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x9_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lh-align-01.S deleted file mode 100644 index 516273335..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lh-align-01.S +++ /dev/null @@ -1,155 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lh instruction of the RISC-V E extension for the lh-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lh-align) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x2, ea_align == 0 and (imm_val % 4) == 0, imm_val == 0 -// opcode:lh op1:x3; dest:x2; immval:0x0; align:0 -TEST_LOAD(x4,x5,0,x3,x2,0x0,0,lh,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lh op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x4,x5,0,x15,x15,0x5,4,lh,0) - -inst_2: -// rs1==x12, rd==x14, ea_align == 0 and (imm_val % 4) == 2, imm_val < 0 -// opcode:lh op1:x12; dest:x14; immval:-0x556; align:0 -TEST_LOAD(x4,x5,0,x12,x14,-0x556,8,lh,0) - -inst_3: -// rs1==x14, rd==x8, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lh op1:x14; dest:x8; immval:0x3ff; align:0 -TEST_LOAD(x4,x5,0,x14,x8,0x3ff,12,lh,0) - -inst_4: -// rs1==x10, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lh op1:x10; dest:x3; immval:-0x8; align:2 -TEST_LOAD(x4,x5,0,x10,x3,-0x8,16,lh,2) - -inst_5: -// rs1==x6, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lh op1:x6; dest:x1; immval:0x555; align:2 -TEST_LOAD(x4,x5,0,x6,x1,0x555,20,lh,2) - -inst_6: -// rs1==x13, rd==x6, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lh op1:x13; dest:x6; immval:-0x6; align:2 -TEST_LOAD(x4,x5,0,x13,x6,-0x6,24,lh,2) - -inst_7: -// rs1==x1, rd==x9, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lh op1:x1; dest:x9; immval:0x7ff; align:2 -TEST_LOAD(x4,x5,0,x1,x9,0x7ff,28,lh,2) - -inst_8: -// rs1==x9, rd==x0, -// opcode:lh op1:x9; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x9,x0,-0x800,32,lh,0) - -inst_9: -// rs1==x5, rd==x12, -// opcode:lh op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x5,x12,-0x800,36,lh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x8, rd==x11, -// opcode:lh op1:x8; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x8,x11,-0x800,0,lh,0) - -inst_11: -// rs1==x11, rd==x4, -// opcode:lh op1:x11; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x11,x4,-0x800,4,lh,0) - -inst_12: -// rs1==x2, rd==x7, -// opcode:lh op1:x2; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x7,-0x800,8,lh,0) - -inst_13: -// rs1==x4, rd==x10, -// opcode:lh op1:x4; dest:x10; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x4,x10,-0x800,12,lh,0) - -inst_14: -// rs1==x7, rd==x5, -// opcode:lh op1:x7; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x7,x5,-0x800,16,lh,0) - -inst_15: -// rd==x13, -// opcode:lh op1:x12; dest:x13; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x12,x13,-0x800,20,lh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 6*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lhu-align-01.S deleted file mode 100644 index 8d4c28e77..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lhu-align-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lhu instruction of the RISC-V E extension for the lhu-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lhu-align) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rd, rs1==x15, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lhu op1:x15; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x7,x1,0,x15,x9,-0x4,0,lhu,0) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lhu op1:x3; dest:x3; immval:0x5; align:0 -TEST_LOAD(x7,x1,0,x3,x3,0x5,4,lhu,0) - -inst_2: -// rs1==x13, rd==x2, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lhu op1:x13; dest:x2; immval:-0x556; align:0 -TEST_LOAD(x7,x1,0,x13,x2,-0x556,8,lhu,0) - -inst_3: -// rs1==x4, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lhu op1:x4; dest:x11; immval:-0x5; align:0 -TEST_LOAD(x7,x1,0,x4,x11,-0x5,12,lhu,0) - -inst_4: -// rs1==x8, rd==x5, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lhu op1:x8; dest:x5; immval:0x80; align:2 -TEST_LOAD(x7,x1,0,x8,x5,0x80,16,lhu,2) - -inst_5: -// rs1==x6, rd==x10, imm_val == 0, -// opcode:lhu op1:x6; dest:x10; immval:0x0; align:0 -TEST_LOAD(x7,x1,0,x6,x10,0x0,20,lhu,0) - -inst_6: -// rs1==x10, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lhu op1:x10; dest:x1; immval:0x9; align:2 -TEST_LOAD(x7,x2,0,x10,x1,0x9,24,lhu,2) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x1, rd==x13, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lhu op1:x1; dest:x13; immval:-0xa; align:2 -TEST_LOAD(x3,x2,0,x1,x13,-0xa,0,lhu,2) - -inst_8: -// rs1==x14, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lhu op1:x14; dest:x4; immval:-0x11; align:2 -TEST_LOAD(x3,x2,0,x14,x4,-0x11,4,lhu,2) - -inst_9: -// rs1==x11, rd==x8, -// opcode:lhu op1:x11; dest:x8; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x11,x8,-0x800,8,lhu,0) - -inst_10: -// rs1==x5, rd==x12, -// opcode:lhu op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x5,x12,-0x800,12,lhu,0) - -inst_11: -// rs1==x9, rd==x7, -// opcode:lhu op1:x9; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x9,x7,-0x800,16,lhu,0) - -inst_12: -// rs1==x12, rd==x15, -// opcode:lhu op1:x12; dest:x15; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x12,x15,-0x800,20,lhu,0) - -inst_13: -// rs1==x7, rd==x0, -// opcode:lhu op1:x7; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x7,x0,-0x800,24,lhu,0) - -inst_14: -// rs1==x2, rd==x14, -// opcode:lhu op1:x2; dest:x14; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x2,x14,-0x800,28,lhu,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_15: -// rd==x6, -// opcode:lhu op1:x14; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x4,0,x14,x6,-0x800,0,lhu,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 1*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lui-01.S deleted file mode 100644 index 81fbc741d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lui-01.S +++ /dev/null @@ -1,390 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lui instruction of the RISC-V E extension for the lui covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lui) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rd==x3, imm_val == ((2**20)-1), imm_val > 0 -// opcode: lui ; dest:x3; immval:0xfffff -TEST_CASE(x5, x3, -0x1000, x4, 0, lui x3,0xfffff) - -inst_1: -// rd==x2, imm_val == 524287, -// opcode: lui ; dest:x2; immval:0x7ffff -TEST_CASE(x5, x2, 0x7ffff000, x4, 4, lui x2,0x7ffff) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: lui ; dest:x1; immval:0xbffff -TEST_CASE(x5, x1, -0x40001000, x4, 8, lui x1,0xbffff) - -inst_3: -// rd==x8, imm_val == 917503, -// opcode: lui ; dest:x8; immval:0xdffff -TEST_CASE(x5, x8, -0x20001000, x4, 12, lui x8,0xdffff) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: lui ; dest:x14; immval:0xeffff -TEST_CASE(x5, x14, -0x10001000, x4, 16, lui x14,0xeffff) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: lui ; dest:x7; immval:0xf7fff -TEST_CASE(x5, x7, -0x8001000, x4, 20, lui x7,0xf7fff) - -inst_6: -// rd==x13, imm_val == 1032191, -// opcode: lui ; dest:x13; immval:0xfbfff -TEST_CASE(x5, x13, -0x4001000, x4, 24, lui x13,0xfbfff) - -inst_7: -// rd==x12, imm_val == 1040383, -// opcode: lui ; dest:x12; immval:0xfdfff -TEST_CASE(x5, x12, -0x2001000, x4, 28, lui x12,0xfdfff) - -inst_8: -// rd==x15, imm_val == 1044479, -// opcode: lui ; dest:x15; immval:0xfefff -TEST_CASE(x5, x15, -0x1001000, x4, 32, lui x15,0xfefff) - -inst_9: -// rd==x10, imm_val == 1046527, -// opcode: lui ; dest:x10; immval:0xff7ff -TEST_CASE(x5, x10, -0x801000, x4, 36, lui x10,0xff7ff) - -inst_10: -// rd==x6, imm_val == 1047551, -// opcode: lui ; dest:x6; immval:0xffbff -TEST_CASE(x5, x6, -0x401000, x4, 40, lui x6,0xffbff) - -inst_11: -// rd==x9, imm_val == 1048063, -// opcode: lui ; dest:x9; immval:0xffdff -TEST_CASE(x2, x9, -0x201000, x4, 44, lui x9,0xffdff) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_12: -// rd==x4, imm_val == 1048319, -// opcode: lui ; dest:x4; immval:0xffeff -TEST_CASE(x2, x4, -0x101000, x1, 0, lui x4,0xffeff) - -inst_13: -// rd==x0, imm_val == 1048447, -// opcode: lui ; dest:x0; immval:0xfff7f -TEST_CASE(x2, x0, 0, x1, 4, lui x0,0xfff7f) - -inst_14: -// rd==x5, imm_val == 1048511, -// opcode: lui ; dest:x5; immval:0xfffbf -TEST_CASE(x2, x5, -0x41000, x1, 8, lui x5,0xfffbf) - -inst_15: -// rd==x11, imm_val == 1048543, -// opcode: lui ; dest:x11; immval:0xfffdf -TEST_CASE(x2, x11, -0x21000, x1, 12, lui x11,0xfffdf) - -inst_16: -// imm_val == 1048559, -// opcode: lui ; dest:x10; immval:0xfffef -TEST_CASE(x2, x10, -0x11000, x1, 16, lui x10,0xfffef) - -inst_17: -// imm_val == 1048567, -// opcode: lui ; dest:x10; immval:0xffff7 -TEST_CASE(x2, x10, -0x9000, x1, 20, lui x10,0xffff7) - -inst_18: -// imm_val == 1048571, -// opcode: lui ; dest:x10; immval:0xffffb -TEST_CASE(x2, x10, -0x5000, x1, 24, lui x10,0xffffb) - -inst_19: -// imm_val == 1048573, -// opcode: lui ; dest:x10; immval:0xffffd -TEST_CASE(x2, x10, -0x3000, x1, 28, lui x10,0xffffd) - -inst_20: -// imm_val == 1048574, -// opcode: lui ; dest:x10; immval:0xffffe -TEST_CASE(x2, x10, -0x2000, x1, 32, lui x10,0xffffe) - -inst_21: -// imm_val == 524288, -// opcode: lui ; dest:x10; immval:0x80000 -TEST_CASE(x2, x10, -0x80000000, x1, 36, lui x10,0x80000) - -inst_22: -// imm_val == 262144, -// opcode: lui ; dest:x10; immval:0x40000 -TEST_CASE(x2, x10, 0x40000000, x1, 40, lui x10,0x40000) - -inst_23: -// imm_val == 131072, -// opcode: lui ; dest:x10; immval:0x20000 -TEST_CASE(x2, x10, 0x20000000, x1, 44, lui x10,0x20000) - -inst_24: -// imm_val == 65536, -// opcode: lui ; dest:x10; immval:0x10000 -TEST_CASE(x2, x10, 0x10000000, x1, 48, lui x10,0x10000) - -inst_25: -// imm_val == 32768, -// opcode: lui ; dest:x10; immval:0x8000 -TEST_CASE(x2, x10, 0x8000000, x1, 52, lui x10,0x8000) - -inst_26: -// imm_val == 16384, -// opcode: lui ; dest:x10; immval:0x4000 -TEST_CASE(x2, x10, 0x4000000, x1, 56, lui x10,0x4000) - -inst_27: -// imm_val == 8192, -// opcode: lui ; dest:x10; immval:0x2000 -TEST_CASE(x2, x10, 0x2000000, x1, 60, lui x10,0x2000) - -inst_28: -// imm_val == 4096, -// opcode: lui ; dest:x10; immval:0x1000 -TEST_CASE(x2, x10, 0x1000000, x1, 64, lui x10,0x1000) - -inst_29: -// imm_val == 2048, -// opcode: lui ; dest:x10; immval:0x800 -TEST_CASE(x2, x10, 0x800000, x1, 68, lui x10,0x800) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: lui ; dest:x10; immval:0x400 -TEST_CASE(x2, x10, 0x400000, x1, 72, lui x10,0x400) - -inst_31: -// imm_val == 512, -// opcode: lui ; dest:x10; immval:0x200 -TEST_CASE(x2, x10, 0x200000, x1, 76, lui x10,0x200) - -inst_32: -// imm_val == 256, -// opcode: lui ; dest:x10; immval:0x100 -TEST_CASE(x2, x10, 0x100000, x1, 80, lui x10,0x100) - -inst_33: -// imm_val == 128, -// opcode: lui ; dest:x10; immval:0x80 -TEST_CASE(x2, x10, 0x80000, x1, 84, lui x10,0x80) - -inst_34: -// imm_val == 64, -// opcode: lui ; dest:x10; immval:0x40 -TEST_CASE(x2, x10, 0x40000, x1, 88, lui x10,0x40) - -inst_35: -// imm_val == 32, -// opcode: lui ; dest:x10; immval:0x20 -TEST_CASE(x2, x10, 0x20000, x1, 92, lui x10,0x20) - -inst_36: -// imm_val == 16, -// opcode: lui ; dest:x10; immval:0x10 -TEST_CASE(x2, x10, 0x10000, x1, 96, lui x10,0x10) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: lui ; dest:x10; immval:0x55555 -TEST_CASE(x2, x10, 0x55555000, x1, 100, lui x10,0x55555) - -inst_38: -// imm_val==3, -// opcode: lui ; dest:x10; immval:0x3 -TEST_CASE(x2, x10, 0x3000, x1, 104, lui x10,0x3) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: lui ; dest:x10; immval:0xaaaaa -TEST_CASE(x2, x10, -0x55556000, x1, 108, lui x10,0xaaaaa) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: lui ; dest:x10; immval:0x0 -TEST_CASE(x2, x10, 0x0, x1, 112, lui x10,0x0) - -inst_41: -// imm_val == 8, -// opcode: lui ; dest:x10; immval:0x8 -TEST_CASE(x2, x10, 0x8000, x1, 116, lui x10,0x8) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: lui ; dest:x10; immval:0x4 -TEST_CASE(x2, x10, 0x4000, x1, 120, lui x10,0x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: lui ; dest:x10; immval:0x2 -TEST_CASE(x2, x10, 0x2000, x1, 124, lui x10,0x2) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: lui ; dest:x10; immval:0x1 -TEST_CASE(x2, x10, 0x1000, x1, 128, lui x10,0x1) - -inst_45: -// imm_val==725, -// opcode: lui ; dest:x10; immval:0x2d5 -TEST_CASE(x2, x10, 0x2d5000, x1, 132, lui x10,0x2d5) - -inst_46: -// imm_val==419431, -// opcode: lui ; dest:x10; immval:0x66667 -TEST_CASE(x2, x10, 0x66667000, x1, 136, lui x10,0x66667) - -inst_47: -// imm_val==209716, -// opcode: lui ; dest:x10; immval:0x33334 -TEST_CASE(x2, x10, 0x33334000, x1, 140, lui x10,0x33334) - -inst_48: -// imm_val==6, -// opcode: lui ; dest:x10; immval:0x6 -TEST_CASE(x2, x10, 0x6000, x1, 144, lui x10,0x6) - -inst_49: -// imm_val==699051, -// opcode: lui ; dest:x10; immval:0xaaaab -TEST_CASE(x2, x10, -0x55555000, x1, 148, lui x10,0xaaaab) - -inst_50: -// imm_val==349526, -// opcode: lui ; dest:x10; immval:0x55556 -TEST_CASE(x2, x10, 0x55556000, x1, 152, lui x10,0x55556) - -inst_51: -// imm_val==1022, -// opcode: lui ; dest:x10; immval:0x3fe -TEST_CASE(x2, x10, 0x3fe000, x1, 156, lui x10,0x3fe) - -inst_52: -// imm_val==723, -// opcode: lui ; dest:x10; immval:0x2d3 -TEST_CASE(x2, x10, 0x2d3000, x1, 160, lui x10,0x2d3) - -inst_53: -// imm_val==419429, -// opcode: lui ; dest:x10; immval:0x66665 -TEST_CASE(x2, x10, 0x66665000, x1, 164, lui x10,0x66665) - -inst_54: -// imm_val==209714, -// opcode: lui ; dest:x10; immval:0x33332 -TEST_CASE(x2, x10, 0x33332000, x1, 168, lui x10,0x33332) - -inst_55: -// imm_val==699049, -// opcode: lui ; dest:x10; immval:0xaaaa9 -TEST_CASE(x2, x10, -0x55557000, x1, 172, lui x10,0xaaaa9) - -inst_56: -// imm_val==349524, -// opcode: lui ; dest:x10; immval:0x55554 -TEST_CASE(x2, x10, 0x55554000, x1, 176, lui x10,0x55554) - -inst_57: -// imm_val==1023, -// opcode: lui ; dest:x10; immval:0x3ff -TEST_CASE(x2, x10, 0x3ff000, x1, 180, lui x10,0x3ff) - -inst_58: -// imm_val==724, -// opcode: lui ; dest:x10; immval:0x2d4 -TEST_CASE(x2, x10, 0x2d4000, x1, 184, lui x10,0x2d4) - -inst_59: -// imm_val==419430, -// opcode: lui ; dest:x10; immval:0x66666 -TEST_CASE(x2, x10, 0x66666000, x1, 188, lui x10,0x66666) - -inst_60: -// imm_val==209715, -// opcode: lui ; dest:x10; immval:0x33333 -TEST_CASE(x2, x10, 0x33333000, x1, 192, lui x10,0x33333) - -inst_61: -// imm_val==5, -// opcode: lui ; dest:x10; immval:0x5 -TEST_CASE(x2, x10, 0x5000, x1, 196, lui x10,0x5) - -inst_62: -// imm_val == 1048447, -// opcode: lui ; dest:x10; immval:0xfff7f -TEST_CASE(x2, x10, -0x81000, x1, 200, lui x10,0xfff7f) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 12*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 51*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lw-align-01.S deleted file mode 100644 index a8dafa825..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lw-align-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lw instruction of the RISC-V E extension for the lw-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rd, rs1==x11, rd==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lw op1:x11; dest:x1; immval:-0x400; align:0 -TEST_LOAD(x2,x6,0,x11,x1,-0x400,0,lw,0) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, ea_align == 0 and (imm_val % 4) == 1, -// opcode:lw op1:x14; dest:x14; immval:-0x3; align:0 -TEST_LOAD(x2,x6,0,x14,x14,-0x3,4,lw,0) - -inst_2: -// rs1==x4, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lw op1:x4; dest:x8; immval:-0x556; align:0 -TEST_LOAD(x2,x6,0,x4,x8,-0x556,8,lw,0) - -inst_3: -// rs1==x1, rd==x10, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lw op1:x1; dest:x10; immval:-0x5; align:0 -TEST_LOAD(x2,x6,0,x1,x10,-0x5,12,lw,0) - -inst_4: -// rs1==x12, rd==x15, imm_val == 0, -// opcode:lw op1:x12; dest:x15; immval:0x0; align:0 -TEST_LOAD(x2,x6,0,x12,x15,0x0,16,lw,0) - -inst_5: -// rs1==x7, rd==x13, imm_val > 0, -// opcode:lw op1:x7; dest:x13; immval:0x20; align:0 -TEST_LOAD(x2,x6,0,x7,x13,0x20,20,lw,0) - -inst_6: -// rs1==x5, rd==x3, -// opcode:lw op1:x5; dest:x3; immval:-0x800; align:0 -TEST_LOAD(x2,x6,0,x5,x3,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x8, rd==x0, -// opcode:lw op1:x8; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x8,x0,-0x800,0,lw,0) - -inst_8: -// rs1==x3, rd==x12, -// opcode:lw op1:x3; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x3,x12,-0x800,4,lw,0) - -inst_9: -// rs1==x13, rd==x4, -// opcode:lw op1:x13; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x13,x4,-0x800,8,lw,0) - -inst_10: -// rs1==x15, rd==x7, -// opcode:lw op1:x15; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x15,x7,-0x800,12,lw,0) - -inst_11: -// rs1==x9, rd==x5, -// opcode:lw op1:x9; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x9,x5,-0x800,16,lw,0) - -inst_12: -// rs1==x6, rd==x9, -// opcode:lw op1:x6; dest:x9; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x6,x9,-0x800,20,lw,0) - -inst_13: -// rs1==x10, rd==x2, -// opcode:lw op1:x10; dest:x2; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x10,x2,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_14: -// rs1==x2, rd==x6, -// opcode:lw op1:x2; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x6,-0x800,0,lw,0) - -inst_15: -// rd==x11, -// opcode:lw op1:x5; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x5,x11,-0x800,4,lw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/or-01.S deleted file mode 100644 index e5032b059..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/or-01.S +++ /dev/null @@ -1,3035 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the or instruction of the RISC-V E extension for the or covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",or) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x3, rd==x2, rs1_val != rs2_val, rs2_val == 536870912, rs1_val == -2097153, rs1_val < 0 and rs2_val > 0 -// opcode: or ; op1:x10; op2:x3; dest:x2; op1val:-0x200001; op2val:0x20000000 -TEST_RR_OP(or, x2, x10, x3, 0xffdfffff, -0x200001, 0x20000000, x5, 0, x4) - -inst_1: -// rs1 == rd != rs2, rs1==x14, rs2==x10, rd==x14, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: or ; op1:x14; op2:x10; dest:x14; op1val:0x5; op2val:0x7fffffff -TEST_RR_OP(or, x14, x14, x10, 0x7fffffff, 0x5, 0x7fffffff, x5, 4, x4) - -inst_2: -// rs2 == rd != rs1, rs1==x1, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: or ; op1:x1; op2:x6; dest:x6; op1val:-0xb504; op2val:-0x40000001 -TEST_RR_OP(or, x6, x1, x6, 0xffffffff, -0xb504, -0x40000001, x5, 8, x4) - -inst_3: -// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x9, rs2_val == -536870913, -// opcode: or ; op1:x11; op2:x11; dest:x9; op1val:-0x40000000; op2val:-0x40000000 -TEST_RR_OP(or, x9, x11, x11, 0xc0000000, -0x40000000, -0x40000000, x5, 12, x4) - -inst_4: -// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x8; op2:x8; dest:x8; op1val:0x4000; op2val:0x4000 -TEST_RR_OP(or, x8, x8, x8, 0x4000, 0x4000, 0x4000, x5, 16, x4) - -inst_5: -// rs1==x7, rs2==x9, rd==x12, rs2_val == -134217729, rs1_val == -8193 -// opcode: or ; op1:x7; op2:x9; dest:x12; op1val:-0x2001; op2val:-0x8000001 -TEST_RR_OP(or, x12, x7, x9, 0xffffffff, -0x2001, -0x8000001, x5, 20, x4) - -inst_6: -// rs1==x4, rs2==x1, rd==x15, rs2_val == -67108865, rs1_val == -3 -// opcode: or ; op1:x4; op2:x1; dest:x15; op1val:-0x3; op2val:-0x4000001 -TEST_RR_OP(or, x15, x4, x1, 0xffffffff, -0x3, -0x4000001, x5, 24, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x6, rs2==x13, rd==x10, rs2_val == -33554433, rs1_val == 1024 -// opcode: or ; op1:x6; op2:x13; dest:x10; op1val:0x400; op2val:-0x2000001 -TEST_RR_OP(or, x10, x6, x13, 0xfdffffff, 0x400, -0x2000001, x4, 0, x3) - -inst_8: -// rs1==x5, rs2==x14, rd==x0, rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x5; op2:x14; dest:x0; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x0, x5, x14, 0, 0x0, -0x1000001, x4, 4, x3) - -inst_9: -// rs1==x9, rs2==x0, rd==x1, rs2_val == -8388609, -// opcode: or ; op1:x9; op2:x0; dest:x1; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x1, x9, x0, 0x6, 0x6, 0x0, x4, 8, x3) - -inst_10: -// rs1==x13, rs2==x5, rd==x11, rs2_val == -4194305, -// opcode: or ; op1:x13; op2:x5; dest:x11; op1val:0x6; op2val:-0x400001 -TEST_RR_OP(or, x11, x13, x5, 0xffbfffff, 0x6, -0x400001, x4, 12, x3) - -inst_11: -// rs1==x12, rs2==x2, rd==x5, rs2_val == -2097153, rs1_val == 134217728 -// opcode: or ; op1:x12; op2:x2; dest:x5; op1val:0x8000000; op2val:-0x200001 -TEST_RR_OP(or, x5, x12, x2, 0xffdfffff, 0x8000000, -0x200001, x4, 16, x3) - -inst_12: -// rs1==x3, rs2==x12, rd==x7, rs2_val == -1048577, -// opcode: or ; op1:x3; op2:x12; dest:x7; op1val:0xb504; op2val:-0x100001 -TEST_RR_OP(or, x7, x3, x12, 0xffefffff, 0xb504, -0x100001, x4, 20, x6) -RVTEST_SIGBASE( x5,signature_x5_2) - -inst_13: -// rs1==x0, rs2==x15, rd==x13, rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x0; op2:x15; dest:x13; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(or, x13, x0, x15, 0xfff7ffff, 0x0, -0x80001, x5, 0, x6) - -inst_14: -// rs1==x15, rs2==x7, rd==x3, rs2_val == -262145, rs1_val == -1431655766 -// opcode: or ; op1:x15; op2:x7; dest:x3; op1val:-0x55555556; op2val:-0x40001 -TEST_RR_OP(or, x3, x15, x7, 0xfffbffff, -0x55555556, -0x40001, x5, 4, x6) - -inst_15: -// rs1==x2, rs2_val == -131073, -// opcode: or ; op1:x2; op2:x12; dest:x1; op1val:-0x55555555; op2val:-0x20001 -TEST_RR_OP(or, x1, x2, x12, 0xffffffff, -0x55555555, -0x20001, x5, 8, x6) - -inst_16: -// rs2==x4, rs2_val == -65537, -// opcode: or ; op1:x9; op2:x4; dest:x10; op1val:0x9; op2val:-0x10001 -TEST_RR_OP(or, x10, x9, x4, 0xfffeffff, 0x9, -0x10001, x5, 12, x6) - -inst_17: -// rd==x4, rs2_val == -32769, -// opcode: or ; op1:x3; op2:x8; dest:x4; op1val:-0xb504; op2val:-0x8001 -TEST_RR_OP(or, x4, x3, x8, 0xffff7fff, -0xb504, -0x8001, x5, 16, x6) - -inst_18: -// rs2_val == -16385, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x4001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x4001, x5, 20, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_19: -// rs2_val == -8193, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x80000000, -0x2001, x1, 0, x2) - -inst_20: -// rs2_val == -4097, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1001 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, 0x0, -0x1001, x1, 4, x2) - -inst_21: -// rs2_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, 0x66666665, -0x801, x1, 8, x2) - -inst_22: -// rs2_val == -1025, rs1_val == -513 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x201, -0x401, x1, 12, x2) - -inst_23: -// rs2_val == -513, rs1_val == 64 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, 0x40, -0x201, x1, 16, x2) - -inst_24: -// rs2_val == -257, rs1_val == 128 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, 0x80, -0x101, x1, 20, x2) - -inst_25: -// rs2_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, -0x81, x1, 24, x2) - -inst_26: -// rs2_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffbf, -0x55555555, -0x41, x1, 28, x2) - -inst_27: -// rs2_val == -33, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x21 -TEST_RR_OP(or, x12, x10, x11, 0xffffffdf, 0x6, -0x21, x1, 32, x2) - -inst_28: -// rs2_val == -17, rs1_val == -134217729 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x11 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x8000001, -0x11, x1, 36, x2) - -inst_29: -// rs2_val == -9, rs1_val == -2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x2, -0x9, x1, 40, x2) - -inst_30: -// rs2_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x5 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x8, -0x5, x1, 44, x2) - -inst_31: -// rs2_val == -3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x3, x1, 48, x2) - -inst_32: -// rs2_val == -2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x6, -0x2, x1, 52, x2) - -inst_33: -// rs1_val == -1073741825, rs2_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0xbfffffff, -0x40000001, 0x20, x1, 56, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20000001, -0x41, x1, 60, x2) - -inst_35: -// rs1_val == -268435457, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x100001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10000001, -0x100001, x1, 64, x2) - -inst_36: -// rs1_val == -67108865, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x4000001, -0x201, x1, 68, x2) - -inst_37: -// rs1_val == -33554433, rs2_val == 65536 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0xfdffffff, -0x2000001, 0x10000, x1, 72, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x1000001, -0x801, x1, 76, x2) - -inst_39: -// rs1_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, -0x800001, 0x33333332, x1, 80, x2) - -inst_40: -// rs1_val == -4194305, rs2_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x400001, 0x55555555, x1, 84, x2) - -inst_41: -// rs1_val == -1048577, rs2_val == 1024 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffefffff, -0x100001, 0x400, x1, 88, x2) - -inst_42: -// rs1_val == -524289, rs2_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x80000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x80001, 0x80000, x1, 92, x2) - -inst_43: -// rs1_val == -262145, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x40001, 0x55555555, x1, 96, x2) - -inst_44: -// rs1_val == -131073, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20001, -0x2001, x1, 100, x2) - -inst_45: -// rs1_val == -65537, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x400001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10001, -0x400001, x1, 104, x2) - -inst_46: -// rs1_val == -32769, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff7fff, -0x8001, 0x5, x1, 108, x2) - -inst_47: -// rs1_val == -16385, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffffbfff, -0x4001, 0x400, x1, 112, x2) - -inst_48: -// rs1_val == -4097, rs2_val == 256 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x100 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, -0x1001, 0x100, x1, 116, x2) - -inst_49: -// rs1_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x801, -0x6, x1, 120, x2) - -inst_50: -// rs1_val == -1025, rs2_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0xfffffbff, -0x401, 0x8, x1, 124, x2) - -inst_51: -// rs1_val == -257, rs2_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x8000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, -0x101, 0x8000, x1, 128, x2) - -inst_52: -// rs1_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x81, -0x1, x1, 132, x2) - -inst_53: -// rs1_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x41, -0x1, x1, 136, x2) - -inst_54: -// rs1_val == -17, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x11, -0x101, x1, 140, x2) - -inst_55: -// rs1_val == -9, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x9, -0x6, x1, 144, x2) - -inst_56: -// rs1_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x5, 0x20000000, x1, 148, x2) - -inst_57: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x80000000 -TEST_RR_OP(or, x12, x10, x11, 0x80000005, 0x5, -0x80000000, x1, 152, x2) - -inst_58: -// rs2_val == 1073741824, rs1_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x40000000 -TEST_RR_OP(or, x12, x10, x11, 0x40008000, 0x8000, 0x40000000, x1, 156, x2) - -inst_59: -// rs2_val == 268435456, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10000000, x1, 160, x2) - -inst_60: -// rs2_val == 134217728, rs1_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000 -TEST_RR_OP(or, x12, x10, x11, 0x8000008, 0x8, 0x8000000, x1, 164, x2) - -inst_61: -// rs2_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4000000 -TEST_RR_OP(or, x12, x10, x11, 0xaeaaaaaa, -0x55555556, 0x4000000, x1, 168, x2) - -inst_62: -// rs2_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x200b504, 0xb504, 0x2000000, x1, 172, x2) - -inst_63: -// rs2_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1000000 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x2001, 0x1000000, x1, 176, x2) - -inst_64: -// rs2_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x800000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffff9, -0x7, 0x800000, x1, 180, x2) - -inst_65: -// rs2_val == 4194304, rs1_val == 16 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_66: -// rs2_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x200000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, 0x200000, x1, 188, x2) - -inst_67: -// rs2_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100000 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x100000, x1, 192, x2) - -inst_68: -// rs2_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x40000 -TEST_RR_OP(or, x12, x10, x11, 0x4b503, 0xb503, 0x40000, x1, 196, x2) - -inst_69: -// rs2_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x20000 -TEST_RR_OP(or, x12, x10, x11, 0x20008, 0x8, 0x20000, x1, 200, x2) - -inst_70: -// rs2_val == 16384, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x4000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x2, 0x4000, x1, 204, x2) - -inst_71: -// rs2_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2000 -TEST_RR_OP(or, x12, x10, x11, 0x55557556, 0x55555556, 0x2000, x1, 208, x2) - -inst_72: -// rs2_val == 4096, rs1_val == 2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x1002, 0x2, 0x1000, x1, 212, x2) - -inst_73: -// rs2_val == 2048, rs1_val == 4 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 -TEST_RR_OP(or, x12, x10, x11, 0x804, 0x4, 0x800, x1, 216, x2) - -inst_74: -// rs2_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x200 -TEST_RR_OP(or, x12, x10, x11, 0x80000200, -0x80000000, 0x200, x1, 220, x2) - -inst_75: -// rs2_val == 128, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x3fffffff, 0x3fffffff, 0x80, x1, 224, x2) - -inst_76: -// rs2_val == 64, rs1_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40 -TEST_RR_OP(or, x12, x10, x11, 0x60, 0x20, 0x40, x1, 228, x2) - -inst_77: -// rs2_val == 16, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10, x1, 232, x2) - -inst_78: -// rs2_val == 4, rs1_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x80004, 0x80000, 0x4, x1, 236, x2) - -inst_79: -// rs2_val == 2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, -0x201, 0x2, x1, 240, x2) - -inst_80: -// rs2_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(or, x12, x10, x11, 0x1, 0x0, 0x1, x1, 244, x2) - -inst_81: -// rs1_val == 1073741824, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x40000000, -0x81, x1, 248, x2) - -inst_82: -// rs1_val == 536870912, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x22000000, 0x20000000, 0x2000000, x1, 252, x2) - -inst_83: -// rs1_val == 268435456, rs2_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x10000000, 0x10000000, 0x0, x1, 256, x2) - -inst_84: -// rs1_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0x24000000, 0x4000000, 0x20000000, x1, 260, x2) - -inst_85: -// rs1_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffa, 0x2000000, -0x6, x1, 264, x2) - -inst_86: -// rs1_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x1400000, 0x1000000, 0x400000, x1, 268, x2) - -inst_87: -// rs1_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55d55555, 0x800000, 0x55555555, x1, 272, x2) - -inst_88: -// rs1_val == 4194304, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0xa -TEST_RR_OP(or, x12, x10, x11, 0xfffffff6, 0x400000, -0xa, x1, 276, x2) - -inst_89: -// rs1_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x200000, 0x33333334, x1, 280, x2) - -inst_90: -// rs1_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x100080, 0x100000, 0x80, x1, 284, x2) - -inst_91: -// rs1_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0x50000, 0x40000, 0x10000, x1, 288, x2) - -inst_92: -// rs1_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x21000, 0x20000, 0x1000, x1, 292, x2) - -inst_93: -// rs1_val == 65536, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x10006, 0x10000, 0x6, x1, 296, x2) - -inst_94: -// rs1_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0x2008, 0x2000, 0x8, x1, 300, x2) - -inst_95: -// rs1_val == 4096, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0x1000, -0x3, x1, 304, x2) - -inst_96: -// rs1_val == 2048, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555d55, 0x800, 0x55555555, x1, 308, x2) - -inst_97: -// rs1_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0x220, 0x200, 0x20, x1, 312, x2) - -inst_98: -// rs1_val == 256, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x100, -0x81, x1, 316, x2) - -inst_99: -// rs1_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x2000001, 0x1, 0x2000000, x1, 320, x2) - -inst_100: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 324, x2) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 328, x2) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 332, x2) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 336, x2) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x6, x1, 340, x2) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 344, x2) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 348, x2) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x4, x1, 352, x2) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0xb503, x1, 356, x2) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 360, x2) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 364, x2) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 368, x2) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 372, x2) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 376, x2) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb504, x1, 380, x2) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 384, x2) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 388, x2) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 392, x2) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x5, x1, 396, x2) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 400, x2) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 404, x2) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x3, x1, 408, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 412, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 416, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 420, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 424, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 428, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 432, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 436, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 440, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 444, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 448, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 452, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 456, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 460, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 464, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 468, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 472, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 476, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 480, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 484, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 488, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 492, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 496, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 500, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 504, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 508, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 512, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 516, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 520, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 524, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 528, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 532, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 536, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 540, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 544, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 548, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 552, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 556, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 560, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 564, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 568, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 572, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 576, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 580, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 584, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 588, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 592, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 596, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 600, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 604, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 608, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 612, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 616, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 620, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 624, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 628, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 632, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 636, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 640, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 644, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 648, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 652, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 656, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 660, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 664, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 668, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 672, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb505, x1, 676, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 680, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 684, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 688, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x6, x1, 692, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 696, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 700, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x4, x1, 704, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb503, x1, 708, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x0, x1, 712, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 716, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 720, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 724, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x2, x1, 728, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x6, 0xb504, x1, 732, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 736, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 740, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 744, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x5, x1, 748, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 752, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 756, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x3, x1, 760, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 764, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 768, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 772, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 776, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 780, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 784, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 788, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 792, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 796, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 800, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 804, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 808, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 812, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 816, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 820, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 824, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 828, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 832, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 836, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 840, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 844, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 848, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 852, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 856, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 860, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 864, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 868, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 872, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 876, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 880, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 884, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 888, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 892, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 896, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 900, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 904, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 908, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 912, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 916, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 920, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 924, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 928, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 932, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 936, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x4, 0xb505, x1, 940, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 944, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 948, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 952, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x6, x1, 956, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 960, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 964, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x4, x1, 968, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 972, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x0, x1, 976, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 980, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 984, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 988, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x2, x1, 992, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x4, 0xb504, x1, 996, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1000, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1004, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1008, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x4, 0x5, x1, 1012, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1016, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1020, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1024, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1028, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1032, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1036, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1040, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x6, x1, 1044, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1048, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1052, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1056, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1060, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1064, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1068, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1072, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1076, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x2, x1, 1080, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1084, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1088, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1092, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1096, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x5, x1, 1100, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1104, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1108, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x3, x1, 1112, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1116, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1120, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1124, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1128, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1132, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1136, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1140, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1144, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1148, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1152, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1156, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1160, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1164, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1168, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1172, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1176, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1180, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1184, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1188, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1192, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1196, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1200, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1204, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1208, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1212, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1216, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1220, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1224, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1228, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1232, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1236, x2) - -inst_329: -// rs1_val==1717986917 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1240, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1244, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1248, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1252, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1256, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1260, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1264, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1268, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1272, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1276, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1280, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1284, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1288, x2) - -inst_342: -// rs1_val==858993459 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1292, x2) - -inst_343: -// rs1_val==5 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb505, x1, 1296, x2) - -inst_344: -// rs1_val==5 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1300, x2) - -inst_345: -// rs1_val==5 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1304, x2) - -inst_346: -// rs1_val==5 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1308, x2) - -inst_347: -// rs1_val==5 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x6, x1, 1312, x2) - -inst_348: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1316, x2) - -inst_349: -// rs1_val==5 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1320, x2) - -inst_350: -// rs1_val==5 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x4, x1, 1324, x2) - -inst_351: -// rs1_val==5 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x5, 0xb503, x1, 1328, x2) - -inst_352: -// rs1_val==5 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1332, x2) - -inst_353: -// rs1_val==5 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1336, x2) - -inst_354: -// rs1_val==5 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1340, x2) - -inst_355: -// rs1_val==5 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1344, x2) - -inst_356: -// rs1_val==5 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1348, x2) - -inst_357: -// rs1_val==5 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb504, x1, 1352, x2) - -inst_358: -// rs1_val==5 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1356, x2) - -inst_359: -// rs1_val==5 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1360, x2) - -inst_360: -// rs1_val==5 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1364, x2) - -inst_361: -// rs1_val==5 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1368, x2) - -inst_362: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1372, x2) - -inst_363: -// rs1_val==5 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1376, x2) - -inst_364: -// rs1_val==5 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x3, x1, 1380, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1384, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1388, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1392, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1396, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1400, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1404, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1408, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1412, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1416, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1420, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1424, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1428, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1432, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1436, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1440, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1444, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1448, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1452, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1456, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1460, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1464, x2) - -inst_386: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1468, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1472, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1476, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1480, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1484, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1488, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1492, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1496, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1500, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1504, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1508, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1512, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1516, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1520, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1524, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1528, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1532, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1536, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1540, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1544, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1548, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1552, x2) - -inst_408: -// rs1_val==1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1556, x2) - -inst_409: -// rs1_val==3 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb505, x1, 1560, x2) - -inst_410: -// rs1_val==3 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1564, x2) - -inst_411: -// rs1_val==3 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1568, x2) - -inst_412: -// rs1_val==3 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1572, x2) - -inst_413: -// rs1_val==3 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x6, x1, 1576, x2) - -inst_414: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1580, x2) - -inst_415: -// rs1_val==3 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1584, x2) - -inst_416: -// rs1_val==3 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1588, x2) - -inst_417: -// rs1_val==3 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x3, 0xb503, x1, 1592, x2) - -inst_418: -// rs1_val==3 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1596, x2) - -inst_419: -// rs1_val==3 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1600, x2) - -inst_420: -// rs1_val==3 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1604, x2) - -inst_421: -// rs1_val==3 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1608, x2) - -inst_422: -// rs1_val==3 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x2, x1, 1612, x2) - -inst_423: -// rs1_val==3 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1616, x2) - -inst_424: -// rs1_val==3 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1620, x2) - -inst_425: -// rs1_val==3 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1624, x2) - -inst_426: -// rs1_val==3 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1628, x2) - -inst_427: -// rs1_val==3 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x5, x1, 1632, x2) - -inst_428: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1636, x2) - -inst_429: -// rs1_val==3 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1640, x2) - -inst_430: -// rs1_val==3 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1644, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1648, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1652, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1656, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1660, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1664, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1668, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1672, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1676, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1680, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1684, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1688, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1692, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1696, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1700, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1704, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1708, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1712, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1716, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1720, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1724, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1728, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1732, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1736, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1740, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1744, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1748, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1752, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1756, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1760, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1764, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1768, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1772, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1776, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1780, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1784, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1788, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1792, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1796, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1800, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1804, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1808, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1812, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1816, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1820, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1824, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1828, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1832, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1836, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1840, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1844, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1848, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1852, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1856, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1860, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1864, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1868, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1872, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1876, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1880, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1884, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x6, x1, 1888, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1892, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1896, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1900, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x2, 0xb503, x1, 1904, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1908, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1912, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1916, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1920, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1924, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1928, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1932, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 1936, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 1940, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1944, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 1948, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1952, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x2, 0x3, x1, 1956, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0xb505, x1, 1960, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 1964, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 1968, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 1972, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x6, x1, 1976, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 1980, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 1984, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x4, x1, 1988, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0xb503, x1, 1992, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 2000, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 2004, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 2008, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2012, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2016, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 2020, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 2024, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 2028, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0x5, x1, 2032, x2) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 2036, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 2040, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 0, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 4, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 8, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 12, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 16, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 20, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 24, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 28, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 32, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 40, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 44, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 48, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 52, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 56, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 60, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 64, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 68, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 72, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 76, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 80, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 84, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 88, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 92, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 96, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 100, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 104, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 108, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 112, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 116, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 120, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 128, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 132, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 136, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 140, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 144, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 148, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 152, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 156, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 160, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 164, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 168, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 172, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 176, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 180, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 184, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 188, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 192, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 196, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 200, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 204, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 208, x2) - -inst_584: -// rs2_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x20000001 -TEST_RR_OP(or, x12, x10, x11, 0xdfffffff, -0x40000000, -0x20000001, x1, 212, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x10000001 -TEST_RR_OP(or, x12, x10, x11, 0xefffffff, 0x4000, -0x10000001, x1, 216, x2) - -inst_586: -// rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x12, x10, x11, 0xfeffffff, 0x0, -0x1000001, x1, 220, x2) - -inst_587: -// rs2_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x800001 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, 0x6, -0x800001, x1, 224, x2) - -inst_588: -// rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x21, -0x80001, x1, 228, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x5_2: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 58*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/ori-01.S deleted file mode 100644 index fa55b6bf3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/ori-01.S +++ /dev/null @@ -1,2865 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the ori instruction of the RISC-V E extension for the ori covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",ori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x9, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 2097152 -// opcode: ori ; op1:x12; dest:x9; op1val:0x200000; immval:-0x800 -TEST_IMM_OP( ori, x9, x12, 0xfffff800, 0x200000, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x0, rd==x0, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x0; dest:x0; op1val:0x0; immval:0x3ff -TEST_IMM_OP( ori, x0, x0, 0, 0x0, 0x3ff, x1, 4, x2) - -inst_2: -// rs1==x6, rd==x7, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 1024 -// opcode: ori ; op1:x6; dest:x7; op1val:-0x40000001; immval:0x400 -TEST_IMM_OP( ori, x7, x6, 0xbfffffff, -0x40000001, 0x400, x1, 8, x2) - -inst_3: -// rs1==x9, rd==x3, rs1_val == -536870913, -// opcode: ori ; op1:x9; dest:x3; op1val:-0x20000001; immval:0x2d -TEST_IMM_OP( ori, x3, x9, 0xdfffffff, -0x20000001, 0x2d, x1, 12, x2) - -inst_4: -// rs1==x5, rd==x14, rs1_val == -268435457, -// opcode: ori ; op1:x5; dest:x14; op1val:-0x10000001; immval:0x666 -TEST_IMM_OP( ori, x14, x5, 0xefffffff, -0x10000001, 0x666, x1, 16, x2) - -inst_5: -// rs1==x13, rd==x11, rs1_val == -134217729, imm_val == 2 -// opcode: ori ; op1:x13; dest:x11; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( ori, x11, x13, 0xf7ffffff, -0x8000001, 0x2, x1, 20, x2) - -inst_6: -// rs1==x3, rd==x5, rs1_val == -67108865, -// opcode: ori ; op1:x3; dest:x5; op1val:-0x4000001; immval:0x2 -TEST_IMM_OP( ori, x5, x3, 0xfbffffff, -0x4000001, 0x2, x1, 24, x2) - -inst_7: -// rs1==x11, rd==x12, rs1_val == -33554433, -// opcode: ori ; op1:x11; dest:x12; op1val:-0x2000001; immval:0x3 -TEST_IMM_OP( ori, x12, x11, 0xfdffffff, -0x2000001, 0x3, x1, 28, x2) - -inst_8: -// rs1==x10, rd==x15, rs1_val == -16777217, imm_val == 1 -// opcode: ori ; op1:x10; dest:x15; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( ori, x15, x10, 0xfeffffff, -0x1000001, 0x1, x1, 32, x2) - -inst_9: -// rs1==x4, rd==x13, rs1_val == -8388609, rs1_val < 0 and imm_val < 0 -// opcode: ori ; op1:x4; dest:x13; op1val:-0x800001; immval:-0x555 -TEST_IMM_OP( ori, x13, x4, 0xffffffff, -0x800001, -0x555, x1, 36, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_10: -// rs1==x15, rd==x10, rs1_val == -4194305, imm_val == -3 -// opcode: ori ; op1:x15; dest:x10; op1val:-0x400001; immval:-0x3 -TEST_IMM_OP( ori, x10, x15, 0xffffffff, -0x400001, -0x3, x3, 0, x5) - -inst_11: -// rs1==x7, rd==x4, rs1_val == -2097153, imm_val == 1365 -// opcode: ori ; op1:x7; dest:x4; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( ori, x4, x7, 0xffdfffff, -0x200001, 0x555, x3, 4, x5) - -inst_12: -// rs1==x2, rd==x6, rs1_val == -1048577, imm_val == -5 -// opcode: ori ; op1:x2; dest:x6; op1val:-0x100001; immval:-0x5 -TEST_IMM_OP( ori, x6, x2, 0xffffffff, -0x100001, -0x5, x3, 8, x5) - -inst_13: -// rs1==x8, rd==x1, rs1_val == -524289, -// opcode: ori ; op1:x8; dest:x1; op1val:-0x80001; immval:-0x3 -TEST_IMM_OP( ori, x1, x8, 0xffffffff, -0x80001, -0x3, x3, 12, x5) - -inst_14: -// rs1==x1, rd==x2, rs1_val == -262145, -// opcode: ori ; op1:x1; dest:x2; op1val:-0x40001; immval:0x333 -TEST_IMM_OP( ori, x2, x1, 0xfffbffff, -0x40001, 0x333, x3, 16, x5) - -inst_15: -// rs1==x14, rd==x8, rs1_val == -131073, -// opcode: ori ; op1:x14; dest:x8; op1val:-0x20001; immval:0x332 -TEST_IMM_OP( ori, x8, x14, 0xfffdffff, -0x20001, 0x332, x3, 20, x5) - -inst_16: -// rs1_val == -65537, imm_val == 4 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffeffff, -0x10001, 0x4, x3, 24, x5) - -inst_17: -// rs1_val == -32769, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff7fff, -0x8001, 0x555, x3, 28, x1) - -inst_18: -// rs1_val == -16385, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x4001; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffffbfff, -0x4001, 0x666, x3, 32, x1) - -inst_19: -// rs1_val == -8193, imm_val == -9 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2001, -0x9, x3, 36, x1) - -inst_20: -// rs1_val == -4097, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x1001, -0x2d, x3, 40, x1) - -inst_21: -// rs1_val == -2049, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x801; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xfffff7ff, -0x801, 0x666, x3, 44, x1) - -inst_22: -// rs1_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x401; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x401, -0x2c, x3, 48, x1) - -inst_23: -// rs1_val == -513, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x201; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, -0x201, 0x555, x3, 52, x1) - -inst_24: -// rs1_val == -257, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x3 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x101, -0x3, x3, 56, x1) - -inst_25: -// rs1_val == -129, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x81, -0x9, x3, 60, x1) - -inst_26: -// rs1_val == -65, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x41; immval:-0x4 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x41, -0x4, x3, 64, x1) - -inst_27: -// rs1_val == -33, imm_val == -17 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x21; immval:-0x11 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x21, -0x11, x3, 68, x1) - -inst_28: -// rs1_val == -17, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x5 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x11, -0x5, x3, 72, x1) - -inst_29: -// rs1_val == -9, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, -0x9, 0x4, x3, 76, x1) - -inst_30: -// rs1_val == -5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x5; immval:-0x7 -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x5, -0x7, x3, 80, x1) - -inst_31: -// rs1_val == -3, imm_val == -65 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x3; immval:-0x41 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x3, -0x41, x3, 84, x1) - -inst_32: -// rs1_val == -2, imm_val == -129 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x81 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2, -0x81, x3, 88, x1) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val == 8192 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( ori, x11, x10, 0x27ff, 0x2000, 0x7ff, x3, 92, x1) - -inst_34: -// imm_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x6, -0x401, x3, 96, x1) - -inst_35: -// imm_val == -513, rs1_val == 131072 -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x201 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, 0x20000, -0x201, x3, 100, x1) - -inst_36: -// imm_val == -257, rs1_val == 1431655765 -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x101, x3, 104, x1) - -inst_37: -// imm_val == -33, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x21, x3, 108, x1) - -inst_38: -// imm_val == -2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x200001; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x200001, -0x2, x3, 112, x1) - -inst_39: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: ori ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x80000000, -0x80000000, 0x0, x3, 116, x1) - -inst_40: -// rs1_val == 1073741824, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x40000000, -0x2, x3, 120, x1) - -inst_41: -// rs1_val == 536870912, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x20000555, 0x20000000, 0x555, x3, 124, x1) - -inst_42: -// rs1_val == 268435456, imm_val == -1366 -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000000; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x10000000, -0x556, x3, 128, x1) - -inst_43: -// rs1_val == 134217728, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x1 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x8000000, -0x1, x3, 132, x1) - -inst_44: -// rs1_val == 67108864, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x4000332, 0x4000000, 0x332, x3, 136, x1) - -inst_45: -// rs1_val == 33554432, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x2000556, 0x2000000, 0x556, x3, 140, x1) - -inst_46: -// rs1_val == 16777216, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x1000000, -0x401, x3, 144, x1) - -inst_47: -// rs1_val == 8388608, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800000; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xfffffeff, 0x800000, -0x101, x3, 148, x1) - -inst_48: -// rs1_val == 4194304, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x400000, 0x400000, 0x0, x3, 152, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100000; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffdf, 0x100000, -0x21, x3, 156, x1) - -inst_50: -// rs1_val == 524288, -// opcode: ori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x80000, -0x401, x3, 160, x1) - -inst_51: -// rs1_val == 262144, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x40556, 0x40000, 0x556, x3, 164, x1) - -inst_52: -// rs1_val == 65536, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( ori, x11, x10, 0x10001, 0x10000, 0x1, x3, 168, x1) - -inst_53: -// rs1_val == 32768, imm_val == 512 -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000; immval:0x200 -TEST_IMM_OP( ori, x11, x10, 0x8200, 0x8000, 0x200, x3, 172, x1) - -inst_54: -// rs1_val == 16384, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4000, -0x2c, x3, 176, x1) - -inst_55: -// rs1_val == 4096, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x1000, -0x8, x3, 180, x1) - -inst_56: -// rs1_val == 2048, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x800, -0x8, x3, 184, x1) - -inst_57: -// rs1_val == 1024, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x400, -0x2d, x3, 188, x1) - -inst_58: -// rs1_val == 512, -// opcode: ori ; op1:x10; dest:x11; op1val:0x200; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x200, -0x2c, x3, 192, x1) - -inst_59: -// rs1_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x102, 0x100, 0x2, x3, 196, x1) - -inst_60: -// rs1_val == 128, rs1_val == imm_val, imm_val == 128 -// opcode: ori ; op1:x10; dest:x11; op1val:0x80; immval:0x80 -TEST_IMM_OP( ori, x11, x10, 0x80, 0x80, 0x80, x3, 200, x1) - -inst_61: -// rs1_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x46, 0x40, 0x6, x3, 204, x1) - -inst_62: -// rs1_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x20, -0x2c, x3, 208, x1) - -inst_63: -// rs1_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x10, 0x555, x3, 212, x1) - -inst_64: -// rs1_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8; immval:-0xa -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x8, -0xa, x3, 216, x1) - -inst_65: -// rs1_val == 4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x3ff, 0x4, 0x3ff, x3, 220, x1) - -inst_66: -// rs1_val == 2, rs1_val==2 and imm_val==2 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x2, x3, 224, x1) - -inst_67: -// rs1_val == 1, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x1, 0x1, 0x0, x3, 228, x1) - -inst_68: -// imm_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x100 -TEST_IMM_OP( ori, x11, x10, 0x20000100, 0x20000000, 0x100, x3, 232, x1) - -inst_69: -// imm_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( ori, x11, x10, 0x44, 0x4, 0x40, x3, 236, x1) - -inst_70: -// imm_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x20 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x20, x3, 240, x1) - -inst_71: -// imm_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x10 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x10, x3, 244, x1) - -inst_72: -// imm_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, -0x8, 0x8, x3, 248, x1) - -inst_73: -// rs1_val==46341 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb505, 0x2e, x3, 252, x1) - -inst_74: -// rs1_val==46341 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0xb505, -0x2c, x3, 256, x1) - -inst_75: -// rs1_val==46341 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x667, x3, 260, x1) - -inst_76: -// rs1_val==46341 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb735, 0xb505, 0x334, x3, 264, x1) - -inst_77: -// rs1_val==46341 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x6, x3, 268, x1) - -inst_78: -// rs1_val==46341 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x555, x3, 272, x1) - -inst_79: -// rs1_val==46341 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb505, 0x556, x3, 276, x1) - -inst_80: -// rs1_val==46341 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x4, x3, 280, x1) - -inst_81: -// rs1_val==46341 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2c, x3, 284, x1) - -inst_82: -// rs1_val==46341 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x0, x3, 288, x1) - -inst_83: -// rs1_val==46341 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb505, 0x665, x3, 292, x1) - -inst_84: -// rs1_val==46341 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x332, x3, 296, x1) - -inst_85: -// rs1_val==46341 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x554, x3, 300, x1) - -inst_86: -// rs1_val==46341 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x2, x3, 304, x1) - -inst_87: -// rs1_val==46341 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2d, x3, 308, x1) - -inst_88: -// rs1_val==46341 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb505, -0x2d, x3, 312, x1) - -inst_89: -// rs1_val==46341 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x666, x3, 316, x1) - -inst_90: -// rs1_val==46341 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x333, x3, 320, x1) - -inst_91: -// rs1_val==46341 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x5, x3, 324, x1) - -inst_92: -// rs1_val==46341 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x556, x3, 328, x1) - -inst_93: -// rs1_val==46341 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x555, x3, 332, x1) - -inst_94: -// rs1_val==46341 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x3, x3, 336, x1) - -inst_95: -// rs1_val==-46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2e, x3, 340, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffd, -0xb503, -0x2c, x3, 344, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x667, x3, 348, x1) - -inst_98: -// rs1_val==-46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfd, -0xb503, 0x334, x3, 352, x1) - -inst_99: -// rs1_val==-46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x6, x3, 356, x1) - -inst_100: -// rs1_val==-46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x555, x3, 360, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4fff, -0xb503, 0x556, x3, 364, x1) - -inst_102: -// rs1_val==-46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x4, x3, 368, x1) - -inst_103: -// rs1_val==-46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2c, x3, 372, x1) - -inst_104: -// rs1_val==-46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 376, x1) - -inst_105: -// rs1_val==-46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb503, 0x665, x3, 380, x1) - -inst_106: -// rs1_val==-46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x332, x3, 384, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x554, x3, 388, x1) - -inst_108: -// rs1_val==-46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 392, x1) - -inst_109: -// rs1_val==-46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2d, x3, 396, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb503, -0x2d, x3, 400, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x666, x3, 404, x1) - -inst_112: -// rs1_val==-46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x333, x3, 408, x1) - -inst_113: -// rs1_val==-46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x5, x3, 412, x1) - -inst_114: -// rs1_val==-46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x556, x3, 416, x1) - -inst_115: -// rs1_val==-46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x555, x3, 420, x1) - -inst_116: -// rs1_val==-46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x3, x3, 424, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2e, x3, 428, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2c, x3, 432, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x667, x3, 436, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x334, x3, 440, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x6, x3, 444, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x555, x3, 448, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x556, x3, 452, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x4, x3, 456, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2c, x3, 460, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 464, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x665, x3, 468, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x332, x3, 472, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x554, x3, 476, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x2, x3, 480, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2d, x3, 484, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2d, x3, 488, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x666, x3, 492, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x333, x3, 496, x1) - -inst_135: -// rs1_val==1717986919 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x5, x3, 500, x1) - -inst_136: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x556, x3, 504, x1) - -inst_137: -// rs1_val==1717986919 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x555, x3, 508, x1) - -inst_138: -// rs1_val==1717986919 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x3, x3, 512, x1) - -inst_139: -// rs1_val==858993460 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333334, 0x2e, x3, 516, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x33333334, -0x2c, x3, 520, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333334, 0x667, x3, 524, x1) - -inst_142: -// rs1_val==858993460 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x334, x3, 528, x1) - -inst_143: -// rs1_val==858993460 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x6, x3, 532, x1) - -inst_144: -// rs1_val==858993460 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbf, 0x33333334, -0x555, x3, 536, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x556, x3, 540, x1) - -inst_146: -// rs1_val==858993460 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x4, x3, 544, x1) - -inst_147: -// rs1_val==858993460 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333c, 0x33333334, 0x2c, x3, 548, x1) - -inst_148: -// rs1_val==858993460 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 552, x1) - -inst_149: -// rs1_val==858993460 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x665, x3, 556, x1) - -inst_150: -// rs1_val==858993460 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x332, x3, 560, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333774, 0x33333334, 0x554, x3, 564, x1) - -inst_152: -// rs1_val==858993460 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 568, x1) - -inst_153: -// rs1_val==858993460 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333d, 0x33333334, 0x2d, x3, 572, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333334, -0x2d, x3, 576, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x666, x3, 580, x1) - -inst_156: -// rs1_val==858993460 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x333, x3, 584, x1) - -inst_157: -// rs1_val==858993460 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333335, 0x33333334, 0x5, x3, 588, x1) - -inst_158: -// rs1_val==858993460 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbe, 0x33333334, -0x556, x3, 592, x1) - -inst_159: -// rs1_val==858993460 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x555, x3, 596, x1) - -inst_160: -// rs1_val==858993460 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 600, x1) - -inst_161: -// rs1_val==6 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2e, x3, 604, x1) - -inst_162: -// rs1_val==6 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x6, -0x2c, x3, 608, x1) - -inst_163: -// rs1_val==6 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x667, x3, 612, x1) - -inst_164: -// rs1_val==6 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x334, x3, 616, x1) - -inst_165: -// rs1_val==6 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x6, x3, 620, x1) - -inst_166: -// rs1_val==6 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x6, -0x555, x3, 624, x1) - -inst_167: -// rs1_val==6 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x556, x3, 628, x1) - -inst_168: -// rs1_val==6 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x4, x3, 632, x1) - -inst_169: -// rs1_val==6 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2c, x3, 636, x1) - -inst_170: -// rs1_val==6 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x0, x3, 640, x1) - -inst_171: -// rs1_val==6 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x665, x3, 644, x1) - -inst_172: -// rs1_val==6 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x332, x3, 648, x1) - -inst_173: -// rs1_val==6 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x554, x3, 652, x1) - -inst_174: -// rs1_val==6 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x2, x3, 656, x1) - -inst_175: -// rs1_val==6 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x6, 0x2d, x3, 660, x1) - -inst_176: -// rs1_val==6 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x6, -0x2d, x3, 664, x1) - -inst_177: -// rs1_val==6 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x6, 0x666, x3, 668, x1) - -inst_178: -// rs1_val==6 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x6, 0x333, x3, 672, x1) - -inst_179: -// rs1_val==6 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x5, x3, 676, x1) - -inst_180: -// rs1_val==6 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x6, -0x556, x3, 680, x1) - -inst_181: -// rs1_val==6 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x6, 0x555, x3, 684, x1) - -inst_182: -// rs1_val==6 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x3, x3, 688, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2e, x3, 692, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x2c, x3, 696, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x667, x3, 700, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbf, -0x55555555, 0x334, x3, 704, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x6, x3, 708, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x555, x3, 712, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x556, x3, 716, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 720, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2c, x3, 724, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 728, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x665, x3, 732, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x332, x3, 736, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 740, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x2, x3, 744, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2d, x3, 748, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555555, -0x2d, x3, 752, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x666, x3, 756, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x333, x3, 760, x1) - -inst_201: -// rs1_val==-1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x5, x3, 764, x1) - -inst_202: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x556, x3, 768, x1) - -inst_203: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x555, x3, 772, x1) - -inst_204: -// rs1_val==-1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x3, x3, 776, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2e, x3, 780, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x55555556, -0x2c, x3, 784, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x667, x3, 788, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x334, x3, 792, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x6, x3, 796, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555556, -0x555, x3, 800, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x556, x3, 804, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x4, x3, 808, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2c, x3, 812, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 816, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x665, x3, 820, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x332, x3, 824, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x554, x3, 828, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x2, x3, 832, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555556, 0x2d, x3, 836, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555556, -0x2d, x3, 840, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x666, x3, 844, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x333, x3, 848, x1) - -inst_223: -// rs1_val==1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x5, x3, 852, x1) - -inst_224: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555556, -0x556, x3, 856, x1) - -inst_225: -// rs1_val==1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x555, x3, 860, x1) - -inst_226: -// rs1_val==1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x3, x3, 864, x1) - -inst_227: -// rs1_val==4 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x4, 0x2e, x3, 868, x1) - -inst_228: -// rs1_val==4 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4, -0x2c, x3, 872, x1) - -inst_229: -// rs1_val==4 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x4, 0x667, x3, 876, x1) - -inst_230: -// rs1_val==4 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x4, 0x334, x3, 880, x1) - -inst_231: -// rs1_val==4 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x6, x3, 884, x1) - -inst_232: -// rs1_val==4 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 888, x1) - -inst_233: -// rs1_val==4 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x4, 0x556, x3, 892, x1) - -inst_234: -// rs1_val==4 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x4, x3, 896, x1) - -inst_235: -// rs1_val==4 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x4, 0x2c, x3, 900, x1) - -inst_236: -// rs1_val==4 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x0, x3, 904, x1) - -inst_237: -// rs1_val==4 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x4, 0x665, x3, 908, x1) - -inst_238: -// rs1_val==4 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x4, 0x332, x3, 912, x1) - -inst_239: -// rs1_val==4 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x4, 0x554, x3, 916, x1) - -inst_240: -// rs1_val==4 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x2, x3, 920, x1) - -inst_241: -// rs1_val==4 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x4, 0x2d, x3, 924, x1) - -inst_242: -// rs1_val==4 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 928, x1) - -inst_243: -// rs1_val==4 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x4, 0x666, x3, 932, x1) - -inst_244: -// rs1_val==4 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x4, 0x333, x3, 936, x1) - -inst_245: -// rs1_val==4 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x4, 0x5, x3, 940, x1) - -inst_246: -// rs1_val==4 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 944, x1) - -inst_247: -// rs1_val==4 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x4, 0x555, x3, 948, x1) - -inst_248: -// rs1_val==4 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x4, 0x3, x3, 952, x1) - -inst_249: -// rs1_val==46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2e, x3, 956, x1) - -inst_250: -// rs1_val==46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb503, -0x2c, x3, 960, x1) - -inst_251: -// rs1_val==46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x667, x3, 964, x1) - -inst_252: -// rs1_val==46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb503, 0x334, x3, 968, x1) - -inst_253: -// rs1_val==46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x6, x3, 972, x1) - -inst_254: -// rs1_val==46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x555, x3, 976, x1) - -inst_255: -// rs1_val==46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x556, x3, 980, x1) - -inst_256: -// rs1_val==46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x4, x3, 984, x1) - -inst_257: -// rs1_val==46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 988, x1) - -inst_258: -// rs1_val==46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x0, x3, 992, x1) - -inst_259: -// rs1_val==46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x665, x3, 996, x1) - -inst_260: -// rs1_val==46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x332, x3, 1000, x1) - -inst_261: -// rs1_val==46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x554, x3, 1004, x1) - -inst_262: -// rs1_val==46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x2, x3, 1008, x1) - -inst_263: -// rs1_val==46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2d, x3, 1012, x1) - -inst_264: -// rs1_val==46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0xb503, -0x2d, x3, 1016, x1) - -inst_265: -// rs1_val==46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x666, x3, 1020, x1) - -inst_266: -// rs1_val==46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x333, x3, 1024, x1) - -inst_267: -// rs1_val==46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x5, x3, 1028, x1) - -inst_268: -// rs1_val==46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x556, x3, 1032, x1) - -inst_269: -// rs1_val==46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x555, x3, 1036, x1) - -inst_270: -// rs1_val==46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x3, x3, 1040, x1) - -inst_271: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1044, x1) - -inst_272: -// rs1_val==0 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1048, x1) - -inst_273: -// rs1_val==0 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x0, 0x667, x3, 1052, x1) - -inst_274: -// rs1_val==0 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x0, 0x334, x3, 1056, x1) - -inst_275: -// rs1_val==0 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x0, 0x6, x3, 1060, x1) - -inst_276: -// rs1_val==0 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1064, x1) - -inst_277: -// rs1_val==0 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x0, 0x556, x3, 1068, x1) - -inst_278: -// rs1_val==0 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x0, 0x4, x3, 1072, x1) - -inst_279: -// rs1_val==0 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1076, x1) - -inst_280: -// rs1_val==0 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x0, 0x0, 0x0, x3, 1080, x1) - -inst_281: -// rs1_val==0 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x0, 0x665, x3, 1084, x1) - -inst_282: -// rs1_val==0 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x0, 0x332, x3, 1088, x1) - -inst_283: -// rs1_val==0 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x0, 0x554, x3, 1092, x1) - -inst_284: -// rs1_val==0 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x0, 0x2, x3, 1096, x1) - -inst_285: -// rs1_val==0 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1100, x1) - -inst_286: -// rs1_val==0 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1104, x1) - -inst_287: -// rs1_val==0 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x0, 0x666, x3, 1108, x1) - -inst_288: -// rs1_val==0 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x0, 0x333, x3, 1112, x1) - -inst_289: -// rs1_val==0 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x0, 0x5, x3, 1116, x1) - -inst_290: -// rs1_val==0 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1120, x1) - -inst_291: -// rs1_val==0 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x0, 0x555, x3, 1124, x1) - -inst_292: -// rs1_val==0 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x0, 0x3, x3, 1128, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666665, 0x2e, x3, 1132, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff5, 0x66666665, -0x2c, x3, 1136, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x667, x3, 1140, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x334, x3, 1144, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x6, x3, 1148, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x555, x3, 1152, x1) - -inst_299: -// rs1_val==1717986917 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x556, x3, 1156, x1) - -inst_300: -// rs1_val==1717986917 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x4, x3, 1160, x1) - -inst_301: -// rs1_val==1717986917 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2c, x3, 1164, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555556, -0x555, x3, 1168, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x556, x3, 1172, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1176, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2c, x3, 1180, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1184, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x665, x3, 1188, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabba, -0x55555556, 0x332, x3, 1192, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1196, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x2, x3, 1200, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x2d, x3, 1204, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555556, -0x2d, x3, 1208, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeee, -0x55555556, 0x666, x3, 1212, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555556, 0x333, x3, 1216, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1220, x1) - -inst_316: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, -0x55555556, -0x556, x3, 1224, x1) - -inst_317: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1228, x1) - -inst_318: -// rs1_val==-1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555556, 0x3, x3, 1232, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555555, 0x2e, x3, 1236, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x55555555, -0x2c, x3, 1240, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x667, x3, 1244, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x334, x3, 1248, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x6, x3, 1252, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x555, x3, 1256, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x556, x3, 1260, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x4, x3, 1264, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2c, x3, 1268, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1272, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x665, x3, 1276, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x332, x3, 1280, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x554, x3, 1284, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1288, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2d, x3, 1292, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555555, -0x2d, x3, 1296, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x666, x3, 1300, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x333, x3, 1304, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x5, x3, 1308, x1) - -inst_338: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x556, x3, 1312, x1) - -inst_339: -// rs1_val==1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x555, x3, 1316, x1) - -inst_340: -// rs1_val==1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x3, x3, 1320, x1) - -inst_341: -// rs1_val==3 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2e, x3, 1324, x1) - -inst_342: -// rs1_val==3 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1328, x1) - -inst_343: -// rs1_val==3 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x667, x3, 1332, x1) - -inst_344: -// rs1_val==3 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x3, 0x334, x3, 1336, x1) - -inst_345: -// rs1_val==3 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x6, x3, 1340, x1) - -inst_346: -// rs1_val==3 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x555, x3, 1344, x1) - -inst_347: -// rs1_val==3 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x556, x3, 1348, x1) - -inst_348: -// rs1_val==3 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x4, x3, 1352, x1) - -inst_349: -// rs1_val==3 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1356, x1) - -inst_350: -// rs1_val==3 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x0, x3, 1360, x1) - -inst_351: -// rs1_val==3 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x665, x3, 1364, x1) - -inst_352: -// rs1_val==3 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x332, x3, 1368, x1) - -inst_353: -// rs1_val==3 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x554, x3, 1372, x1) - -inst_354: -// rs1_val==3 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x2, x3, 1376, x1) - -inst_355: -// rs1_val==3 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2d, x3, 1380, x1) - -inst_356: -// rs1_val==3 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x3, -0x2d, x3, 1384, x1) - -inst_357: -// rs1_val==3 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x666, x3, 1388, x1) - -inst_358: -// rs1_val==3 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x333, x3, 1392, x1) - -inst_359: -// rs1_val==3 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x5, x3, 1396, x1) - -inst_360: -// rs1_val==3 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x556, x3, 1400, x1) - -inst_361: -// rs1_val==3 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x555, x3, 1404, x1) - -inst_362: -// rs1_val==3 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x3, x3, 1408, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1412, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x665, x3, 1416, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x332, x3, 1420, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x554, x3, 1424, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1428, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2d, x3, 1432, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666665, -0x2d, x3, 1436, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x666, x3, 1440, x1) - -inst_371: -// rs1_val==1717986917 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x333, x3, 1444, x1) - -inst_372: -// rs1_val==1717986917 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x5, x3, 1448, x1) - -inst_373: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x556, x3, 1452, x1) - -inst_374: -// rs1_val==1717986917 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x555, x3, 1456, x1) - -inst_375: -// rs1_val==1717986917 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x3, x3, 1460, x1) - -inst_376: -// rs1_val==858993458 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2e, x3, 1464, x1) - -inst_377: -// rs1_val==858993458 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x33333332, -0x2c, x3, 1468, x1) - -inst_378: -// rs1_val==858993458 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x667, x3, 1472, x1) - -inst_379: -// rs1_val==858993458 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x334, x3, 1476, x1) - -inst_380: -// rs1_val==858993458 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x6, x3, 1480, x1) - -inst_381: -// rs1_val==858993458 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333332, -0x555, x3, 1484, x1) - -inst_382: -// rs1_val==858993458 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x556, x3, 1488, x1) - -inst_383: -// rs1_val==858993458 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1492, x1) - -inst_384: -// rs1_val==858993458 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2c, x3, 1496, x1) - -inst_385: -// rs1_val==858993458 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1500, x1) - -inst_386: -// rs1_val==858993458 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x665, x3, 1504, x1) - -inst_387: -// rs1_val==858993458 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x332, x3, 1508, x1) - -inst_388: -// rs1_val==858993458 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x554, x3, 1512, x1) - -inst_389: -// rs1_val==858993458 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x2, x3, 1516, x1) - -inst_390: -// rs1_val==858993458 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333332, 0x2d, x3, 1520, x1) - -inst_391: -// rs1_val==858993458 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333332, -0x2d, x3, 1524, x1) - -inst_392: -// rs1_val==858993458 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x666, x3, 1528, x1) - -inst_393: -// rs1_val==858993458 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x333, x3, 1532, x1) - -inst_394: -// rs1_val==858993458 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1536, x1) - -inst_395: -// rs1_val==858993458 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbba, 0x33333332, -0x556, x3, 1540, x1) - -inst_396: -// rs1_val==858993458 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x555, x3, 1544, x1) - -inst_397: -// rs1_val==858993458 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x3, x3, 1548, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555554, 0x2e, x3, 1552, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x55555554, -0x2c, x3, 1556, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x667, x3, 1560, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555774, 0x55555554, 0x334, x3, 1564, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x6, x3, 1568, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555554, -0x555, x3, 1572, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x556, x3, 1576, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x4, x3, 1580, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557c, 0x55555554, 0x2c, x3, 1584, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1588, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555554, 0x665, x3, 1592, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x332, x3, 1596, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x554, x3, 1600, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1604, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555554, 0x2d, x3, 1608, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555554, -0x2d, x3, 1612, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x666, x3, 1616, x1) - -inst_415: -// rs1_val==1431655764 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x333, x3, 1620, x1) - -inst_416: -// rs1_val==1431655764 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x5, x3, 1624, x1) - -inst_417: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555554, -0x556, x3, 1628, x1) - -inst_418: -// rs1_val==1431655764 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x555, x3, 1632, x1) - -inst_419: -// rs1_val==1431655764 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1636, x1) - -inst_420: -// rs1_val==2 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2e, x3, 1640, x1) - -inst_421: -// rs1_val==2 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1644, x1) - -inst_422: -// rs1_val==2 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x667, x3, 1648, x1) - -inst_423: -// rs1_val==2 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x2, 0x334, x3, 1652, x1) - -inst_424: -// rs1_val==2 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x6, x3, 1656, x1) - -inst_425: -// rs1_val==2 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x2, -0x555, x3, 1660, x1) - -inst_426: -// rs1_val==2 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x556, x3, 1664, x1) - -inst_427: -// rs1_val==2 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x4, x3, 1668, x1) - -inst_428: -// rs1_val==2 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1672, x1) - -inst_429: -// rs1_val==2 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x0, x3, 1676, x1) - -inst_430: -// rs1_val==2 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x665, x3, 1680, x1) - -inst_431: -// rs1_val==2 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x2, 0x332, x3, 1684, x1) - -inst_432: -// rs1_val==2 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x554, x3, 1688, x1) - -inst_433: -// rs1_val==2 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1692, x1) - -inst_434: -// rs1_val==2 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x2, -0x2d, x3, 1696, x1) - -inst_435: -// rs1_val==2 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x2, 0x666, x3, 1700, x1) - -inst_436: -// rs1_val==2 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x2, 0x333, x3, 1704, x1) - -inst_437: -// rs1_val==2 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x2, 0x5, x3, 1708, x1) - -inst_438: -// rs1_val==2 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x2, -0x556, x3, 1712, x1) - -inst_439: -// rs1_val==2 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x2, 0x555, x3, 1716, x1) - -inst_440: -// rs1_val==2 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x2, 0x3, x3, 1720, x1) - -inst_441: -// rs1_val==46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52e, 0xb504, 0x2e, x3, 1724, x1) - -inst_442: -// rs1_val==46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0xb504, -0x2c, x3, 1728, x1) - -inst_443: -// rs1_val==46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb504, 0x667, x3, 1732, x1) - -inst_444: -// rs1_val==46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb734, 0xb504, 0x334, x3, 1736, x1) - -inst_445: -// rs1_val==46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x6, x3, 1740, x1) - -inst_446: -// rs1_val==46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb504, -0x555, x3, 1744, x1) - -inst_447: -// rs1_val==46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb556, 0xb504, 0x556, x3, 1748, x1) - -inst_448: -// rs1_val==46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x4, x3, 1752, x1) - -inst_449: -// rs1_val==46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52c, 0xb504, 0x2c, x3, 1756, x1) - -inst_450: -// rs1_val==46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1760, x1) - -inst_451: -// rs1_val==46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb504, 0x665, x3, 1764, x1) - -inst_452: -// rs1_val==46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb736, 0xb504, 0x332, x3, 1768, x1) - -inst_453: -// rs1_val==46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb554, 0xb504, 0x554, x3, 1772, x1) - -inst_454: -// rs1_val==46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1776, x1) - -inst_455: -// rs1_val==46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb504, 0x2d, x3, 1780, x1) - -inst_456: -// rs1_val==46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb504, -0x2d, x3, 1784, x1) - -inst_457: -// rs1_val==46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb766, 0xb504, 0x666, x3, 1788, x1) - -inst_458: -// rs1_val==46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb504, 0x333, x3, 1792, x1) - -inst_459: -// rs1_val==46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb504, 0x5, x3, 1796, x1) - -inst_460: -// rs1_val==46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffae, 0xb504, -0x556, x3, 1800, x1) - -inst_461: -// rs1_val==46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb504, 0x555, x3, 1804, x1) - -inst_462: -// rs1_val==46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1808, x1) - -inst_463: -// rs1_val==-46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2e, x3, 1812, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffc, -0xb504, -0x2c, x3, 1816, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb504, 0x667, x3, 1820, x1) - -inst_466: -// rs1_val==-46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfc, -0xb504, 0x334, x3, 1824, x1) - -inst_467: -// rs1_val==-46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x6, x3, 1828, x1) - -inst_468: -// rs1_val==-46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb504, -0x555, x3, 1832, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffe, -0xb504, 0x556, x3, 1836, x1) - -inst_470: -// rs1_val==-46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x4, x3, 1840, x1) - -inst_471: -// rs1_val==-46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x2c, x3, 1844, x1) - -inst_472: -// rs1_val==-46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1848, x1) - -inst_473: -// rs1_val==-46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb504, 0x665, x3, 1852, x1) - -inst_474: -// rs1_val==-46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfe, -0xb504, 0x332, x3, 1856, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffc, -0xb504, 0x554, x3, 1860, x1) - -inst_476: -// rs1_val==-46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1864, x1) - -inst_477: -// rs1_val==-46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x2d, x3, 1868, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb504, -0x2d, x3, 1872, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4efe, -0xb504, 0x666, x3, 1876, x1) - -inst_480: -// rs1_val==-46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb504, 0x333, x3, 1880, x1) - -inst_481: -// rs1_val==-46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x5, x3, 1884, x1) - -inst_482: -// rs1_val==-46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffafe, -0xb504, -0x556, x3, 1888, x1) - -inst_483: -// rs1_val==-46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb504, 0x555, x3, 1892, x1) - -inst_484: -// rs1_val==-46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1896, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2e, x3, 1900, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x66666666, -0x2c, x3, 1904, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x667, x3, 1908, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x334, x3, 1912, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x6, x3, 1916, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666666, -0x555, x3, 1920, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x556, x3, 1924, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x4, x3, 1928, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2c, x3, 1932, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1936, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x665, x3, 1940, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x332, x3, 1944, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x554, x3, 1948, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x2, x3, 1952, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666666, 0x2d, x3, 1956, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666666, -0x2d, x3, 1960, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x666, x3, 1964, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x333, x3, 1968, x1) - -inst_503: -// rs1_val==1717986918 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x5, x3, 1972, x1) - -inst_504: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeee, 0x66666666, -0x556, x3, 1976, x1) - -inst_505: -// rs1_val==1717986918 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x555, x3, 1980, x1) - -inst_506: -// rs1_val==1717986918 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x3, x3, 1984, x1) - -inst_507: -// rs1_val==858993459 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2e, x3, 1988, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333333, -0x2c, x3, 1992, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x667, x3, 1996, x1) - -inst_510: -// rs1_val==858993459 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x334, x3, 2000, x1) - -inst_511: -// rs1_val==858993459 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x6, x3, 2004, x1) - -inst_512: -// rs1_val==858993459 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x555, x3, 2008, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x556, x3, 2012, x1) - -inst_514: -// rs1_val==858993459 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2016, x1) - -inst_515: -// rs1_val==858993459 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2c, x3, 2020, x1) - -inst_516: -// rs1_val==858993459 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2024, x1) - -inst_517: -// rs1_val==858993459 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x665, x3, 2028, x1) - -inst_518: -// rs1_val==858993459 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x332, x3, 2032, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x554, x3, 2036, x1) - -inst_520: -// rs1_val==858993459 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x2, x3, 2040, x1) - -inst_521: -// rs1_val==858993459 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2d, x3, 2044, x1) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_522: -// rs1_val==858993459 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333333, -0x2d, x3, 0, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x666, x3, 4, x1) - -inst_524: -// rs1_val==858993459 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x333, x3, 8, x1) - -inst_525: -// rs1_val==858993459 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x5, x3, 12, x1) - -inst_526: -// rs1_val==858993459 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x556, x3, 16, x1) - -inst_527: -// rs1_val==858993459 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x555, x3, 20, x1) - -inst_528: -// rs1_val==858993459 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x3, x3, 24, x1) - -inst_529: -// rs1_val==5 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x5, 0x2e, x3, 28, x1) - -inst_530: -// rs1_val==5 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x5, -0x2c, x3, 32, x1) - -inst_531: -// rs1_val==5 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x667, x3, 36, x1) - -inst_532: -// rs1_val==5 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x335, 0x5, 0x334, x3, 40, x1) - -inst_533: -// rs1_val==5 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x6, x3, 44, x1) - -inst_534: -// rs1_val==5 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x555, x3, 48, x1) - -inst_535: -// rs1_val==5 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x5, 0x556, x3, 52, x1) - -inst_536: -// rs1_val==5 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x4, x3, 56, x1) - -inst_537: -// rs1_val==5 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2c, x3, 60, x1) - -inst_538: -// rs1_val==5 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x0, x3, 64, x1) - -inst_539: -// rs1_val==5 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x5, 0x665, x3, 68, x1) - -inst_540: -// rs1_val==5 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x332, x3, 72, x1) - -inst_541: -// rs1_val==5 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x554, x3, 76, x1) - -inst_542: -// rs1_val==5 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x2, x3, 80, x1) - -inst_543: -// rs1_val==5 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2d, x3, 84, x1) - -inst_544: -// rs1_val==5 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x5, -0x2d, x3, 88, x1) - -inst_545: -// rs1_val==5 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x666, x3, 92, x1) - -inst_546: -// rs1_val==5 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x333, x3, 96, x1) - -inst_547: -// rs1_val==5 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x5, x3, 100, x1) - -inst_548: -// rs1_val==5 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 104, x1) - -inst_549: -// rs1_val==5 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x555, x3, 108, x1) - -inst_550: -// rs1_val==5 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x3, x3, 112, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2e, x3, 116, x1) - -inst_552: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, -0x55555556, -0x2c, x3, 120, x1) - -inst_553: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x667, x3, 124, x1) - -inst_554: -// rs1_val==-1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbe, -0x55555556, 0x334, x3, 128, x1) - -inst_555: -// rs1_val==-1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x6, x3, 132, x1) - -inst_556: -// rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x10; dest:x11; op1val:0x7fffffff; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x7fffffff, 0x7fffffff, 0x3ff, x3, 136, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 35*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sb-align-01.S deleted file mode 100644 index 2684e12d0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sb-align-01.S +++ /dev/null @@ -1,465 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sb instruction of the RISC-V E extension for the sb-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sb-align) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2, rs1==x4, rs2==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode: sb; op1:x4; op2:x0; op2val:0x0; immval:0x8; align:0 -TEST_STORE(x3,x5,0,x4,x0,0x0,0x8,0,sb,0) - -inst_1: -// rs1==x8, rs2==x11, rs2_val == 2147483647, imm_val < 0, ea_align == 0 and (imm_val % 4) == 3, rs2_val == (2**(xlen-1)-1) -// opcode: sb; op1:x8; op2:x11; op2val:0x7fffffff; immval:-0x41; align:0 -TEST_STORE(x3,x5,0,x8,x11,0x7fffffff,-0x41,4,sb,0) - -inst_2: -// rs1==x1, rs2==x15, rs2_val == -1073741825, -// opcode: sb; op1:x1; op2:x15; op2val:-0x40000001; immval:-0x11; align:0 -TEST_STORE(x3,x5,0,x1,x15,-0x40000001,-0x11,8,sb,0) - -inst_3: -// rs1==x14, rs2==x2, rs2_val == -536870913, -// opcode: sb; op1:x14; op2:x2; op2val:-0x20000001; immval:-0x101; align:0 -TEST_STORE(x3,x5,0,x14,x2,-0x20000001,-0x101,12,sb,0) - -inst_4: -// rs1==x15, rs2==x8, rs2_val == -268435457, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sb; op1:x15; op2:x8; op2val:-0x10000001; immval:-0x3; align:0 -TEST_STORE(x3,x5,0,x15,x8,-0x10000001,-0x3,16,sb,0) - -inst_5: -// rs1==x6, rs2==x7, rs2_val == -134217729, -// opcode: sb; op1:x6; op2:x7; op2val:-0x8000001; immval:-0x8; align:0 -TEST_STORE(x3,x5,0,x6,x7,-0x8000001,-0x8,20,sb,0) - -inst_6: -// rs1==x2, rs2==x10, rs2_val == -67108865, -// opcode: sb; op1:x2; op2:x10; op2val:-0x4000001; immval:0x7ff; align:0 -TEST_STORE(x3,x5,0,x2,x10,-0x4000001,0x7ff,24,sb,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == -33554433, -// opcode: sb; op1:x13; op2:x6; op2val:-0x2000001; immval:0x555; align:0 -TEST_STORE(x3,x5,0,x13,x6,-0x2000001,0x555,28,sb,0) - -inst_8: -// rs1==x7, rs2==x4, rs2_val == -16777217, -// opcode: sb; op1:x7; op2:x4; op2val:-0x1000001; immval:0x100; align:0 -TEST_STORE(x3,x2,0,x7,x4,-0x1000001,0x100,32,sb,0) - -inst_9: -// rs1==x9, rs2==x1, rs2_val == -8388609, -// opcode: sb; op1:x9; op2:x1; op2val:-0x800001; immval:-0x3; align:0 -TEST_STORE(x3,x2,0,x9,x1,-0x800001,-0x3,36,sb,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x10, rs2==x12, rs2_val == -4194305, -// opcode: sb; op1:x10; op2:x12; op2val:-0x400001; immval:0x1; align:0 -TEST_STORE(x1,x2,0,x10,x12,-0x400001,0x1,0,sb,0) - -inst_11: -// rs1==x3, rs2==x13, rs2_val == -2097153, -// opcode: sb; op1:x3; op2:x13; op2val:-0x200001; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x3,x13,-0x200001,0x80,4,sb,0) - -inst_12: -// rs1==x11, rs2==x5, rs2_val == -1048577, -// opcode: sb; op1:x11; op2:x5; op2val:-0x100001; immval:-0x401; align:0 -TEST_STORE(x1,x2,0,x11,x5,-0x100001,-0x401,8,sb,0) - -inst_13: -// rs1==x5, rs2==x9, rs2_val == -524289, -// opcode: sb; op1:x5; op2:x9; op2val:-0x80001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x5,x9,-0x80001,-0x800,12,sb,0) - -inst_14: -// rs1==x12, rs2==x3, rs2_val == -262145, -// opcode: sb; op1:x12; op2:x3; op2val:-0x40001; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x12,x3,-0x40001,-0x9,16,sb,0) - -inst_15: -// rs2==x14, rs2_val == -131073, -// opcode: sb; op1:x4; op2:x14; op2val:-0x20001; immval:0x8; align:0 -TEST_STORE(x1,x2,0,x4,x14,-0x20001,0x8,20,sb,0) - -inst_16: -// rs2_val == -65537, -// opcode: sb; op1:x10; op2:x11; op2val:-0x10001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x10001,-0x800,24,sb,0) - -inst_17: -// rs2_val == -32769, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8001; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x9,28,sb,0) - -inst_18: -// rs2_val == -16385, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sb; op1:x10; op2:x11; op2val:-0x4001; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x4001,-0x556,32,sb,0) - -inst_19: -// rs2_val == -8193, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2001; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x7ff,36,sb,0) - -inst_20: -// rs2_val == -4097, -// opcode: sb; op1:x10; op2:x11; op2val:-0x1001; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x7,40,sb,0) - -inst_21: -// rs2_val == -2049, -// opcode: sb; op1:x10; op2:x11; op2val:-0x801; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x801,-0x800,44,sb,0) - -inst_22: -// rs2_val == -1025, -// opcode: sb; op1:x10; op2:x11; op2val:-0x401; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x401,0x9,48,sb,0) - -inst_23: -// rs2_val == -513, -// opcode: sb; op1:x10; op2:x11; op2val:-0x201; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x201,-0x3,52,sb,0) - -inst_24: -// rs2_val == -257, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x101,-0x41,56,sb,0) - -inst_25: -// rs2_val == -129, -// opcode: sb; op1:x10; op2:x11; op2val:-0x81; immval:0x2; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x81,0x2,60,sb,0) - -inst_26: -// rs2_val == -65, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x41,-0xa,64,sb,0) - -inst_27: -// rs2_val == -33, -// opcode: sb; op1:x10; op2:x11; op2val:-0x21; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x21,-0x41,68,sb,0) - -inst_28: -// rs2_val == -17, -// opcode: sb; op1:x10; op2:x11; op2val:-0x11; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x11,0x3,72,sb,0) - -inst_29: -// rs2_val == -9, -// opcode: sb; op1:x10; op2:x11; op2val:-0x9; immval:0x10; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x9,0x10,76,sb,0) - -inst_30: -// rs2_val == -5, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:-0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x5,-0x7,80,sb,0) - -inst_31: -// rs2_val == -3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x3; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x3,0x555,84,sb,0) - -inst_32: -// rs2_val == -2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2,-0xa,88,sb,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sb; op1:x10; op2:x11; op2val:-0x80000000; immval:-0x8; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x80000000,-0x8,92,sb,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000000,-0x5,96,sb,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000000,-0x41,100,sb,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0xa,104,sb,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x555,108,sb,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sb; op1:x10; op2:x11; op2val:0x4000000; immval:-0x81; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000000,-0x81,112,sb,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,116,sb,0) - -inst_40: -// rs2_val == 16777216, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000000,-0x41,120,sb,0) - -inst_41: -// rs2_val == 8388608, -// opcode: sb; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800000,-0x5,124,sb,0) - -inst_42: -// rs2_val == 4194304, -// opcode: sb; op1:x10; op2:x11; op2val:0x400000; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400000,-0x9,128,sb,0) - -inst_43: -// rs2_val == 2097152, -// opcode: sb; op1:x10; op2:x11; op2val:0x200000; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200000,0x7ff,132,sb,0) - -inst_44: -// rs2_val == 1048576, -// opcode: sb; op1:x10; op2:x11; op2val:0x100000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100000,-0x41,136,sb,0) - -inst_45: -// rs2_val == 524288, -// opcode: sb; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80000,-0x3,140,sb,0) - -inst_46: -// rs2_val == 262144, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000; immval:0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000,0x6,144,sb,0) - -inst_47: -// rs2_val == 131072, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000,0x80,148,sb,0) - -inst_48: -// rs2_val == 65536, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000,0x555,152,sb,0) - -inst_49: -// rs2_val == 32768, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x5,156,sb,0) - -inst_50: -// rs2_val == 1, -// opcode: sb; op1:x10; op2:x11; op2val:0x1; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1,0x400,160,sb,0) - -inst_51: -// rs2_val == -1431655766, -// opcode: sb; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x55555556,-0x6,164,sb,0) - -inst_52: -// rs2_val == 1431655765, -// opcode: sb; op1:x10; op2:x11; op2val:0x55555555; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x555,168,sb,0) - -inst_53: -// ea_align == 1 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:0x20; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x5,0x20,172,sb,1) - -inst_54: -// ea_align == 1 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:0x5; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x101,0x5,176,sb,1) - -inst_55: -// ea_align == 1 and (imm_val % 4) == 2, rs2_val == 8 -// opcode: sb; op1:x10; op2:x11; op2val:0x8; immval:-0x2; align:1 -TEST_STORE(x1,x2,0,x10,x11,0x8,-0x2,180,sb,1) - -inst_56: -// ea_align == 1 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:-0x201; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x8,-0x201,184,sb,1) - -inst_57: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,188,sb,2) - -inst_58: -// ea_align == 2 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:0x9; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x41,0x9,192,sb,2) - -inst_59: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:0x2; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x8,0x2,196,sb,2) - -inst_60: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x9; immval:-0x5; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x9,-0x5,200,sb,2) - -inst_61: -// ea_align == 3 and (imm_val % 4) == 0, rs2_val == 16 -// opcode: sb; op1:x10; op2:x11; op2val:0x10; immval:0x400; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10,0x400,204,sb,3) - -inst_62: -// ea_align == 3 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x200001; immval:0x555; align:3 -TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x555,208,sb,3) - -inst_63: -// ea_align == 3 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0x556; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0x556,212,sb,3) - -inst_64: -// rs2_val == 64, -// opcode: sb; op1:x10; op2:x11; op2val:0x40; immval:0x20; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40,0x20,216,sb,0) - -inst_65: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x3ff; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x3ff,220,sb,3) - -inst_66: -// rs2_val == 16384, imm_val == 0 -// opcode: sb; op1:x10; op2:x11; op2val:0x4000; immval:0x0; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000,0x0,224,sb,0) - -inst_67: -// rs2_val == 8192, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000; immval:0x40; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000,0x40,228,sb,0) - -inst_68: -// rs2_val == 4096, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000,0x9,232,sb,0) - -inst_69: -// rs2_val == 2048, -// opcode: sb; op1:x10; op2:x11; op2val:0x800; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800,-0x556,236,sb,0) - -inst_70: -// rs2_val == 512, -// opcode: sb; op1:x10; op2:x11; op2val:0x200; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200,0x5,240,sb,0) - -inst_71: -// rs2_val == 1024, -// opcode: sb; op1:x10; op2:x11; op2val:0x400; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400,-0x11,244,sb,0) - -inst_72: -// rs2_val == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x0; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x0,-0x11,248,sb,0) - -inst_73: -// rs2_val == 256, -// opcode: sb; op1:x10; op2:x11; op2val:0x100; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100,0x80,252,sb,0) - -inst_74: -// rs2_val == 128, -// opcode: sb; op1:x10; op2:x11; op2val:0x80; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80,0x7,256,sb,0) - -inst_75: -// rs2_val == 32, -// opcode: sb; op1:x10; op2:x11; op2val:0x20; immval:0x200; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20,0x200,260,sb,0) - -inst_76: -// rs2_val == 4, -// opcode: sb; op1:x10; op2:x11; op2val:0x4; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4,0x3,264,sb,0) - -inst_77: -// rs2_val == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2,0x400,268,sb,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 68*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sh-align-01.S deleted file mode 100644 index 6dd42781a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sh-align-01.S +++ /dev/null @@ -1,435 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sh instruction of the RISC-V E extension for the sh-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sh-align) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs1 != rs2, rs1==x6, rs2==x4, ea_align == 0 and (imm_val % 4) == 0, rs2_val == 1, imm_val > 0 -// opcode: sh; op1:x6; op2:x4; op2val:0x1; immval:0x4; align:0 -TEST_STORE(x8,x12,0,x6,x4,0x1,0x4,0,sh,0) - -inst_1: -// rs1==x10, rs2==x5, rs2_val == 2147483647, imm_val == 0, rs2_val == (2**(xlen-1)-1) -// opcode: sh; op1:x10; op2:x5; op2val:0x7fffffff; immval:0x0; align:0 -TEST_STORE(x8,x12,0,x10,x5,0x7fffffff,0x0,4,sh,0) - -inst_2: -// rs1==x9, rs2==x10, rs2_val == -1073741825, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sh; op1:x9; op2:x10; op2val:-0x40000001; immval:0x2; align:0 -TEST_STORE(x8,x12,0,x9,x10,-0x40000001,0x2,8,sh,0) - -inst_3: -// rs1==x2, rs2==x11, rs2_val == -536870913, imm_val < 0 -// opcode: sh; op1:x2; op2:x11; op2val:-0x20000001; immval:-0x8; align:0 -TEST_STORE(x8,x12,0,x2,x11,-0x20000001,-0x8,12,sh,0) - -inst_4: -// rs1==x13, rs2==x1, rs2_val == -268435457, -// opcode: sh; op1:x13; op2:x1; op2val:-0x10000001; immval:0x8; align:0 -TEST_STORE(x8,x12,0,x13,x1,-0x10000001,0x8,16,sh,0) - -inst_5: -// rs1==x7, rs2==x3, rs2_val == -134217729, -// opcode: sh; op1:x7; op2:x3; op2val:-0x8000001; immval:0x80; align:0 -TEST_STORE(x8,x12,0,x7,x3,-0x8000001,0x80,20,sh,0) - -inst_6: -// rs1==x3, rs2==x6, rs2_val == -67108865, -// opcode: sh; op1:x3; op2:x6; op2val:-0x4000001; immval:0x6; align:0 -TEST_STORE(x8,x9,0,x3,x6,-0x4000001,0x6,24,sh,0) - -inst_7: -// rs1==x12, rs2==x2, rs2_val == -33554433, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sh; op1:x12; op2:x2; op2val:-0x2000001; immval:0x7; align:0 -TEST_STORE(x8,x9,0,x12,x2,-0x2000001,0x7,28,sh,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x5, rs2==x13, rs2_val == -16777217, -// opcode: sh; op1:x5; op2:x13; op2val:-0x1000001; immval:-0x800; align:0 -TEST_STORE(x2,x9,0,x5,x13,-0x1000001,-0x800,0,sh,0) - -inst_9: -// rs1==x1, rs2==x15, rs2_val == -8388609, -// opcode: sh; op1:x1; op2:x15; op2val:-0x800001; immval:0x3; align:0 -TEST_STORE(x2,x9,0,x1,x15,-0x800001,0x3,4,sh,0) - -inst_10: -// rs1==x15, rs2==x7, rs2_val == -4194305, -// opcode: sh; op1:x15; op2:x7; op2val:-0x400001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x15,x7,-0x400001,0x40,8,sh,0) - -inst_11: -// rs1==x14, rs2==x12, rs2_val == -2097153, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sh; op1:x14; op2:x12; op2val:-0x200001; immval:0x5; align:0 -TEST_STORE(x2,x9,0,x14,x12,-0x200001,0x5,12,sh,0) - -inst_12: -// rs1==x4, rs2==x14, rs2_val == -1048577, -// opcode: sh; op1:x4; op2:x14; op2val:-0x100001; immval:-0x41; align:0 -TEST_STORE(x2,x9,0,x4,x14,-0x100001,-0x41,16,sh,0) - -inst_13: -// rs1==x11, rs2==x0, rs2_val == -524289, -// opcode: sh; op1:x11; op2:x0; op2val:0x0; immval:-0x5; align:0 -TEST_STORE(x2,x3,0,x11,x0,0x0,-0x5,20,sh,0) - -inst_14: -// rs1==x8, rs2==x9, rs2_val == -262145, -// opcode: sh; op1:x8; op2:x9; op2val:-0x40001; immval:-0x400; align:0 -TEST_STORE(x2,x3,0,x8,x9,-0x40001,-0x400,24,sh,0) - -inst_15: -// rs2==x8, rs2_val == -131073, -// opcode: sh; op1:x11; op2:x8; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x2,x3,0,x11,x8,-0x20001,0x20,28,sh,0) - -inst_16: -// rs2_val == -65537, -// opcode: sh; op1:x10; op2:x11; op2val:-0x10001; immval:-0x9; align:0 -TEST_STORE(x2,x3,0,x10,x11,-0x10001,-0x9,32,sh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, -// opcode: sh; op1:x10; op2:x11; op2val:-0x8001; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x9,0,sh,0) - -inst_18: -// rs2_val == -16385, -// opcode: sh; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,4,sh,0) - -inst_19: -// rs2_val == -8193, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2001; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,-0x2,8,sh,0) - -inst_20: -// rs2_val == -4097, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1001; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x10,12,sh,0) - -inst_21: -// rs2_val == -2049, -// opcode: sh; op1:x10; op2:x11; op2val:-0x801; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,-0x556,16,sh,0) - -inst_22: -// rs2_val == -1025, -// opcode: sh; op1:x10; op2:x11; op2val:-0x401; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x8,20,sh,0) - -inst_23: -// rs2_val == -513, -// opcode: sh; op1:x10; op2:x11; op2val:-0x201; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,-0x800,24,sh,0) - -inst_24: -// rs2_val == -257, -// opcode: sh; op1:x10; op2:x11; op2val:-0x101; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x2,28,sh,0) - -inst_25: -// rs2_val == -129, -// opcode: sh; op1:x10; op2:x11; op2val:-0x81; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x40,32,sh,0) - -inst_26: -// rs2_val == -65, -// opcode: sh; op1:x10; op2:x11; op2val:-0x41; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,0x100,36,sh,0) - -inst_27: -// rs2_val == -33, -// opcode: sh; op1:x10; op2:x11; op2val:-0x21; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,-0x81,40,sh,0) - -inst_28: -// rs2_val == -17, -// opcode: sh; op1:x10; op2:x11; op2val:-0x11; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,-0x401,44,sh,0) - -inst_29: -// rs2_val == -9, -// opcode: sh; op1:x10; op2:x11; op2val:-0x9; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0x800,48,sh,0) - -inst_30: -// rs2_val == -5, -// opcode: sh; op1:x10; op2:x11; op2val:-0x5; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,-0x800,52,sh,0) - -inst_31: -// rs2_val == -3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x3; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x200,56,sh,0) - -inst_32: -// rs2_val == -2, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x21,60,sh,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sh; op1:x10; op2:x11; op2val:-0x80000000; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x40,64,sh,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000000; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x101,68,sh,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,0x2,72,sh,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x401,76,sh,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,-0xa,80,sh,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000000; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000000,0x200,84,sh,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000000; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,-0x81,88,sh,0) - -inst_40: -// rs2_val == -1431655766, -// opcode: sh; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,92,sh,0) - -inst_41: -// rs2_val == 1431655765, -// opcode: sh; op1:x10; op2:x11; op2val:0x55555555; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,-0x9,96,sh,0) - -inst_42: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1000001; immval:-0x400; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x1000001,-0x400,100,sh,2) - -inst_43: -// ea_align == 2 and (imm_val % 4) == 1, rs2_val == 2048 -// opcode: sh; op1:x10; op2:x11; op2val:0x800; immval:-0x7; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x7,104,sh,2) - -inst_44: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x3; immval:-0x556; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x3,-0x556,108,sh,2) - -inst_45: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x6; immval:-0x1; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x6,-0x1,112,sh,2) - -inst_46: -// rs2_val == 0, -// opcode: sh; op1:x10; op2:x11; op2val:0x0; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0x81,116,sh,0) - -inst_47: -// rs2_val == 16777216, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,-0x9,120,sh,0) - -inst_48: -// rs2_val == 8388608, -// opcode: sh; op1:x10; op2:x11; op2val:0x800000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x2,124,sh,0) - -inst_49: -// rs2_val == 4194304, -// opcode: sh; op1:x10; op2:x11; op2val:0x400000; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,-0x11,128,sh,0) - -inst_50: -// rs2_val == 2097152, -// opcode: sh; op1:x10; op2:x11; op2val:0x200000; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,0x9,132,sh,0) - -inst_51: -// rs2_val == 1048576, -// opcode: sh; op1:x10; op2:x11; op2val:0x100000; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,0x4,136,sh,0) - -inst_52: -// rs2_val == 524288, -// opcode: sh; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,-0x3,140,sh,0) - -inst_53: -// rs2_val == 262144, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x100,144,sh,0) - -inst_54: -// rs2_val == 131072, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x201,148,sh,0) - -inst_55: -// rs2_val == 65536, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x6,152,sh,0) - -inst_56: -// rs2_val == 32768, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,0x100,156,sh,0) - -inst_57: -// rs2_val == 16384, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x400,160,sh,0) - -inst_58: -// rs2_val == 8192, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,164,sh,0) - -inst_59: -// rs2_val == 4096, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,-0x3,168,sh,0) - -inst_60: -// rs2_val == 1024, -// opcode: sh; op1:x10; op2:x11; op2val:0x400; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x81,172,sh,0) - -inst_61: -// rs2_val == 512, -// opcode: sh; op1:x10; op2:x11; op2val:0x200; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x40,176,sh,0) - -inst_62: -// rs2_val == 256, -// opcode: sh; op1:x10; op2:x11; op2val:0x100; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x800,180,sh,0) - -inst_63: -// rs2_val == 128, -// opcode: sh; op1:x10; op2:x11; op2val:0x80; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x6,184,sh,0) - -inst_64: -// rs2_val == 64, -// opcode: sh; op1:x10; op2:x11; op2val:0x40; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,-0x11,188,sh,0) - -inst_65: -// rs2_val == 32, -// opcode: sh; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,192,sh,0) - -inst_66: -// rs2_val == 16, -// opcode: sh; op1:x10; op2:x11; op2val:0x10; immval:-0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,-0x7,196,sh,0) - -inst_67: -// rs2_val == 8, -// opcode: sh; op1:x10; op2:x11; op2val:0x8; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,-0x3,200,sh,0) - -inst_68: -// rs2_val == 4, -// opcode: sh; op1:x10; op2:x11; op2val:0x4; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x4,204,sh,0) - -inst_69: -// rs2_val == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,0x400,208,sh,0) - -inst_70: -// rs2_val == -524289, -// opcode: sh; op1:x10; op2:x11; op2val:-0x80001; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80001,-0x5,212,sh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sll-01.S deleted file mode 100644 index af03abc7f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sll-01.S +++ /dev/null @@ -1,520 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sll instruction of the RISC-V E extension for the sll covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sll) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x13, rd==x1, rs1_val < 0 and rs2_val == 0, -// opcode: sll ; op1:x6; op2:x13; dest:x1; op1val:-0x40000000; op2val:0x0 -TEST_RR_OP(sll, x1, x6, x13, 0xc0000000, -0x40000000, 0x0, x2, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x4, rs2==x1, rd==x4, rs2_val == 15, rs1_val == -17, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x4; op2:x1; dest:x4; op1val:-0x11; op2val:0xf -TEST_RR_OP(sll, x4, x4, x1, 0xfff78000, -0x11, 0xf, x2, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x11, rd==x11, rs2_val == 23, rs1_val == -257 -// opcode: sll ; op1:x9; op2:x11; dest:x11; op1val:-0x101; op2val:0x17 -TEST_RR_OP(sll, x11, x9, x11, 0x7f800000, -0x101, 0x17, x2, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x9, rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x12; op2:x12; dest:x9; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sll, x9, x12, x12, 0xc0000000, -0xb504, -0xb504, x2, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(sll, x0, x0, x0, 0, 0x0, 0x0, x2, 16, x7) - -inst_5: -// rs1==x5, rs2==x4, rd==x15, rs2_val == 30, rs1_val == -65 -// opcode: sll ; op1:x5; op2:x4; dest:x15; op1val:-0x41; op2val:0x1e -TEST_RR_OP(sll, x15, x5, x4, 0xc0000000, -0x41, 0x1e, x2, 20, x7) - -inst_6: -// rs1==x1, rs2==x10, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x1; op2:x10; dest:x3; op1val:0x7fffffff; op2val:0x9 -TEST_RR_OP(sll, x3, x1, x10, 0xfffffe00, 0x7fffffff, 0x9, x2, 24, x7) - -inst_7: -// rs1==x8, rs2==x15, rd==x10, rs1_val == -1073741825, -// opcode: sll ; op1:x8; op2:x15; dest:x10; op1val:-0x40000001; op2val:0x11 -TEST_RR_OP(sll, x10, x8, x15, 0xfffe0000, -0x40000001, 0x11, x2, 28, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x13, rs2==x6, rd==x12, rs1_val == -536870913, rs2_val == 1 -// opcode: sll ; op1:x13; op2:x6; dest:x12; op1val:-0x20000001; op2val:0x1 -TEST_RR_OP(sll, x12, x13, x6, 0xbffffffe, -0x20000001, 0x1, x1, 0, x4) - -inst_9: -// rs1==x3, rs2==x9, rd==x5, rs1_val == -268435457, -// opcode: sll ; op1:x3; op2:x9; dest:x5; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(sll, x5, x3, x9, 0xffffc000, -0x10000001, 0xe, x1, 4, x4) - -inst_10: -// rs1==x7, rs2==x2, rd==x13, rs1_val == -134217729, -// opcode: sll ; op1:x7; op2:x2; dest:x13; op1val:-0x8000001; op2val:0x1e -TEST_RR_OP(sll, x13, x7, x2, 0xc0000000, -0x8000001, 0x1e, x1, 8, x4) - -inst_11: -// rs1==x11, rs2==x7, rd==x8, rs1_val == -67108865, -// opcode: sll ; op1:x11; op2:x7; dest:x8; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sll, x8, x11, x7, 0xff800000, -0x4000001, 0x17, x1, 12, x4) - -inst_12: -// rs1==x10, rs2==x14, rd==x7, rs1_val == -33554433, rs2_val == 8 -// opcode: sll ; op1:x10; op2:x14; dest:x7; op1val:-0x2000001; op2val:0x8 -TEST_RR_OP(sll, x7, x10, x14, 0xffffff00, -0x2000001, 0x8, x1, 16, x4) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_13: -// rs1==x14, rs2==x8, rd==x6, rs1_val == -16777217, -// opcode: sll ; op1:x14; op2:x8; dest:x6; op1val:-0x1000001; op2val:0xd -TEST_RR_OP(sll, x6, x14, x8, 0xffffe000, -0x1000001, 0xd, x1, 0, x4) - -inst_14: -// rs1==x15, rs2==x3, rd==x2, rs1_val == -8388609, rs2_val == 21 -// opcode: sll ; op1:x15; op2:x3; dest:x2; op1val:-0x800001; op2val:0x15 -TEST_RR_OP(sll, x2, x15, x3, 0xffe00000, -0x800001, 0x15, x1, 4, x4) - -inst_15: -// rs1==x2, rs2==x5, rd==x14, rs1_val == -4194305, -// opcode: sll ; op1:x2; op2:x5; dest:x14; op1val:-0x400001; op2val:0xb -TEST_RR_OP(sll, x14, x2, x5, 0xfffff800, -0x400001, 0xb, x1, 8, x4) - -inst_16: -// rs1_val == -2097153, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0xfffff800, -0x200001, 0xb, x1, 12, x4) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xffbffffc, -0x100001, 0x2, x1, 16, x2) - -inst_18: -// rs1_val == -524289, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x9 -TEST_RR_OP(sll, x12, x10, x11, 0xeffffe00, -0x80001, 0x9, x1, 20, x2) - -inst_19: -// rs1_val == -262145, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xffff8000, -0x40001, 0xf, x1, 24, x2) - -inst_20: -// rs1_val == -131073, rs2_val == 10 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0xf7fffc00, -0x20001, 0xa, x1, 28, x2) - -inst_21: -// rs1_val == -65537, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffbfffc, -0x10001, 0x2, x1, 32, x2) - -inst_22: -// rs1_val == -32769, rs2_val == 4 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xfff7fff0, -0x8001, 0x4, x1, 36, x2) - -inst_23: -// rs1_val == -16385, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfff, -0x4001, 0x0, x1, 40, x2) - -inst_24: -// rs1_val == -8193, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xd -TEST_RR_OP(sll, x12, x10, x11, 0xfbffe000, -0x2001, 0xd, x1, 44, x2) - -inst_25: -// rs1_val == -4097, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1e -TEST_RR_OP(sll, x12, x10, x11, 0xc0000000, -0x1001, 0x1e, x1, 48, x2) - -inst_26: -// rs1_val == -2049, rs2_val == 16 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xf7ff0000, -0x801, 0x10, x1, 52, x2) - -inst_27: -// rs1_val == -1025, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffff7fe0, -0x401, 0x5, x1, 56, x2) - -inst_28: -// rs1_val == -513, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfe0, -0x201, 0x5, x1, 60, x2) - -inst_29: -// rs1_val == -129, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xff7f0000, -0x81, 0x10, x1, 64, x2) - -inst_30: -// rs1_val == -33, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xffdf0000, -0x21, 0x10, x1, 68, x2) - -inst_31: -// rs1_val == -9, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xfff70000, -0x9, 0x10, x1, 72, x2) - -inst_32: -// rs1_val == -5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xfffd8000, -0x5, 0xf, x1, 76, x2) - -inst_33: -// rs1_val == -3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xffffffd0, -0x3, 0x4, x1, 80, x2) - -inst_34: -// rs1_val == -2, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffffff8, -0x2, 0x2, x1, 84, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, -0x80000000, 0x1f, x1, 88, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000000, 0x17, x1, 92, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000000, 0xb, x1, 96, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(sll, x12, x10, x11, 0x20000000, 0x10000000, 0x1, x1, 100, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8000000, 0x13, x1, 104, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4000000, 0x5, x1, 108, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2000000, 0x3, x1, 112, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x1000000, 0xa, x1, 116, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x800000, 0x4, x1, 120, x2) - -inst_44: -// rs1_val == 4194304, rs1_val > 0 and rs2_val == 0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x400000, 0x0, x1, 124, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200000, 0x12, x1, 128, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x100000, 0x1f, x1, 132, x2) - -inst_47: -// rs1_val == 524288, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x4000000, 0x80000, 0x7, x1, 136, x2) - -inst_48: -// rs1_val == 262144, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000, 0x1b, x1, 140, x2) - -inst_49: -// rs1_val == 131072, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000, 0x10, x1, 144, x2) - -inst_50: -// rs1_val == 65536, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x10000, 0x11, x1, 148, x2) - -inst_51: -// rs1_val == 32768, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x8000, 0xc, x1, 152, x2) - -inst_52: -// rs1_val == 16384, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x4000, 0x12, x1, 156, x2) - -inst_53: -// rs1_val == 8192, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x2000, 0x8, x1, 160, x2) - -inst_54: -// rs1_val == 4096, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x1000, 0xa, x1, 164, x2) - -inst_55: -// rs1_val == 2048, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x800, 0xa, x1, 168, x2) - -inst_56: -// rs1_val == 1024, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x400, 0x11, x1, 172, x2) - -inst_57: -// rs1_val == 512, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200, 0x17, x1, 176, x2) - -inst_58: -// rs1_val == 256, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x100, 0x7, x1, 180, x2) - -inst_59: -// rs1_val == 128, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x80, 0x1f, x1, 184, x2) - -inst_60: -// rs1_val == 64, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x10000, 0x40, 0xa, x1, 188, x2) - -inst_61: -// rs1_val == 32, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x20, 0xa, x1, 192, x2) - -inst_62: -// rs1_val == 16, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x10, 0x17, x1, 196, x2) - -inst_63: -// rs1_val == 8, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8, 0x1d, x1, 200, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2, 0x1b, x1, 204, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x1, 0x1f, x1, 208, x2) - -inst_66: -// rs1_val==46341, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xa8280000, 0xb505, 0x13, x1, 212, x2) - -inst_67: -// rs1_val==-46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0xff4afd00, -0xb503, 0x8, x1, 216, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x9999999c, 0x66666667, 0x2, x1, 220, x2) - -inst_69: -// rs1_val==858993460, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x66666680, 0x33333334, 0x5, x1, 224, x2) - -inst_70: -// rs1_val==6, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0xc0000, 0x6, 0x11, x1, 228, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x55560000, -0x55555555, 0x11, x1, 232, x2) - -inst_72: -// rs1_val==1431655766, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xaab00000, 0x55555556, 0x13, x1, 236, x2) - -inst_73: -// rs1_val==46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 240, x2) - -inst_74: -// rs1_val==3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x60000, 0x3, 0x11, x1, 244, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xaaaa0000, -0x55555556, 0x10, x1, 248, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x55540000, 0x55555555, 0x12, x1, 252, x2) - -inst_77: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x0, 0xc, x1, 256, x2) - -inst_78: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x8, 0x2, 0x2, x1, 260, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x66666500, 0x66666665, 0x8, x1, 264, x2) - -inst_80: -// rs1_val==858993458, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xe -TEST_RR_OP(sll, x12, x10, x11, 0xcccc8000, 0x33333332, 0xe, x1, 268, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 272, x2) - -inst_82: -// rs1_val==46340, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0xd4100000, 0xb504, 0x12, x1, 276, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x15 -TEST_RR_OP(sll, x12, x10, x11, 0xccc00000, 0x66666666, 0x15, x1, 280, x2) - -inst_84: -// rs1_val==858993459, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sll, x12, x10, x11, 0xccccccc0, 0x33333333, 0x6, x1, 284, x2) - -inst_85: -// rs1_val==5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x1400, 0x5, 0xa, x1, 288, x2) - -inst_86: -// rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0xe0000000, -0xb504, 0x1b, x1, 292, x2) - -inst_87: -// rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4, 0x1d, x1, 296, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 75*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slli-01.S deleted file mode 100644 index 13226b35c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slli-01.S +++ /dev/null @@ -1,525 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slli instruction of the RISC-V E extension for the slli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slli) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rd, rs1==x2, rd==x10, rs1_val < 0 and imm_val == (xlen-1), rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x2; dest:x10; op1val:-0x1; immval:0x1f -TEST_IMM_OP( slli, x10, x2, 0x80000000, -0x1, 0x1f, x5, 0, x12) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, imm_val == 27, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0x1b -TEST_IMM_OP( slli, x3, x3, 0xf8000000, 0x7fffffff, 0x1b, x5, 4, x12) - -inst_2: -// rs1==x9, rd==x14, rs1_val == -1073741825, -// opcode: slli ; op1:x9; dest:x14; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( slli, x14, x9, 0xffffff80, -0x40000001, 0x7, x5, 8, x12) - -inst_3: -// rs1==x7, rd==x8, rs1_val == -536870913, imm_val == 1 -// opcode: slli ; op1:x7; dest:x8; op1val:-0x20000001; immval:0x1 -TEST_IMM_OP( slli, x8, x7, 0xbffffffe, -0x20000001, 0x1, x5, 12, x12) - -inst_4: -// rs1==x4, rd==x6, rs1_val == -268435457, -// opcode: slli ; op1:x4; dest:x6; op1val:-0x10000001; immval:0x12 -TEST_IMM_OP( slli, x6, x4, 0xfffc0000, -0x10000001, 0x12, x5, 16, x12) - -inst_5: -// rs1==x11, rd==x7, rs1_val == -134217729, rs1_val < 0 and imm_val == 0 -// opcode: slli ; op1:x11; dest:x7; op1val:-0x8000001; immval:0x0 -TEST_IMM_OP( slli, x7, x11, 0xf7ffffff, -0x8000001, 0x0, x5, 20, x12) - -inst_6: -// rs1==x0, rd==x1, rs1_val == -67108865, -// opcode: slli ; op1:x0; dest:x1; op1val:0x0; immval:0x7 -TEST_IMM_OP( slli, x1, x0, 0x0, 0x0, 0x7, x5, 24, x12) - -inst_7: -// rs1==x1, rd==x11, rs1_val == -33554433, imm_val == 15 -// opcode: slli ; op1:x1; dest:x11; op1val:-0x2000001; immval:0xf -TEST_IMM_OP( slli, x11, x1, 0xffff8000, -0x2000001, 0xf, x5, 28, x3) - -inst_8: -// rs1==x10, rd==x12, rs1_val == -16777217, -// opcode: slli ; op1:x10; dest:x12; op1val:-0x1000001; immval:0x13 -TEST_IMM_OP( slli, x12, x10, 0xfff80000, -0x1000001, 0x13, x5, 32, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x13, rd==x9, rs1_val == -8388609, imm_val == 16 -// opcode: slli ; op1:x13; dest:x9; op1val:-0x800001; immval:0x10 -TEST_IMM_OP( slli, x9, x13, 0xffff0000, -0x800001, 0x10, x1, 0, x3) - -inst_10: -// rs1==x5, rd==x13, rs1_val == -4194305, -// opcode: slli ; op1:x5; dest:x13; op1val:-0x400001; immval:0x1f -TEST_IMM_OP( slli, x13, x5, 0x80000000, -0x400001, 0x1f, x1, 4, x3) - -inst_11: -// rs1==x8, rd==x15, rs1_val == -2097153, -// opcode: slli ; op1:x8; dest:x15; op1val:-0x200001; immval:0x1b -TEST_IMM_OP( slli, x15, x8, 0xf8000000, -0x200001, 0x1b, x1, 8, x3) - -inst_12: -// rs1==x15, rd==x4, rs1_val == -1048577, -// opcode: slli ; op1:x15; dest:x4; op1val:-0x100001; immval:0xc -TEST_IMM_OP( slli, x4, x15, 0xfffff000, -0x100001, 0xc, x1, 12, x3) - -inst_13: -// rs1==x14, rd==x5, rs1_val == -524289, -// opcode: slli ; op1:x14; dest:x5; op1val:-0x80001; immval:0x9 -TEST_IMM_OP( slli, x5, x14, 0xeffffe00, -0x80001, 0x9, x1, 16, x3) - -inst_14: -// rs1==x6, rd==x2, rs1_val == -262145, -// opcode: slli ; op1:x6; dest:x2; op1val:-0x40001; immval:0x0 -TEST_IMM_OP( slli, x2, x6, 0xfffbffff, -0x40001, 0x0, x1, 20, x3) - -inst_15: -// rs1==x12, rd==x0, rs1_val == -131073, -// opcode: slli ; op1:x12; dest:x0; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x0, x12, 0, -0x20001, 0x10, x1, 24, x2) - -inst_16: -// rs1_val == -65537, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0xf7fff800, -0x10001, 0xb, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_17: -// rs1_val == -32769, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfffe0000, -0x8001, 0x11, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0xf8000000, -0x4001, 0x1b, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xbffe0000, -0x2001, 0x11, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == 29 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xe0000000, -0x1001, 0x1d, x1, 12, x2) - -inst_21: -// rs1_val == -2049, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x801; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xfdffc000, -0x801, 0xe, x1, 16, x2) - -inst_22: -// rs1_val == -1025, imm_val == 23 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x401; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0xff800000, -0x401, 0x17, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x201; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xff7fc000, -0x201, 0xe, x1, 24, x2) - -inst_24: -// rs1_val == -257, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x101; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfdfe0000, -0x101, 0x11, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffdfc000, -0x81, 0xe, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x41; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffefc000, -0x41, 0xe, x1, 36, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slli, x11, x10, 0xffffdf00, -0x21, 0x8, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0xfffffbc0, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, imm_val == 4 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0xffffff70, -0x9, 0x4, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x5; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xfffb0000, -0x5, 0x10, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( slli, x11, x10, 0xfffffa00, -0x3, 0x9, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff00, -0x2, 0x7, x1, 60, x2) - -inst_33: -// imm_val == 30, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x0; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x0, 0x1e, x1, 64, x2) - -inst_34: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x0, -0x80000000, 0x17, x1, 68, x2) - -inst_35: -// rs1_val == 1073741824, imm_val == 21 -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000000, 0x15, x1, 72, x2) - -inst_36: -// rs1_val == 536870912, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000000, 0x10, x1, 76, x2) - -inst_37: -// rs1_val == 268435456, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x10000000, 0x7, x1, 80, x2) - -inst_38: -// rs1_val == 134217728, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x8000000, 0x1e, x1, 84, x2) - -inst_39: -// rs1_val == 67108864, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x4000000, 0xf, x1, 88, x2) - -inst_40: -// rs1_val == 33554432, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x2000000, 0x1e, x1, 92, x2) - -inst_41: -// rs1_val == 16777216, rs1_val > 0 and imm_val == (xlen-1) -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000000; immval:0x1f -TEST_IMM_OP( slli, x11, x10, 0x0, 0x1000000, 0x1f, x1, 96, x2) - -inst_42: -// rs1_val == 8388608, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800000, 0x15, x1, 100, x2) - -inst_43: -// rs1_val == 4194304, rs1_val > 0 and imm_val == 0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 2097152, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200000; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x200000, 0x6, x1, 108, x2) - -inst_45: -// rs1_val == 1048576, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100000; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x100000, 0x11, x1, 112, x2) - -inst_46: -// rs1_val == 524288, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80000, 0x1e, x1, 116, x2) - -inst_47: -// rs1_val == 262144, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000, 0x13, x1, 120, x2) - -inst_48: -// rs1_val == 131072, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000, 0xf, x1, 124, x2) - -inst_49: -// rs1_val == 65536, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x40000000, 0x10000, 0xe, x1, 128, x2) - -inst_50: -// rs1_val == 32768, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x8000, 0xc, x1, 132, x2) - -inst_51: -// rs1_val == 16384, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x4000, 0x0, x1, 136, x2) - -inst_52: -// rs1_val == 8192, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x2000, 0x0, x1, 140, x2) - -inst_53: -// rs1_val == 4096, -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x1000, 0x1, x1, 144, x2) - -inst_54: -// rs1_val == 2048, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800, 0x15, x1, 148, x2) - -inst_55: -// rs1_val == 1024, -// opcode: slli ; op1:x10; dest:x11; op1val:0x400; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400, 0xc, x1, 152, x2) - -inst_56: -// rs1_val == 512, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200; immval:0x3 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x200, 0x3, x1, 156, x2) - -inst_57: -// rs1_val == 256, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x20000000, 0x100, 0x15, x1, 160, x2) - -inst_58: -// rs1_val == 128, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80, 0x1d, x1, 164, x2) - -inst_59: -// rs1_val == 64, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x40, 0x6, x1, 168, x2) - -inst_60: -// rs1_val == 32, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x10000, 0x20, 0xb, x1, 172, x2) - -inst_61: -// rs1_val == 16, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x10, 0x10, 0x0, x1, 176, x2) - -inst_62: -// rs1_val == 8, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x8, 0x13, x1, 180, x2) - -inst_63: -// rs1_val == 4, rs1_val==4, rs1_val == imm_val and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0x40, 0x4, 0x4, x1, 184, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: slli ; op1:x10; dest:x11; op1val:0x2; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x2, 0xb, x1, 188, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x1; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x1, 0xe, x1, 192, x2) - -inst_66: -// imm_val == 2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0x2 -TEST_IMM_OP( slli, x11, x10, 0xfffffdfc, -0x81, 0x2, x1, 196, x2) - -inst_67: -// rs1_val==46341, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb505; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x5a828000, 0xb505, 0xf, x1, 200, x2) - -inst_68: -// rs1_val==-46339, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x7e800000, -0xb503, 0x17, x1, 204, x2) - -inst_69: -// rs1_val==1717986919, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x38000000, 0x66666667, 0x1b, x1, 208, x2) - -inst_70: -// rs1_val==858993460, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333334; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x99a00000, 0x33333334, 0x13, x1, 212, x2) - -inst_71: -// rs1_val==6, -// opcode: slli ; op1:x10; dest:x11; op1val:0x6; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x3000, 0x6, 0xb, x1, 216, x2) - -inst_72: -// rs1_val==-1431655765, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xaaac0000, -0x55555555, 0x12, x1, 220, x2) - -inst_73: -// rs1_val==1431655766, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555556, 0x1e, x1, 224, x2) - -inst_74: -// rs1_val==3, -// opcode: slli ; op1:x10; dest:x11; op1val:0x3; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xc0000, 0x3, 0x12, x1, 228, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xaaaa0000, -0x55555556, 0x10, x1, 232, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555555; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xaaaaaa80, 0x55555555, 0x7, x1, 236, x2) - -inst_77: -// imm_val == 10, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xffbffc00, -0x1001, 0xa, x1, 240, x2) - -inst_78: -// rs1_val==46339, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x2d40c0, 0xb503, 0x6, x1, 244, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666665; immval:0xd -TEST_IMM_OP( slli, x11, x10, 0xcccca000, 0x66666665, 0xd, x1, 248, x2) - -inst_80: -// rs1_val==858993458, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x66666664, 0x33333332, 0x1, x1, 252, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555554, 0x1d, x1, 256, x2) - -inst_82: -// rs1_val==46340, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb504; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x6a080000, 0xb504, 0x11, x1, 260, x2) - -inst_83: -// rs1_val==-46340, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x57e00000, -0xb504, 0x13, x1, 264, x2) - -inst_84: -// rs1_val==1717986918, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xc0000000, 0x66666666, 0x1d, x1, 268, x2) - -inst_85: -// rs1_val==858993459, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333333; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xcccccc00, 0x33333333, 0xa, x1, 272, x2) - -inst_86: -// rs1_val==5, -// opcode: slli ; op1:x10; dest:x11; op1val:0x5; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x28000000, 0x5, 0x1b, x1, 276, x2) - -inst_87: -// rs1_val == -67108865, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4000001; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff80, -0x4000001, 0x7, x1, 280, x2) - -inst_88: -// rs1_val == -131073, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xffff0000, -0x20001, 0x10, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slt-01.S deleted file mode 100644 index 9a17362b8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slt-01.S +++ /dev/null @@ -1,2990 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slt instruction of the RISC-V E extension for the slt covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slt) - -RVTEST_SIGBASE( x12,signature_x12_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x10, rd==x7, rs1_val != rs2_val, rs2_val == -65537, rs1_val == -129, rs1_val < 0 and rs2_val < 0 -// opcode: slt ; op1:x5; op2:x10; dest:x7; op1val:-0x81; op2val:-0x10001 -TEST_RR_OP(slt, x7, x5, x10, 0x0, -0x81, -0x10001, x12, 0, x13) - -inst_1: -// rs1 == rd != rs2, rs1==x0, rs2==x6, rd==x0, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x0; op2:x6; dest:x0; op1val:0x0; op2val:0x7fffffff -TEST_RR_OP(slt, x0, x0, x6, 0, 0x0, 0x7fffffff, x12, 4, x13) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x8, rd==x8, rs2_val == -1073741825, rs1_val > 0 and rs2_val < 0, rs1_val == 512 -// opcode: slt ; op1:x9; op2:x8; dest:x8; op1val:0x200; op2val:-0x40000001 -TEST_RR_OP(slt, x8, x9, x8, 0x0, 0x200, -0x40000001, x12, 8, x13) - -inst_3: -// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x11, rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x4; op2:x4; dest:x11; op1val:-0x1001; op2val:-0x1001 -TEST_RR_OP(slt, x11, x4, x4, 0x0, -0x1001, -0x1001, x12, 12, x13) - -inst_4: -// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs2_val == -268435457, -// opcode: slt ; op1:x3; op2:x3; dest:x3; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x3, x3, x3, 0x0, -0x55555555, -0x55555555, x12, 16, x13) - -inst_5: -// rs1==x1, rs2==x0, rd==x4, rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x1; op2:x0; dest:x4; op1val:-0x10001; op2val:0x0 -TEST_RR_OP(slt, x4, x1, x0, 0x1, -0x10001, 0x0, x12, 20, x13) - -inst_6: -// rs1==x6, rs2==x2, rd==x5, rs2_val == -67108865, rs1_val == -33554433 -// opcode: slt ; op1:x6; op2:x2; dest:x5; op1val:-0x2000001; op2val:-0x4000001 -TEST_RR_OP(slt, x5, x6, x2, 0x0, -0x2000001, -0x4000001, x12, 24, x13) - -inst_7: -// rs1==x7, rs2==x11, rd==x13, rs2_val == -33554433, rs1_val == -2 -// opcode: slt ; op1:x7; op2:x11; dest:x13; op1val:-0x2; op2val:-0x2000001 -TEST_RR_OP(slt, x13, x7, x11, 0x0, -0x2, -0x2000001, x12, 28, x1) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rs2==x7, rd==x12, rs2_val == -16777217, rs1_val == 4096 -// opcode: slt ; op1:x15; op2:x7; dest:x12; op1val:0x1000; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x15, x7, 0x0, 0x1000, -0x1000001, x3, 0, x1) - -inst_9: -// rs1==x13, rs2==x5, rd==x2, rs2_val == -8388609, rs1_val == -268435457 -// opcode: slt ; op1:x13; op2:x5; dest:x2; op1val:-0x10000001; op2val:-0x800001 -TEST_RR_OP(slt, x2, x13, x5, 0x1, -0x10000001, -0x800001, x3, 4, x1) - -inst_10: -// rs1==x2, rs2==x9, rd==x15, rs2_val == -4194305, rs1_val == -1431655766 -// opcode: slt ; op1:x2; op2:x9; dest:x15; op1val:-0x55555556; op2val:-0x400001 -TEST_RR_OP(slt, x15, x2, x9, 0x1, -0x55555556, -0x400001, x3, 8, x1) - -inst_11: -// rs1==x8, rs2==x15, rd==x14, rs2_val == -2097153, rs1_val == -513 -// opcode: slt ; op1:x8; op2:x15; dest:x14; op1val:-0x201; op2val:-0x200001 -TEST_RR_OP(slt, x14, x8, x15, 0x0, -0x201, -0x200001, x3, 12, x1) - -inst_12: -// rs1==x10, rs2==x13, rd==x9, rs2_val == -1048577, rs1_val == 524288 -// opcode: slt ; op1:x10; op2:x13; dest:x9; op1val:0x80000; op2val:-0x100001 -TEST_RR_OP(slt, x9, x10, x13, 0x0, 0x80000, -0x100001, x3, 16, x1) - -inst_13: -// rs1==x12, rs2==x14, rd==x6, rs2_val == -524289, rs1_val == 0 -// opcode: slt ; op1:x12; op2:x14; dest:x6; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(slt, x6, x12, x14, 0x0, 0x0, -0x80001, x3, 20, x4) - -inst_14: -// rs1==x14, rs2==x1, rd==x10, rs2_val == -262145, rs1_val == -2049 -// opcode: slt ; op1:x14; op2:x1; dest:x10; op1val:-0x801; op2val:-0x40001 -TEST_RR_OP(slt, x10, x14, x1, 0x0, -0x801, -0x40001, x3, 24, x4) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_15: -// rs1==x11, rs2==x12, rd==x1, rs2_val == -131073, -// opcode: slt ; op1:x11; op2:x12; dest:x1; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(slt, x1, x11, x12, 0x0, 0x0, -0x20001, x2, 0, x4) - -inst_16: -// rs2_val == -32769, rs1_val == 16384 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000, -0x8001, x2, 4, x4) - -inst_17: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x4001, x2, 8, x4) - -inst_18: -// rs2_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x2001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x801, -0x2001, x2, 12, x4) - -inst_19: -// rs2_val == -4097, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x1001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x1001, x2, 16, x4) - -inst_20: -// rs2_val == -2049, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x801 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x801, x2, 20, x4) - -inst_21: -// rs2_val == -1025, rs1_val == -16385 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x401 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4001, -0x401, x2, 24, x4) - -inst_22: -// rs2_val == -513, rs1_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2000, -0x201, x2, 28, x4) - -inst_23: -// rs2_val == -257, rs1_val == -5 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x101 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x5, -0x101, x2, 32, x4) - -inst_24: -// rs2_val == -129, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x81, x2, 36, x4) - -inst_25: -// rs2_val == -65, rs1_val == -32769 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8001, -0x41, x2, 40, x4) - -inst_26: -// rs2_val == -33, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x21 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x10000001, -0x21, x2, 44, x4) - -inst_27: -// rs2_val == -17, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x11 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x11, x2, 48, x4) - -inst_28: -// rs2_val == -9, rs1_val == 536870912 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x9 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000000, -0x9, x2, 52, x4) - -inst_29: -// rs2_val == -5, rs1_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x80, -0x5, x2, 56, x4) - -inst_30: -// rs2_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x9, -0x3, x2, 60, x4) - -inst_31: -// rs2_val == -2, rs1_val == -65 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x41, -0x2, x2, 64, x4) - -inst_32: -// rs1_val == 2147483647, rs2_val == 16777216, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x7fffffff, 0x1000000, x2, 68, x4) - -inst_33: -// rs1_val == -1073741825, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40000001, -0x8001, x2, 72, x4) - -inst_34: -// rs1_val == -536870913, rs2_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20000001, 0x80, x2, 76, x4) - -inst_35: -// rs1_val == -134217729, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, -0x3, x2, 80, x4) - -inst_36: -// rs1_val == -67108865, rs2_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x2000, x2, 84, x4) - -inst_37: -// rs1_val == -16777217, rs2_val == 2048 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x1000001, 0x800, x2, 88, x4) - -inst_38: -// rs1_val == -8388609, rs2_val == -1431655766 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x800001, -0x55555556, x2, 92, x4) - -inst_39: -// rs1_val == -2097153, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x200001, -0x1000001, x2, 96, x4) - -inst_40: -// rs1_val == -1048577, rs1_val == rs2_val -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x100001, -0x100001, x2, 100, x4) - -inst_41: -// rs1_val == -524289, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80001, -0x81, x2, 104, x4) - -inst_42: -// rs1_val == -262145, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40001, 0x66666665, x2, 108, x4) - -inst_43: -// rs1_val == -131073, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x7fffffff, x2, 112, x4) - -inst_44: -// rs1_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x2001, -0x4, x2, 116, x4) - -inst_45: -// rs1_val == -1025, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x401, -0x2, x2, 120, x4) - -inst_46: -// rs1_val == -257, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x101, 0x66666667, x2, 124, x4) - -inst_47: -// rs1_val == -33, rs2_val == 512 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x200 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x21, 0x200, x2, 128, x4) - -inst_48: -// rs1_val == -17, rs2_val == 4 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x4, x2, 132, x4) - -inst_49: -// rs1_val == -9, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x9, -0x20000001, x2, 136, x4) - -inst_50: -// rs1_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x3, -0x6, x2, 140, x4) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 8 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8, -0x80000000, x2, 144, x4) - -inst_52: -// rs2_val == 1073741824, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, 0x40000000, x2, 148, x4) - -inst_53: -// rs2_val == 536870912, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x20000000, x2, 152, x4) - -inst_54: -// rs2_val == 268435456, rs1_val == 65536 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10000, 0x10000000, x2, 156, x4) - -inst_55: -// rs2_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x8000000, x2, 160, x4) - -inst_56: -// rs2_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4000000, x2, 164, x4) - -inst_57: -// rs2_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2000000, x2, 168, x4) - -inst_58: -// rs2_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x800000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x7, 0x800000, x2, 172, x4) - -inst_59: -// rs2_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x400000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x400000, x2, 176, x4) - -inst_60: -// rs2_val == 2097152, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x80, 0x200000, x2, 180, x4) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 184, x4) - -inst_62: -// rs2_val == 524288, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x80000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x80000, x2, 188, x4) - -inst_63: -// rs2_val == 262144, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x40000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x5, 0x40000, x2, 192, x4) - -inst_64: -// rs2_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x20000, x2, 196, x4) - -inst_65: -// rs2_val == 65536, rs1_val == 2097152 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x10000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x200000, 0x10000, x2, 200, x4) - -inst_66: -// rs2_val == 32768, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 204, x4) - -inst_67: -// rs2_val == 16384, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x4000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x4000, x2, 208, x4) - -inst_68: -// rs2_val == 4096, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x1000, x2, 212, x4) - -inst_69: -// rs2_val == 1024, rs1_val == 32768 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x400 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000, 0x400, x2, 216, x4) - -inst_70: -// rs2_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x100 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3fffffff, 0x100, x2, 220, x4) - -inst_71: -// rs2_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x40 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x40, x2, 224, x4) - -inst_72: -// rs2_val == 32, rs1_val == 262144 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x20 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000, 0x20, x2, 228, x4) - -inst_73: -// rs2_val == 16, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x10, x2, 232, x4) - -inst_74: -// rs2_val == 8, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x8 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x8, x2, 236, x4) - -inst_75: -// rs2_val == 2, rs1_val==2 and rs2_val==2, rs1_val == 2 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x2, x2, 240, x4) - -inst_76: -// rs2_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 244, x4) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80000000, 0x3, x2, 248, x4) - -inst_78: -// rs1_val == 268435456, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000000, -0x100001, x2, 252, x4) - -inst_79: -// rs1_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000000, -0xb504, x2, 256, x4) - -inst_80: -// rs1_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000000, -0x3, x2, 260, x4) - -inst_81: -// rs1_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2000000, 0x66666667, x2, 264, x4) - -inst_82: -// rs1_val == 16777216, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x1000000, 0x200000, x2, 268, x4) - -inst_83: -// rs1_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800000, 0x8000000, x2, 272, x4) - -inst_84: -// rs1_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400000, -0x100001, x2, 276, x4) - -inst_85: -// rs1_val == 1048576, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x100000, 0x6, x2, 280, x4) - -inst_86: -// rs1_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000, 0x800, x2, 284, x4) - -inst_87: -// rs1_val == 2048, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800, 0x66666665, x2, 288, x4) - -inst_88: -// rs1_val == 1024, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400, -0x40000000, x2, 292, x4) - -inst_89: -// rs1_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x100, 0x40000000, x2, 296, x4) - -inst_90: -// rs1_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40, -0x80000000, x2, 300, x4) - -inst_91: -// rs1_val == 32, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20, -0x3, x2, 304, x4) - -inst_92: -// rs1_val == 4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x7fffffff, x2, 308, x4) - -inst_93: -// rs1_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x1, 0x2000, x2, 312, x4) - -inst_94: -// rs1_val==46341 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 316, x4) - -inst_95: -// rs1_val==46341 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb503, x2, 320, x4) - -inst_96: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 324, x4) - -inst_97: -// rs1_val==46341 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 328, x4) - -inst_98: -// rs1_val==46341 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 332, x4) - -inst_99: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555555, x2, 336, x4) - -inst_100: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 340, x4) - -inst_101: -// rs1_val==46341 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 344, x4) - -inst_102: -// rs1_val==46341 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 348, x4) - -inst_103: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 352, x4) - -inst_104: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 356, x4) - -inst_105: -// rs1_val==46341 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 360, x4) - -inst_106: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 364, x4) - -inst_107: -// rs1_val==46341 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 368, x4) - -inst_108: -// rs1_val==46341 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 372, x4) - -inst_109: -// rs1_val==46341 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb504, x2, 376, x4) - -inst_110: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 380, x4) - -inst_111: -// rs1_val==46341 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 384, x4) - -inst_112: -// rs1_val==46341 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 388, x4) - -inst_113: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555556, x2, 392, x4) - -inst_114: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 396, x4) - -inst_115: -// rs1_val==46341 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 400, x4) - -inst_116: -// rs1_val==-46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb505, x2, 404, x4) - -inst_117: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 408, x4) - -inst_118: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666667, x2, 412, x4) - -inst_119: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333334, x2, 416, x4) - -inst_120: -// rs1_val==-46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x6, x2, 420, x4) - -inst_121: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555555, x2, 424, x4) - -inst_122: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555556, x2, 428, x4) - -inst_123: -// rs1_val==-46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x4, x2, 432, x4) - -inst_124: -// rs1_val==-46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb503, x2, 436, x4) - -inst_125: -// rs1_val==-46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x0, x2, 440, x4) - -inst_126: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666665, x2, 444, x4) - -inst_127: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333332, x2, 448, x4) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555554, x2, 452, x4) - -inst_129: -// rs1_val==-46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2, x2, 456, x4) - -inst_130: -// rs1_val==-46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb504, x2, 460, x4) - -inst_131: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 464, x4) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666666, x2, 468, x4) - -inst_133: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333333, x2, 472, x4) - -inst_134: -// rs1_val==-46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x5, x2, 476, x4) - -inst_135: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555556, x2, 480, x4) - -inst_136: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555555, x2, 484, x4) - -inst_137: -// rs1_val==-46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x3, x2, 488, x4) - -inst_138: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 492, x4) - -inst_139: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb503, x2, 496, x4) - -inst_140: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 500, x4) - -inst_141: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 504, x4) - -inst_142: -// rs1_val==1717986919 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 508, x4) - -inst_143: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555555, x2, 512, x4) - -inst_144: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 516, x4) - -inst_145: -// rs1_val==1717986919 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 520, x4) - -inst_146: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 524, x4) - -inst_147: -// rs1_val==1717986919 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 528, x4) - -inst_148: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 532, x4) - -inst_149: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 536, x4) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 540, x4) - -inst_151: -// rs1_val==1717986919 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 544, x4) - -inst_152: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 548, x4) - -inst_153: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb504, x2, 552, x4) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 556, x4) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 560, x4) - -inst_156: -// rs1_val==1717986919 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 564, x4) - -inst_157: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555556, x2, 568, x4) - -inst_158: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 572, x4) - -inst_159: -// rs1_val==1717986919 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 576, x4) - -inst_160: -// rs1_val==858993460 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 580, x4) - -inst_161: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb503, x2, 584, x4) - -inst_162: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 588, x4) - -inst_163: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 592, x4) - -inst_164: -// rs1_val==858993460 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 596, x4) - -inst_165: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x2, 600, x4) - -inst_166: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 604, x4) - -inst_167: -// rs1_val==858993460 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 608, x4) - -inst_168: -// rs1_val==858993460 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 612, x4) - -inst_169: -// rs1_val==858993460 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 616, x4) - -inst_170: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 620, x4) - -inst_171: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 624, x4) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 628, x4) - -inst_173: -// rs1_val==858993460 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 632, x4) - -inst_174: -// rs1_val==858993460 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 636, x4) - -inst_175: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb504, x2, 640, x4) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 644, x4) - -inst_177: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 648, x4) - -inst_178: -// rs1_val==858993460 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 652, x4) - -inst_179: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x2, 656, x4) - -inst_180: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 660, x4) - -inst_181: -// rs1_val==858993460 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 664, x4) - -inst_182: -// rs1_val==6 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 668, x4) - -inst_183: -// rs1_val==6 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb503, x2, 672, x4) - -inst_184: -// rs1_val==6 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 676, x4) - -inst_185: -// rs1_val==6 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 680, x4) - -inst_186: -// rs1_val==6 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x6, x2, 684, x4) - -inst_187: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555555, x2, 688, x4) - -inst_188: -// rs1_val==6 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 692, x4) - -inst_189: -// rs1_val==6 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x4, x2, 696, x4) - -inst_190: -// rs1_val==6 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 700, x4) - -inst_191: -// rs1_val==6 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x0, x2, 704, x4) - -inst_192: -// rs1_val==6 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 708, x4) - -inst_193: -// rs1_val==6 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 712, x4) - -inst_194: -// rs1_val==6 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 716, x4) - -inst_195: -// rs1_val==6 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x2, x2, 720, x4) - -inst_196: -// rs1_val==6 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 724, x4) - -inst_197: -// rs1_val==6 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb504, x2, 728, x4) - -inst_198: -// rs1_val==6 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 732, x4) - -inst_199: -// rs1_val==6 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 736, x4) - -inst_200: -// rs1_val==6 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x5, x2, 740, x4) - -inst_201: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555556, x2, 744, x4) - -inst_202: -// rs1_val==6 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 748, x4) - -inst_203: -// rs1_val==6 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x3, x2, 752, x4) - -inst_204: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb505, x2, 756, x4) - -inst_205: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb503, x2, 760, x4) - -inst_206: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666667, x2, 764, x4) - -inst_207: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333334, x2, 768, x4) - -inst_208: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x6, x2, 772, x4) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 776, x4) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x2, 780, x4) - -inst_211: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x4, x2, 784, x4) - -inst_212: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb503, x2, 788, x4) - -inst_213: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x0, x2, 792, x4) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666665, x2, 796, x4) - -inst_215: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333332, x2, 800, x4) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555554, x2, 804, x4) - -inst_217: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x2, x2, 808, x4) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb504, x2, 812, x4) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb504, x2, 816, x4) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666666, x2, 820, x4) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333333, x2, 824, x4) - -inst_222: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x5, x2, 828, x4) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x2, 832, x4) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x2, 836, x4) - -inst_225: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x3, x2, 840, x4) - -inst_226: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 844, x4) - -inst_227: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb503, x2, 848, x4) - -inst_228: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 852, x4) - -inst_229: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 856, x4) - -inst_230: -// rs1_val==1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 860, x4) - -inst_231: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555555, x2, 864, x4) - -inst_232: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 868, x4) - -inst_233: -// rs1_val==1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 872, x4) - -inst_234: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 876, x4) - -inst_235: -// rs1_val==1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 880, x4) - -inst_236: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 884, x4) - -inst_237: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 888, x4) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 892, x4) - -inst_239: -// rs1_val==1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 896, x4) - -inst_240: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 900, x4) - -inst_241: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb504, x2, 904, x4) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 908, x4) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 912, x4) - -inst_244: -// rs1_val==1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 916, x4) - -inst_245: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 920, x4) - -inst_246: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 924, x4) - -inst_247: -// rs1_val==1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 928, x4) - -inst_248: -// rs1_val==4 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 932, x4) - -inst_249: -// rs1_val==4 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb503, x2, 936, x4) - -inst_250: -// rs1_val==4 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 940, x4) - -inst_251: -// rs1_val==4 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 944, x4) - -inst_252: -// rs1_val==4 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x6, x2, 948, x4) - -inst_253: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555555, x2, 952, x4) - -inst_254: -// rs1_val==4 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 956, x4) - -inst_255: -// rs1_val==4 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x4, x2, 960, x4) - -inst_256: -// rs1_val==4 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 964, x4) - -inst_257: -// rs1_val==4 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x0, x2, 968, x4) - -inst_258: -// rs1_val==4 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 972, x4) - -inst_259: -// rs1_val==4 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 976, x4) - -inst_260: -// rs1_val==4 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 980, x4) - -inst_261: -// rs1_val==4 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x2, x2, 984, x4) - -inst_262: -// rs1_val==4 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 988, x4) - -inst_263: -// rs1_val==4 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb504, x2, 992, x4) - -inst_264: -// rs1_val==4 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 996, x4) - -inst_265: -// rs1_val==4 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1000, x4) - -inst_266: -// rs1_val==4 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1004, x4) - -inst_267: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555556, x2, 1008, x4) - -inst_268: -// rs1_val==4 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1012, x4) - -inst_269: -// rs1_val==4 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1016, x4) - -inst_270: -// rs1_val==46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1020, x4) - -inst_271: -// rs1_val==46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1024, x4) - -inst_272: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1028, x4) - -inst_273: -// rs1_val==46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1032, x4) - -inst_274: -// rs1_val==46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1036, x4) - -inst_275: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555555, x2, 1040, x4) - -inst_276: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1044, x4) - -inst_277: -// rs1_val==46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1048, x4) - -inst_278: -// rs1_val==46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1052, x4) - -inst_279: -// rs1_val==46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1056, x4) - -inst_280: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1060, x4) - -inst_281: -// rs1_val==46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1064, x4) - -inst_282: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1068, x4) - -inst_283: -// rs1_val==46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1072, x4) - -inst_284: -// rs1_val==46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1076, x4) - -inst_285: -// rs1_val==46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb504, x2, 1080, x4) - -inst_286: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1084, x4) - -inst_287: -// rs1_val==46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1088, x4) - -inst_288: -// rs1_val==46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1092, x4) - -inst_289: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555556, x2, 1096, x4) - -inst_290: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1100, x4) - -inst_291: -// rs1_val==46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1104, x4) - -inst_292: -// rs1_val==0 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1108, x4) - -inst_293: -// rs1_val==0 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1112, x4) - -inst_294: -// rs1_val==0 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1116, x4) - -inst_295: -// rs1_val==0 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1120, x4) - -inst_296: -// rs1_val==0 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1124, x4) - -inst_297: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1128, x4) - -inst_298: -// rs1_val==0 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1132, x4) - -inst_299: -// rs1_val==0 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1136, x4) - -inst_300: -// rs1_val==0 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1140, x4) - -inst_301: -// rs1_val==0 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1144, x4) - -inst_302: -// rs1_val==0 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1148, x4) - -inst_303: -// rs1_val==0 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1152, x4) - -inst_304: -// rs1_val==0 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1156, x4) - -inst_305: -// rs1_val==0 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1160, x4) - -inst_306: -// rs1_val==0 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1164, x4) - -inst_307: -// rs1_val==0 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1168, x4) - -inst_308: -// rs1_val==0 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1172, x4) - -inst_309: -// rs1_val==0 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1176, x4) - -inst_310: -// rs1_val==0 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1180, x4) - -inst_311: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1184, x4) - -inst_312: -// rs1_val==0 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1188, x4) - -inst_313: -// rs1_val==0 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1192, x4) - -inst_314: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1196, x4) - -inst_315: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb503, x2, 1200, x4) - -inst_316: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1204, x4) - -inst_317: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1208, x4) - -inst_318: -// rs1_val==1717986917 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1212, x4) - -inst_319: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555555, x2, 1216, x4) - -inst_320: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1220, x4) - -inst_321: -// rs1_val==1717986917 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1224, x4) - -inst_322: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1228, x4) - -inst_323: -// rs1_val==858993459 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1232, x4) - -inst_324: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 1236, x4) - -inst_325: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 1240, x4) - -inst_326: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 1244, x4) - -inst_327: -// rs1_val==858993459 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1248, x4) - -inst_328: -// rs1_val==858993459 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 1252, x4) - -inst_329: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb504, x2, 1256, x4) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 1260, x4) - -inst_331: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1264, x4) - -inst_332: -// rs1_val==858993459 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1268, x4) - -inst_333: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x2, 1272, x4) - -inst_334: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 1276, x4) - -inst_335: -// rs1_val==858993459 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1280, x4) - -inst_336: -// rs1_val==5 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 1284, x4) - -inst_337: -// rs1_val==5 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb503, x2, 1288, x4) - -inst_338: -// rs1_val==5 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 1292, x4) - -inst_339: -// rs1_val==5 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1296, x4) - -inst_340: -// rs1_val==5 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x6, x2, 1300, x4) - -inst_341: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555555, x2, 1304, x4) - -inst_342: -// rs1_val==5 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1308, x4) - -inst_343: -// rs1_val==5 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1312, x4) - -inst_344: -// rs1_val==5 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 1316, x4) - -inst_345: -// rs1_val==5 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1320, x4) - -inst_346: -// rs1_val==5 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1324, x4) - -inst_347: -// rs1_val==5 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 1328, x4) - -inst_348: -// rs1_val==5 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1332, x4) - -inst_349: -// rs1_val==5 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1336, x4) - -inst_350: -// rs1_val==5 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 1340, x4) - -inst_351: -// rs1_val==5 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb504, x2, 1344, x4) - -inst_352: -// rs1_val==5 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1348, x4) - -inst_353: -// rs1_val==5 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 1352, x4) - -inst_354: -// rs1_val==5 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1356, x4) - -inst_355: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555556, x2, 1360, x4) - -inst_356: -// rs1_val==5 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1364, x4) - -inst_357: -// rs1_val==5 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1368, x4) - -inst_358: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb505, x2, 1372, x4) - -inst_359: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb503, x2, 1376, x4) - -inst_360: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666667, x2, 1380, x4) - -inst_361: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333334, x2, 1384, x4) - -inst_362: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x6, x2, 1388, x4) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x2, 1392, x4) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555556, x2, 1396, x4) - -inst_365: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x4, x2, 1400, x4) - -inst_366: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb503, x2, 1404, x4) - -inst_367: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x0, x2, 1408, x4) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666665, x2, 1412, x4) - -inst_369: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333332, x2, 1416, x4) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555554, x2, 1420, x4) - -inst_371: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x2, x2, 1424, x4) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb504, x2, 1428, x4) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb504, x2, 1432, x4) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666666, x2, 1436, x4) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333333, x2, 1440, x4) - -inst_376: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x5, x2, 1444, x4) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1448, x4) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555555, x2, 1452, x4) - -inst_379: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x3, x2, 1456, x4) - -inst_380: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 1460, x4) - -inst_381: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb503, x2, 1464, x4) - -inst_382: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 1468, x4) - -inst_383: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 1472, x4) - -inst_384: -// rs1_val==1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 1476, x4) - -inst_385: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1480, x4) - -inst_386: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 1484, x4) - -inst_387: -// rs1_val==1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 1488, x4) - -inst_388: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 1492, x4) - -inst_389: -// rs1_val==1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1496, x4) - -inst_390: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 1500, x4) - -inst_391: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 1504, x4) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 1508, x4) - -inst_393: -// rs1_val==1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1512, x4) - -inst_394: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 1516, x4) - -inst_395: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb504, x2, 1520, x4) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 1524, x4) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 1528, x4) - -inst_398: -// rs1_val==1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1532, x4) - -inst_399: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x2, 1536, x4) - -inst_400: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1540, x4) - -inst_401: -// rs1_val==1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1544, x4) - -inst_402: -// rs1_val==3 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 1548, x4) - -inst_403: -// rs1_val==3 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb503, x2, 1552, x4) - -inst_404: -// rs1_val==3 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1556, x4) - -inst_405: -// rs1_val==3 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 1560, x4) - -inst_406: -// rs1_val==3 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x6, x2, 1564, x4) - -inst_407: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555555, x2, 1568, x4) - -inst_408: -// rs1_val==3 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1572, x4) - -inst_409: -// rs1_val==3 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4, x2, 1576, x4) - -inst_410: -// rs1_val==3 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 1580, x4) - -inst_411: -// rs1_val==3 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1584, x4) - -inst_412: -// rs1_val==3 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1588, x4) - -inst_413: -// rs1_val==3 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 1592, x4) - -inst_414: -// rs1_val==3 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 1596, x4) - -inst_415: -// rs1_val==3 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1600, x4) - -inst_416: -// rs1_val==3 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 1604, x4) - -inst_417: -// rs1_val==3 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb504, x2, 1608, x4) - -inst_418: -// rs1_val==3 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1612, x4) - -inst_419: -// rs1_val==3 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 1616, x4) - -inst_420: -// rs1_val==3 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x5, x2, 1620, x4) - -inst_421: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555556, x2, 1624, x4) - -inst_422: -// rs1_val==3 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 1628, x4) - -inst_423: -// rs1_val==3 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1632, x4) - -inst_424: -// rs1_val==1717986917 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1636, x4) - -inst_425: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1640, x4) - -inst_426: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1644, x4) - -inst_427: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1648, x4) - -inst_428: -// rs1_val==1717986917 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1652, x4) - -inst_429: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1656, x4) - -inst_430: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb504, x2, 1660, x4) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1664, x4) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1668, x4) - -inst_433: -// rs1_val==1717986917 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1672, x4) - -inst_434: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555556, x2, 1676, x4) - -inst_435: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1680, x4) - -inst_436: -// rs1_val==1717986917 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1684, x4) - -inst_437: -// rs1_val==858993458 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1688, x4) - -inst_438: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb503, x2, 1692, x4) - -inst_439: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1696, x4) - -inst_440: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1700, x4) - -inst_441: -// rs1_val==858993458 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1704, x4) - -inst_442: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x2, 1708, x4) - -inst_443: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1712, x4) - -inst_444: -// rs1_val==858993458 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1716, x4) - -inst_445: -// rs1_val==858993458 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1720, x4) - -inst_446: -// rs1_val==858993458 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1724, x4) - -inst_447: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1728, x4) - -inst_448: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1732, x4) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1736, x4) - -inst_450: -// rs1_val==858993458 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1740, x4) - -inst_451: -// rs1_val==858993458 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1744, x4) - -inst_452: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb504, x2, 1748, x4) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1752, x4) - -inst_454: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1756, x4) - -inst_455: -// rs1_val==858993458 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1760, x4) - -inst_456: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x2, 1764, x4) - -inst_457: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1768, x4) - -inst_458: -// rs1_val==858993458 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1772, x4) - -inst_459: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 1776, x4) - -inst_460: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb503, x2, 1780, x4) - -inst_461: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 1784, x4) - -inst_462: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 1788, x4) - -inst_463: -// rs1_val==1431655764 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1792, x4) - -inst_464: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x2, 1796, x4) - -inst_465: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 1800, x4) - -inst_466: -// rs1_val==1431655764 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1804, x4) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1808, x4) - -inst_468: -// rs1_val==1431655764 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1812, x4) - -inst_469: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1816, x4) - -inst_470: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1820, x4) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1824, x4) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1828, x4) - -inst_473: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1832, x4) - -inst_474: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb504, x2, 1836, x4) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1840, x4) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1844, x4) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1848, x4) - -inst_478: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x2, 1852, x4) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1856, x4) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1860, x4) - -inst_481: -// rs1_val==2 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1864, x4) - -inst_482: -// rs1_val==2 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb503, x2, 1868, x4) - -inst_483: -// rs1_val==2 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1872, x4) - -inst_484: -// rs1_val==2 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1876, x4) - -inst_485: -// rs1_val==2 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1880, x4) - -inst_486: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555555, x2, 1884, x4) - -inst_487: -// rs1_val==2 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1888, x4) - -inst_488: -// rs1_val==2 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1892, x4) - -inst_489: -// rs1_val==2 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1896, x4) - -inst_490: -// rs1_val==2 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1900, x4) - -inst_491: -// rs1_val==2 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1904, x4) - -inst_492: -// rs1_val==2 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1908, x4) - -inst_493: -// rs1_val==2 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1912, x4) - -inst_494: -// rs1_val==2 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1916, x4) - -inst_495: -// rs1_val==2 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb504, x2, 1920, x4) - -inst_496: -// rs1_val==2 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1924, x4) - -inst_497: -// rs1_val==2 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1928, x4) - -inst_498: -// rs1_val==2 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1932, x4) - -inst_499: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555556, x2, 1936, x4) - -inst_500: -// rs1_val==2 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1940, x4) - -inst_501: -// rs1_val==2 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1944, x4) - -inst_502: -// rs1_val==46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 1948, x4) - -inst_503: -// rs1_val==46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb503, x2, 1952, x4) - -inst_504: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 1956, x4) - -inst_505: -// rs1_val==46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 1960, x4) - -inst_506: -// rs1_val==46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 1964, x4) - -inst_507: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555555, x2, 1968, x4) - -inst_508: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 1972, x4) - -inst_509: -// rs1_val==46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 1976, x4) - -inst_510: -// rs1_val==46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 1980, x4) - -inst_511: -// rs1_val==46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 1984, x4) - -inst_512: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 1988, x4) - -inst_513: -// rs1_val==46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 1992, x4) - -inst_514: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 1996, x4) - -inst_515: -// rs1_val==46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2000, x4) - -inst_516: -// rs1_val==46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2004, x4) - -inst_517: -// rs1_val==46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2008, x4) - -inst_518: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 2012, x4) - -inst_519: -// rs1_val==46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 2016, x4) - -inst_520: -// rs1_val==46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 2020, x4) - -inst_521: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555556, x2, 2024, x4) - -inst_522: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 2028, x4) - -inst_523: -// rs1_val==46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 2032, x4) - -inst_524: -// rs1_val==-46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb505, x2, 2036, x4) - -inst_525: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, -0xb503, x2, 2040, x4) - -inst_526: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666667, x2, 2044, x4) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_527: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333334, x2, 0, x4) - -inst_528: -// rs1_val==-46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x6, x2, 4, x4) - -inst_529: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555555, x2, 8, x4) - -inst_530: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555556, x2, 12, x4) - -inst_531: -// rs1_val==-46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x4, x2, 16, x4) - -inst_532: -// rs1_val==-46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb503, x2, 20, x4) - -inst_533: -// rs1_val==-46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x0, x2, 24, x4) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666665, x2, 28, x4) - -inst_535: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333332, x2, 32, x4) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555554, x2, 36, x4) - -inst_537: -// rs1_val==-46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x2, x2, 40, x4) - -inst_538: -// rs1_val==-46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb504, x2, 44, x4) - -inst_539: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 48, x4) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666666, x2, 52, x4) - -inst_541: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333333, x2, 56, x4) - -inst_542: -// rs1_val==-46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x5, x2, 60, x4) - -inst_543: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555556, x2, 64, x4) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555555, x2, 68, x4) - -inst_545: -// rs1_val==-46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x3, x2, 72, x4) - -inst_546: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 76, x4) - -inst_547: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb503, x2, 80, x4) - -inst_548: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 84, x4) - -inst_549: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 88, x4) - -inst_550: -// rs1_val==1717986918 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 92, x4) - -inst_551: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555555, x2, 96, x4) - -inst_552: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 100, x4) - -inst_553: -// rs1_val==1717986918 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 104, x4) - -inst_554: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 108, x4) - -inst_555: -// rs1_val==1717986918 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 112, x4) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 116, x4) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 120, x4) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 124, x4) - -inst_559: -// rs1_val==1717986918 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 128, x4) - -inst_560: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 132, x4) - -inst_561: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb504, x2, 136, x4) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 140, x4) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 144, x4) - -inst_564: -// rs1_val==1717986918 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 148, x4) - -inst_565: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555556, x2, 152, x4) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 156, x4) - -inst_567: -// rs1_val==1717986918 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 160, x4) - -inst_568: -// rs1_val==858993459 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 164, x4) - -inst_569: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb503, x2, 168, x4) - -inst_570: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 172, x4) - -inst_571: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 176, x4) - -inst_572: -// rs1_val==858993459 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 180, x4) - -inst_573: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x2, 184, x4) - -inst_574: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 188, x4) - -inst_575: -// rs1_val==858993459 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 192, x4) - -inst_576: -// rs1_val==858993459 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 196, x4) - -inst_577: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x400001, 0x7fffffff, x2, 200, x4) - -inst_578: -// rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x1001, -0x20000001, x2, 204, x4) - -inst_579: -// rs2_val == -268435457, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x10000001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0x10000001, x2, 208, x4) - -inst_580: -// rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x8000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x10001, -0x8000001, x2, 212, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x12_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x12_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slti-01.S deleted file mode 100644 index 8c53c538c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slti-01.S +++ /dev/null @@ -1,2890 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slti instruction of the RISC-V E extension for the slti covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slti) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x11, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, rs1_val == 512, imm_val == -2048 -// opcode: slti ; op1:x3; dest:x11; op1val:0x200; immval:-0x800 -TEST_IMM_OP( slti, x11, x3, 0x0, 0x200, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x7, rd==x7, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: slti ; op1:x7; dest:x7; op1val:0x7fffffff; immval:0x665 -TEST_IMM_OP( slti, x7, x7, 0x0, 0x7fffffff, 0x665, x4, 4, x8) - -inst_2: -// rs1==x13, rd==x3, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 -// opcode: slti ; op1:x13; dest:x3; op1val:-0x40000001; immval:-0x800 -TEST_IMM_OP( slti, x3, x13, 0x1, -0x40000001, -0x800, x4, 8, x8) - -inst_3: -// rs1==x0, rd==x14, rs1_val == -536870913, -// opcode: slti ; op1:x0; dest:x14; op1val:0x0; immval:-0x6 -TEST_IMM_OP( slti, x14, x0, 0x0, 0x0, -0x6, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x10, rs1_val == -268435457, imm_val == -513 -// opcode: slti ; op1:x15; dest:x10; op1val:-0x10000001; immval:-0x201 -TEST_IMM_OP( slti, x10, x15, 0x1, -0x10000001, -0x201, x4, 16, x8) - -inst_5: -// rs1==x6, rd==x5, rs1_val == -134217729, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: slti ; op1:x6; dest:x5; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( slti, x5, x6, 0x1, -0x8000001, 0x2, x4, 20, x8) - -inst_6: -// rs1==x12, rd==x13, rs1_val == -67108865, imm_val == 1024 -// opcode: slti ; op1:x12; dest:x13; op1val:-0x4000001; immval:0x400 -TEST_IMM_OP( slti, x13, x12, 0x1, -0x4000001, 0x400, x4, 24, x8) - -inst_7: -// rs1==x2, rd==x1, rs1_val == -33554433, -// opcode: slti ; op1:x2; dest:x1; op1val:-0x2000001; immval:-0x6 -TEST_IMM_OP( slti, x1, x2, 0x1, -0x2000001, -0x6, x4, 28, x8) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x9, rd==x6, rs1_val == -16777217, -// opcode: slti ; op1:x9; dest:x6; op1val:-0x1000001; immval:0x7 -TEST_IMM_OP( slti, x6, x9, 0x1, -0x1000001, 0x7, x3, 0, x7) - -inst_9: -// rs1==x8, rd==x4, rs1_val == -8388609, imm_val == 512 -// opcode: slti ; op1:x8; dest:x4; op1val:-0x800001; immval:0x200 -TEST_IMM_OP( slti, x4, x8, 0x1, -0x800001, 0x200, x3, 4, x7) - -inst_10: -// rs1==x10, rd==x0, rs1_val == -4194305, -// opcode: slti ; op1:x10; dest:x0; op1val:-0x400001; immval:0x2d -TEST_IMM_OP( slti, x0, x10, 0, -0x400001, 0x2d, x3, 8, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: slti ; op1:x1; dest:x2; op1val:-0x200001; immval:0x665 -TEST_IMM_OP( slti, x2, x1, 0x1, -0x200001, 0x665, x3, 12, x7) - -inst_12: -// rs1==x14, rd==x8, rs1_val == -1048577, imm_val == 4 -// opcode: slti ; op1:x14; dest:x8; op1val:-0x100001; immval:0x4 -TEST_IMM_OP( slti, x8, x14, 0x1, -0x100001, 0x4, x3, 16, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, imm_val == -1366 -// opcode: slti ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x556 -TEST_IMM_OP( slti, x12, x5, 0x1, -0x80001, -0x556, x3, 20, x7) - -inst_14: -// rs1==x4, rd==x9, rs1_val == -262145, imm_val == 1 -// opcode: slti ; op1:x4; dest:x9; op1val:-0x40001; immval:0x1 -TEST_IMM_OP( slti, x9, x4, 0x1, -0x40001, 0x1, x3, 24, x7) - -inst_15: -// rs1==x11, rd==x15, rs1_val == -131073, -// opcode: slti ; op1:x11; dest:x15; op1val:-0x20001; immval:0x4 -TEST_IMM_OP( slti, x15, x11, 0x1, -0x20001, 0x4, x3, 28, x7) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs1_val == -65537, imm_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x10001; immval:0x20 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x10001, 0x20, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x8001; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x8001, 0x554, x1, 4, x2) - -inst_18: -// rs1_val == -16385, imm_val == -1025 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x4001, -0x401, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2001; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2001, 0x2c, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x1001, -0x6, x1, 16, x2) - -inst_21: -// rs1_val == -2049, imm_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x801; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x801, 0x0, x1, 20, x2) - -inst_22: -// rs1_val == -1025, rs1_val == imm_val -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x401, -0x401, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x201, -0x6, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x101, 0x3, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x81; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x81, 0x200, x1, 36, x2) - -inst_26: -// rs1_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x41, -0x2c, x1, 40, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x21, 0x8, x1, 44, x2) - -inst_28: -// rs1_val == -17, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x11; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x11, 0x332, x1, 48, x2) - -inst_29: -// rs1_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x9; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0x9, 0x3ff, x1, 52, x2) - -inst_30: -// rs1_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x5; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x5, 0x400, x1, 56, x2) - -inst_31: -// rs1_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x2, x1, 60, x2) - -inst_32: -// rs1_val == -2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, -0x2, -0xa, x1, 64, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x7ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x7ff, x1, 68, x2) - -inst_34: -// imm_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x400001; immval:-0x101 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x400001, -0x101, x1, 72, x2) - -inst_35: -// imm_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x4, -0x81, x1, 76, x2) - -inst_36: -// imm_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7fffffff; immval:-0x41 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7fffffff, -0x41, x1, 80, x2) - -inst_37: -// imm_val == -33, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7; immval:-0x21 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7, -0x21, x1, 84, x2) - -inst_38: -// imm_val == -17, rs1_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:0x20; immval:-0x11 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20, -0x11, x1, 88, x2) - -inst_39: -// imm_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2000001; immval:-0x9 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2000001, -0x9, x1, 92, x2) - -inst_40: -// imm_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x401, -0x5, x1, 96, x2) - -inst_41: -// imm_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x3, x1, 100, x2) - -inst_42: -// imm_val == -2, rs1_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2, x1, 104, x2) - -inst_43: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slti ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x80000000, 0x667, x1, 108, x2) - -inst_44: -// rs1_val == 1073741824, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000000; immval:0x9 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000000, 0x9, x1, 112, x2) - -inst_45: -// rs1_val == 536870912, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000000; immval:-0x8 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000000, -0x8, x1, 116, x2) - -inst_46: -// rs1_val == 268435456, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000000, 0x7, x1, 120, x2) - -inst_47: -// rs1_val == 134217728, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000000, -0x4, x1, 124, x2) - -inst_48: -// rs1_val == 67108864, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x2e, x1, 128, x2) - -inst_49: -// rs1_val == 33554432, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000000, 0x556, x1, 132, x2) - -inst_50: -// rs1_val == 16777216, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000000, -0x556, x1, 136, x2) - -inst_51: -// rs1_val == 8388608, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800000; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800000, 0x4, x1, 140, x2) - -inst_52: -// rs1_val == 4194304, imm_val == 1365 -// opcode: slti ; op1:x10; dest:x11; op1val:0x400000; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400000, 0x555, x1, 144, x2) - -inst_53: -// rs1_val == 2097152, -// opcode: slti ; op1:x10; dest:x11; op1val:0x200000; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x200000, -0x400, x1, 148, x2) - -inst_54: -// rs1_val == 1048576, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100000; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x100000, 0x2c, x1, 152, x2) - -inst_55: -// rs1_val == 524288, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80000, -0x4, x1, 156, x2) - -inst_56: -// rs1_val == 262144, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000, 0x2, x1, 160, x2) - -inst_57: -// rs1_val == 131072, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000, 0x400, x1, 164, x2) - -inst_58: -// rs1_val == 65536, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000, -0x2c, x1, 168, x2) - -inst_59: -// rs1_val == 32768, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000, 0x6, x1, 172, x2) - -inst_60: -// rs1_val == 16384, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000, 0x0, x1, 176, x2) - -inst_61: -// rs1_val == 8192, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000, 0x200, x1, 180, x2) - -inst_62: -// rs1_val == 4096, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000, 0x3, x1, 184, x2) - -inst_63: -// rs1_val == 2048, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800, -0x81, x1, 188, x2) - -inst_64: -// rs1_val == 1024, -// opcode: slti ; op1:x10; dest:x11; op1val:0x400; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400, -0xa, x1, 192, x2) - -inst_65: -// rs1_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, 0x100, 0x3ff, x1, 196, x2) - -inst_66: -// rs1_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80, 0x4, x1, 200, x2) - -inst_67: -// rs1_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40, -0x400, x1, 204, x2) - -inst_68: -// rs1_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x10, 0x2e, x1, 208, x2) - -inst_69: -// rs1_val == 8, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8, 0x3, x1, 212, x2) - -inst_70: -// rs1_val == 4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0xa, x1, 216, x2) - -inst_71: -// rs1_val == 2, rs1_val==2 and imm_val==45 -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2d, x1, 220, x2) - -inst_72: -// rs1_val == 1, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1, -0x3, x1, 224, x2) - -inst_73: -// imm_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x100 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x100, x1, 228, x2) - -inst_74: -// imm_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x80 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x80, x1, 232, x2) - -inst_75: -// imm_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x40 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x40, x1, 236, x2) - -inst_76: -// imm_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x10 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x10, x1, 240, x2) - -inst_77: -// rs1_val==46341 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2e, x1, 244, x2) - -inst_78: -// rs1_val==46341 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2c, x1, 248, x2) - -inst_79: -// rs1_val==46341 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x667, x1, 252, x2) - -inst_80: -// rs1_val==46341 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x334, x1, 256, x2) - -inst_81: -// rs1_val==46341 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x6, x1, 260, x2) - -inst_82: -// rs1_val==46341 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x555, x1, 264, x2) - -inst_83: -// rs1_val==46341 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x556, x1, 268, x2) - -inst_84: -// rs1_val==46341 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x4, x1, 272, x2) - -inst_85: -// rs1_val==46341 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2c, x1, 276, x2) - -inst_86: -// rs1_val==46341 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x0, x1, 280, x2) - -inst_87: -// rs1_val==46341 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x665, x1, 284, x2) - -inst_88: -// rs1_val==46341 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x332, x1, 288, x2) - -inst_89: -// rs1_val==46341 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x554, x1, 292, x2) - -inst_90: -// rs1_val==46341 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2, x1, 296, x2) - -inst_91: -// rs1_val==46341 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2d, x1, 300, x2) - -inst_92: -// rs1_val==46341 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2d, x1, 304, x2) - -inst_93: -// rs1_val==46341 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x666, x1, 308, x2) - -inst_94: -// rs1_val==46341 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x333, x1, 312, x2) - -inst_95: -// rs1_val==46341 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x5, x1, 316, x2) - -inst_96: -// rs1_val==46341 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x556, x1, 320, x2) - -inst_97: -// rs1_val==46341 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x555, x1, 324, x2) - -inst_98: -// rs1_val==46341 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x3, x1, 328, x2) - -inst_99: -// rs1_val==-46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2e, x1, 332, x2) - -inst_100: -// rs1_val==-46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2c, x1, 336, x2) - -inst_101: -// rs1_val==-46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x667, x1, 340, x2) - -inst_102: -// rs1_val==-46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x334, x1, 344, x2) - -inst_103: -// rs1_val==-46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x6, x1, 348, x2) - -inst_104: -// rs1_val==-46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x555, x1, 352, x2) - -inst_105: -// rs1_val==-46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x556, x1, 356, x2) - -inst_106: -// rs1_val==-46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x4, x1, 360, x2) - -inst_107: -// rs1_val==-46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2c, x1, 364, x2) - -inst_108: -// rs1_val==-46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x0, x1, 368, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x665, x1, 372, x2) - -inst_110: -// rs1_val==-46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x332, x1, 376, x2) - -inst_111: -// rs1_val==-46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x554, x1, 380, x2) - -inst_112: -// rs1_val==-46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2, x1, 384, x2) - -inst_113: -// rs1_val==-46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2d, x1, 388, x2) - -inst_114: -// rs1_val==-46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2d, x1, 392, x2) - -inst_115: -// rs1_val==-46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x666, x1, 396, x2) - -inst_116: -// rs1_val==-46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x333, x1, 400, x2) - -inst_117: -// rs1_val==-46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x5, x1, 404, x2) - -inst_118: -// rs1_val==-46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x556, x1, 408, x2) - -inst_119: -// rs1_val==-46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x555, x1, 412, x2) - -inst_120: -// rs1_val==-46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x3, x1, 416, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2e, x1, 420, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2c, x1, 424, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x667, x1, 428, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x334, x1, 432, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x6, x1, 436, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x555, x1, 440, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x556, x1, 444, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x4, x1, 448, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2c, x1, 452, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x0, x1, 456, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x665, x1, 460, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x332, x1, 464, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x554, x1, 468, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2, x1, 472, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2d, x1, 476, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2d, x1, 480, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x666, x1, 484, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x333, x1, 488, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x5, x1, 492, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x556, x1, 496, x2) - -inst_141: -// rs1_val==1717986919 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x555, x1, 500, x2) - -inst_142: -// rs1_val==1717986919 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x3, x1, 504, x2) - -inst_143: -// rs1_val==858993460 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2e, x1, 508, x2) - -inst_144: -// rs1_val==858993460 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2c, x1, 512, x2) - -inst_145: -// rs1_val==858993460 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x667, x1, 516, x2) - -inst_146: -// rs1_val==858993460 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x334, x1, 520, x2) - -inst_147: -// rs1_val==858993460 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x6, x1, 524, x2) - -inst_148: -// rs1_val==858993460 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x555, x1, 528, x2) - -inst_149: -// rs1_val==858993460 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x556, x1, 532, x2) - -inst_150: -// rs1_val==858993460 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x4, x1, 536, x2) - -inst_151: -// rs1_val==858993460 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2c, x1, 540, x2) - -inst_152: -// rs1_val==858993460 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x0, x1, 544, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x665, x1, 548, x2) - -inst_154: -// rs1_val==858993460 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x332, x1, 552, x2) - -inst_155: -// rs1_val==858993460 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x554, x1, 556, x2) - -inst_156: -// rs1_val==858993460 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2, x1, 560, x2) - -inst_157: -// rs1_val==858993460 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2d, x1, 564, x2) - -inst_158: -// rs1_val==858993460 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2d, x1, 568, x2) - -inst_159: -// rs1_val==858993460 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x666, x1, 572, x2) - -inst_160: -// rs1_val==858993460 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x333, x1, 576, x2) - -inst_161: -// rs1_val==858993460 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x5, x1, 580, x2) - -inst_162: -// rs1_val==858993460 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x556, x1, 584, x2) - -inst_163: -// rs1_val==858993460 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x555, x1, 588, x2) - -inst_164: -// rs1_val==858993460 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x3, x1, 592, x2) - -inst_165: -// rs1_val==6 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2e, x1, 596, x2) - -inst_166: -// rs1_val==6 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2c, x1, 600, x2) - -inst_167: -// rs1_val==6 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x667, x1, 604, x2) - -inst_168: -// rs1_val==6 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x334, x1, 608, x2) - -inst_169: -// rs1_val==6 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x6, x1, 612, x2) - -inst_170: -// rs1_val==6 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x555, x1, 616, x2) - -inst_171: -// rs1_val==6 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x556, x1, 620, x2) - -inst_172: -// rs1_val==6 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x4, x1, 624, x2) - -inst_173: -// rs1_val==6 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2c, x1, 628, x2) - -inst_174: -// rs1_val==6 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x0, x1, 632, x2) - -inst_175: -// rs1_val==6 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x665, x1, 636, x2) - -inst_176: -// rs1_val==6 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x332, x1, 640, x2) - -inst_177: -// rs1_val==6 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x554, x1, 644, x2) - -inst_178: -// rs1_val==6 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x2, x1, 648, x2) - -inst_179: -// rs1_val==6 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2d, x1, 652, x2) - -inst_180: -// rs1_val==6 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2d, x1, 656, x2) - -inst_181: -// rs1_val==6 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x666, x1, 660, x2) - -inst_182: -// rs1_val==6 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x333, x1, 664, x2) - -inst_183: -// rs1_val==6 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x5, x1, 668, x2) - -inst_184: -// rs1_val==6 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x556, x1, 672, x2) - -inst_185: -// rs1_val==6 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x555, x1, 676, x2) - -inst_186: -// rs1_val==6 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x3, x1, 680, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2e, x1, 684, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2c, x1, 688, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x667, x1, 692, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x334, x1, 696, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x6, x1, 700, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x555, x1, 704, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x556, x1, 708, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x4, x1, 712, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2c, x1, 716, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x0, x1, 720, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x665, x1, 724, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x332, x1, 728, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x554, x1, 732, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2, x1, 736, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2d, x1, 740, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2d, x1, 744, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x666, x1, 748, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x333, x1, 752, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x5, x1, 756, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x556, x1, 760, x2) - -inst_207: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x555, x1, 764, x2) - -inst_208: -// rs1_val==-1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x3, x1, 768, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2e, x1, 772, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2c, x1, 776, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x667, x1, 780, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x334, x1, 784, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x6, x1, 788, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x555, x1, 792, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x556, x1, 796, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x4, x1, 800, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2c, x1, 804, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x0, x1, 808, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x665, x1, 812, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x332, x1, 816, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x554, x1, 820, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2, x1, 824, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2d, x1, 828, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2d, x1, 832, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x666, x1, 836, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x333, x1, 840, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x5, x1, 844, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x556, x1, 848, x2) - -inst_229: -// rs1_val==1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x555, x1, 852, x2) - -inst_230: -// rs1_val==1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x3, x1, 856, x2) - -inst_231: -// rs1_val==4 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2e, x1, 860, x2) - -inst_232: -// rs1_val==4 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2c, x1, 864, x2) - -inst_233: -// rs1_val==4 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x667, x1, 868, x2) - -inst_234: -// rs1_val==4 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x334, x1, 872, x2) - -inst_235: -// rs1_val==4 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x6, x1, 876, x2) - -inst_236: -// rs1_val==4 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x555, x1, 880, x2) - -inst_237: -// rs1_val==4 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x556, x1, 884, x2) - -inst_238: -// rs1_val==4 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x4, x1, 888, x2) - -inst_239: -// rs1_val==4 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2c, x1, 892, x2) - -inst_240: -// rs1_val==4 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x0, x1, 896, x2) - -inst_241: -// rs1_val==4 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x665, x1, 900, x2) - -inst_242: -// rs1_val==4 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x332, x1, 904, x2) - -inst_243: -// rs1_val==4 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x554, x1, 908, x2) - -inst_244: -// rs1_val==4 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x2, x1, 912, x2) - -inst_245: -// rs1_val==4 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2d, x1, 916, x2) - -inst_246: -// rs1_val==4 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2d, x1, 920, x2) - -inst_247: -// rs1_val==4 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x666, x1, 924, x2) - -inst_248: -// rs1_val==4 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x333, x1, 928, x2) - -inst_249: -// rs1_val==4 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x5, x1, 932, x2) - -inst_250: -// rs1_val==4 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x556, x1, 936, x2) - -inst_251: -// rs1_val==4 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x555, x1, 940, x2) - -inst_252: -// rs1_val==4 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x3, x1, 944, x2) - -inst_253: -// rs1_val==46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2e, x1, 948, x2) - -inst_254: -// rs1_val==46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2c, x1, 952, x2) - -inst_255: -// rs1_val==46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x667, x1, 956, x2) - -inst_256: -// rs1_val==46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x334, x1, 960, x2) - -inst_257: -// rs1_val==46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x6, x1, 964, x2) - -inst_258: -// rs1_val==46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x555, x1, 968, x2) - -inst_259: -// rs1_val==46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x556, x1, 972, x2) - -inst_260: -// rs1_val==46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x4, x1, 976, x2) - -inst_261: -// rs1_val==46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2c, x1, 980, x2) - -inst_262: -// rs1_val==46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x0, x1, 984, x2) - -inst_263: -// rs1_val==46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x665, x1, 988, x2) - -inst_264: -// rs1_val==46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x332, x1, 992, x2) - -inst_265: -// rs1_val==46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x554, x1, 996, x2) - -inst_266: -// rs1_val==46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2, x1, 1000, x2) - -inst_267: -// rs1_val==46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2d, x1, 1004, x2) - -inst_268: -// rs1_val==46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2d, x1, 1008, x2) - -inst_269: -// rs1_val==46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x666, x1, 1012, x2) - -inst_270: -// rs1_val==46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x333, x1, 1016, x2) - -inst_271: -// rs1_val==46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x5, x1, 1020, x2) - -inst_272: -// rs1_val==46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x556, x1, 1024, x2) - -inst_273: -// rs1_val==46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x555, x1, 1028, x2) - -inst_274: -// rs1_val==46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x3, x1, 1032, x2) - -inst_275: -// rs1_val==0 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2e, x1, 1036, x2) - -inst_276: -// rs1_val==0 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2c, x1, 1040, x2) - -inst_277: -// rs1_val==0 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x667, x1, 1044, x2) - -inst_278: -// rs1_val==0 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x334, x1, 1048, x2) - -inst_279: -// rs1_val==0 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x6, x1, 1052, x2) - -inst_280: -// rs1_val==0 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x555, x1, 1056, x2) - -inst_281: -// rs1_val==0 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x556, x1, 1060, x2) - -inst_282: -// rs1_val==0 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x4, x1, 1064, x2) - -inst_283: -// rs1_val==0 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2c, x1, 1068, x2) - -inst_284: -// rs1_val==0 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, 0x0, x1, 1072, x2) - -inst_285: -// rs1_val==0 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x665, x1, 1076, x2) - -inst_286: -// rs1_val==0 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x332, x1, 1080, x2) - -inst_287: -// rs1_val==0 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x554, x1, 1084, x2) - -inst_288: -// rs1_val==0 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2, x1, 1088, x2) - -inst_289: -// rs1_val==0 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2d, x1, 1092, x2) - -inst_290: -// rs1_val==0 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2d, x1, 1096, x2) - -inst_291: -// rs1_val==0 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x666, x1, 1100, x2) - -inst_292: -// rs1_val==0 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x333, x1, 1104, x2) - -inst_293: -// rs1_val==0 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x5, x1, 1108, x2) - -inst_294: -// rs1_val==0 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x556, x1, 1112, x2) - -inst_295: -// rs1_val==0 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x555, x1, 1116, x2) - -inst_296: -// rs1_val==0 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x3, x1, 1120, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1124, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2c, x1, 1128, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x667, x1, 1132, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x334, x1, 1136, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x6, x1, 1140, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x555, x1, 1144, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x556, x1, 1148, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x4, x1, 1152, x2) - -inst_305: -// rs1_val==1717986917 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1156, x2) - -inst_306: -// rs1_val==1717986917 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x0, x1, 1160, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x555, x1, 1164, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x556, x1, 1168, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x4, x1, 1172, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2c, x1, 1176, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x0, x1, 1180, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x665, x1, 1184, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x332, x1, 1188, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x554, x1, 1192, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2, x1, 1196, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2d, x1, 1200, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2d, x1, 1204, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x666, x1, 1208, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x333, x1, 1212, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x5, x1, 1216, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x556, x1, 1220, x2) - -inst_322: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x555, x1, 1224, x2) - -inst_323: -// rs1_val==-1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x3, x1, 1228, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2e, x1, 1232, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2c, x1, 1236, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x667, x1, 1240, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x334, x1, 1244, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x6, x1, 1248, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x555, x1, 1252, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x556, x1, 1256, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x4, x1, 1260, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2c, x1, 1264, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x0, x1, 1268, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x665, x1, 1272, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x332, x1, 1276, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x554, x1, 1280, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2, x1, 1284, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2d, x1, 1288, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2d, x1, 1292, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x666, x1, 1296, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x333, x1, 1300, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x5, x1, 1304, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x556, x1, 1308, x2) - -inst_344: -// rs1_val==1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x555, x1, 1312, x2) - -inst_345: -// rs1_val==1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x3, x1, 1316, x2) - -inst_346: -// rs1_val==3 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2e, x1, 1320, x2) - -inst_347: -// rs1_val==3 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2c, x1, 1324, x2) - -inst_348: -// rs1_val==3 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x667, x1, 1328, x2) - -inst_349: -// rs1_val==3 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x334, x1, 1332, x2) - -inst_350: -// rs1_val==3 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x6, x1, 1336, x2) - -inst_351: -// rs1_val==3 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x555, x1, 1340, x2) - -inst_352: -// rs1_val==3 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x556, x1, 1344, x2) - -inst_353: -// rs1_val==3 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x4, x1, 1348, x2) - -inst_354: -// rs1_val==3 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2c, x1, 1352, x2) - -inst_355: -// rs1_val==3 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x0, x1, 1356, x2) - -inst_356: -// rs1_val==3 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x665, x1, 1360, x2) - -inst_357: -// rs1_val==3 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x332, x1, 1364, x2) - -inst_358: -// rs1_val==3 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x554, x1, 1368, x2) - -inst_359: -// rs1_val==3 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x2, x1, 1372, x2) - -inst_360: -// rs1_val==3 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2d, x1, 1376, x2) - -inst_361: -// rs1_val==3 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2d, x1, 1380, x2) - -inst_362: -// rs1_val==3 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x666, x1, 1384, x2) - -inst_363: -// rs1_val==3 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x333, x1, 1388, x2) - -inst_364: -// rs1_val==3 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x5, x1, 1392, x2) - -inst_365: -// rs1_val==3 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x556, x1, 1396, x2) - -inst_366: -// rs1_val==3 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x555, x1, 1400, x2) - -inst_367: -// rs1_val==3 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x3, x1, 1404, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x665, x1, 1408, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x332, x1, 1412, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x554, x1, 1416, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2, x1, 1420, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1424, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2d, x1, 1428, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x666, x1, 1432, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x333, x1, 1436, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x5, x1, 1440, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x556, x1, 1444, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x555, x1, 1448, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x3, x1, 1452, x2) - -inst_380: -// rs1_val==858993458 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1456, x2) - -inst_381: -// rs1_val==858993458 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2c, x1, 1460, x2) - -inst_382: -// rs1_val==858993458 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x667, x1, 1464, x2) - -inst_383: -// rs1_val==858993458 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x334, x1, 1468, x2) - -inst_384: -// rs1_val==858993458 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x6, x1, 1472, x2) - -inst_385: -// rs1_val==858993458 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x555, x1, 1476, x2) - -inst_386: -// rs1_val==858993458 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x556, x1, 1480, x2) - -inst_387: -// rs1_val==858993458 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x4, x1, 1484, x2) - -inst_388: -// rs1_val==858993458 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1488, x2) - -inst_389: -// rs1_val==858993458 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x0, x1, 1492, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x665, x1, 1496, x2) - -inst_391: -// rs1_val==858993458 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x332, x1, 1500, x2) - -inst_392: -// rs1_val==858993458 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x554, x1, 1504, x2) - -inst_393: -// rs1_val==858993458 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2, x1, 1508, x2) - -inst_394: -// rs1_val==858993458 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1512, x2) - -inst_395: -// rs1_val==858993458 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2d, x1, 1516, x2) - -inst_396: -// rs1_val==858993458 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x666, x1, 1520, x2) - -inst_397: -// rs1_val==858993458 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x333, x1, 1524, x2) - -inst_398: -// rs1_val==858993458 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x5, x1, 1528, x2) - -inst_399: -// rs1_val==858993458 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x556, x1, 1532, x2) - -inst_400: -// rs1_val==858993458 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x555, x1, 1536, x2) - -inst_401: -// rs1_val==858993458 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x3, x1, 1540, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2e, x1, 1544, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2c, x1, 1548, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x667, x1, 1552, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x334, x1, 1556, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x6, x1, 1560, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x555, x1, 1564, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x556, x1, 1568, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x4, x1, 1572, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2c, x1, 1576, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x0, x1, 1580, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x665, x1, 1584, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x332, x1, 1588, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x554, x1, 1592, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2, x1, 1596, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2d, x1, 1600, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2d, x1, 1604, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x666, x1, 1608, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x333, x1, 1612, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x5, x1, 1616, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x556, x1, 1620, x2) - -inst_422: -// rs1_val==1431655764 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x555, x1, 1624, x2) - -inst_423: -// rs1_val==1431655764 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x3, x1, 1628, x2) - -inst_424: -// rs1_val==2 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2e, x1, 1632, x2) - -inst_425: -// rs1_val==2 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2c, x1, 1636, x2) - -inst_426: -// rs1_val==2 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x667, x1, 1640, x2) - -inst_427: -// rs1_val==2 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x334, x1, 1644, x2) - -inst_428: -// rs1_val==2 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x6, x1, 1648, x2) - -inst_429: -// rs1_val==2 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x555, x1, 1652, x2) - -inst_430: -// rs1_val==2 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x556, x1, 1656, x2) - -inst_431: -// rs1_val==2 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x4, x1, 1660, x2) - -inst_432: -// rs1_val==2 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2c, x1, 1664, x2) - -inst_433: -// rs1_val==2 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x0, x1, 1668, x2) - -inst_434: -// rs1_val==2 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x665, x1, 1672, x2) - -inst_435: -// rs1_val==2 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x332, x1, 1676, x2) - -inst_436: -// rs1_val==2 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x554, x1, 1680, x2) - -inst_437: -// rs1_val==2 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x2, x1, 1684, x2) - -inst_438: -// rs1_val==2 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2d, x1, 1688, x2) - -inst_439: -// rs1_val==2 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x666, x1, 1692, x2) - -inst_440: -// rs1_val==2 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x333, x1, 1696, x2) - -inst_441: -// rs1_val==2 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x5, x1, 1700, x2) - -inst_442: -// rs1_val==2 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x556, x1, 1704, x2) - -inst_443: -// rs1_val==2 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x555, x1, 1708, x2) - -inst_444: -// rs1_val==2 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x3, x1, 1712, x2) - -inst_445: -// rs1_val==46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2e, x1, 1716, x2) - -inst_446: -// rs1_val==46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2c, x1, 1720, x2) - -inst_447: -// rs1_val==46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x667, x1, 1724, x2) - -inst_448: -// rs1_val==46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x334, x1, 1728, x2) - -inst_449: -// rs1_val==46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x6, x1, 1732, x2) - -inst_450: -// rs1_val==46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x555, x1, 1736, x2) - -inst_451: -// rs1_val==46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x556, x1, 1740, x2) - -inst_452: -// rs1_val==46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x4, x1, 1744, x2) - -inst_453: -// rs1_val==46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2c, x1, 1748, x2) - -inst_454: -// rs1_val==46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x0, x1, 1752, x2) - -inst_455: -// rs1_val==46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x665, x1, 1756, x2) - -inst_456: -// rs1_val==46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x332, x1, 1760, x2) - -inst_457: -// rs1_val==46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x554, x1, 1764, x2) - -inst_458: -// rs1_val==46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2, x1, 1768, x2) - -inst_459: -// rs1_val==46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2d, x1, 1772, x2) - -inst_460: -// rs1_val==46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2d, x1, 1776, x2) - -inst_461: -// rs1_val==46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x666, x1, 1780, x2) - -inst_462: -// rs1_val==46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x333, x1, 1784, x2) - -inst_463: -// rs1_val==46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x5, x1, 1788, x2) - -inst_464: -// rs1_val==46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x556, x1, 1792, x2) - -inst_465: -// rs1_val==46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x555, x1, 1796, x2) - -inst_466: -// rs1_val==46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x3, x1, 1800, x2) - -inst_467: -// rs1_val==-46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2e, x1, 1804, x2) - -inst_468: -// rs1_val==-46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2c, x1, 1808, x2) - -inst_469: -// rs1_val==-46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x667, x1, 1812, x2) - -inst_470: -// rs1_val==-46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x334, x1, 1816, x2) - -inst_471: -// rs1_val==-46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x6, x1, 1820, x2) - -inst_472: -// rs1_val==-46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x555, x1, 1824, x2) - -inst_473: -// rs1_val==-46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x556, x1, 1828, x2) - -inst_474: -// rs1_val==-46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x4, x1, 1832, x2) - -inst_475: -// rs1_val==-46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2c, x1, 1836, x2) - -inst_476: -// rs1_val==-46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x0, x1, 1840, x2) - -inst_477: -// rs1_val==-46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x665, x1, 1844, x2) - -inst_478: -// rs1_val==-46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x332, x1, 1848, x2) - -inst_479: -// rs1_val==-46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x554, x1, 1852, x2) - -inst_480: -// rs1_val==-46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2, x1, 1856, x2) - -inst_481: -// rs1_val==-46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2d, x1, 1860, x2) - -inst_482: -// rs1_val==-46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2d, x1, 1864, x2) - -inst_483: -// rs1_val==-46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x666, x1, 1868, x2) - -inst_484: -// rs1_val==-46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x333, x1, 1872, x2) - -inst_485: -// rs1_val==-46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x5, x1, 1876, x2) - -inst_486: -// rs1_val==-46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x556, x1, 1880, x2) - -inst_487: -// rs1_val==-46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x555, x1, 1884, x2) - -inst_488: -// rs1_val==-46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x3, x1, 1888, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1892, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2c, x1, 1896, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x667, x1, 1900, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x334, x1, 1904, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x6, x1, 1908, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x555, x1, 1912, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x556, x1, 1916, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x4, x1, 1920, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1924, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x0, x1, 1928, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x665, x1, 1932, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x332, x1, 1936, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x554, x1, 1940, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2, x1, 1944, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2d, x1, 1948, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2d, x1, 1952, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x666, x1, 1956, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x333, x1, 1960, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x5, x1, 1964, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x556, x1, 1968, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x555, x1, 1972, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x3, x1, 1976, x2) - -inst_511: -// rs1_val==858993459 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2e, x1, 1980, x2) - -inst_512: -// rs1_val==858993459 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2c, x1, 1984, x2) - -inst_513: -// rs1_val==858993459 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x667, x1, 1988, x2) - -inst_514: -// rs1_val==858993459 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x334, x1, 1992, x2) - -inst_515: -// rs1_val==858993459 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x6, x1, 1996, x2) - -inst_516: -// rs1_val==858993459 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x555, x1, 2000, x2) - -inst_517: -// rs1_val==858993459 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x556, x1, 2004, x2) - -inst_518: -// rs1_val==858993459 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x4, x1, 2008, x2) - -inst_519: -// rs1_val==858993459 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2c, x1, 2012, x2) - -inst_520: -// rs1_val==858993459 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x0, x1, 2016, x2) - -inst_521: -// rs1_val==858993459 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x665, x1, 2020, x2) - -inst_522: -// rs1_val==858993459 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x332, x1, 2024, x2) - -inst_523: -// rs1_val==858993459 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x554, x1, 2028, x2) - -inst_524: -// rs1_val==858993459 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2, x1, 2032, x2) - -inst_525: -// rs1_val==858993459 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2d, x1, 2036, x2) - -inst_526: -// rs1_val==858993459 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2d, x1, 2040, x2) - -inst_527: -// rs1_val==858993459 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x666, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==858993459 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x333, x1, 0, x2) - -inst_529: -// rs1_val==858993459 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x5, x1, 4, x2) - -inst_530: -// rs1_val==858993459 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x556, x1, 8, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x555, x1, 12, x2) - -inst_532: -// rs1_val==858993459 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x3, x1, 16, x2) - -inst_533: -// rs1_val==5 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2e, x1, 20, x2) - -inst_534: -// rs1_val==5 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2c, x1, 24, x2) - -inst_535: -// rs1_val==5 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x667, x1, 28, x2) - -inst_536: -// rs1_val==5 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x334, x1, 32, x2) - -inst_537: -// rs1_val==5 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x6, x1, 36, x2) - -inst_538: -// rs1_val==5 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x555, x1, 40, x2) - -inst_539: -// rs1_val==5 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x556, x1, 44, x2) - -inst_540: -// rs1_val==5 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x4, x1, 48, x2) - -inst_541: -// rs1_val==5 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2c, x1, 52, x2) - -inst_542: -// rs1_val==5 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x0, x1, 56, x2) - -inst_543: -// rs1_val==5 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x665, x1, 60, x2) - -inst_544: -// rs1_val==5 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x332, x1, 64, x2) - -inst_545: -// rs1_val==5 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x554, x1, 68, x2) - -inst_546: -// rs1_val==5 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x2, x1, 72, x2) - -inst_547: -// rs1_val==5 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2d, x1, 76, x2) - -inst_548: -// rs1_val==5 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2d, x1, 80, x2) - -inst_549: -// rs1_val==5 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x666, x1, 84, x2) - -inst_550: -// rs1_val==5 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x333, x1, 88, x2) - -inst_551: -// rs1_val==5 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x5, x1, 92, x2) - -inst_552: -// rs1_val==5 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x556, x1, 96, x2) - -inst_553: -// rs1_val==5 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x555, x1, 100, x2) - -inst_554: -// rs1_val==5 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x3, x1, 104, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2e, x1, 108, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2c, x1, 112, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x667, x1, 116, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x334, x1, 120, x2) - -inst_559: -// rs1_val==-1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x6, x1, 124, x2) - -inst_560: -// rs1_val == -536870913, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x20000001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x20000001, -0x6, x1, 128, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltiu-01.S deleted file mode 100644 index 65b2c2a8f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltiu-01.S +++ /dev/null @@ -1,3565 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltiu instruction of the RISC-V E extension for the sltiu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltiu) - -RVTEST_SIGBASE( x14,signature_x14_1) - -inst_0: -// rs1 != rd, rs1==x7, rd==x2, imm_val == (2**(12)-1), rs1_val != imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x7; dest:x2; op1val:0x9; immval:0xfff -TEST_IMM_OP( sltiu, x2, x7, 0x1, 0x9, 0xfff, x14, 0, x9) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, imm_val == 3839 -// opcode: sltiu ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0xeff -TEST_IMM_OP( sltiu, x3, x3, 0x1, 0x7fffffff, 0xeff, x14, 4, x9) - -inst_2: -// rs1==x10, rd==x4, rs1_val == 3221225471, imm_val == 32 -// opcode: sltiu ; op1:x10; dest:x4; op1val:0xbfffffff; immval:0x20 -TEST_IMM_OP( sltiu, x4, x10, 0x0, 0xbfffffff, 0x20, x14, 8, x9) - -inst_3: -// rs1==x4, rd==x6, rs1_val == 3758096383, -// opcode: sltiu ; op1:x4; dest:x6; op1val:0xdfffffff; immval:0x5 -TEST_IMM_OP( sltiu, x6, x4, 0x0, 0xdfffffff, 0x5, x14, 12, x9) - -inst_4: -// rs1==x6, rd==x13, rs1_val == 4026531839, -// opcode: sltiu ; op1:x6; dest:x13; op1val:0xefffffff; immval:0x667 -TEST_IMM_OP( sltiu, x13, x6, 0x0, 0xefffffff, 0x667, x14, 16, x9) - -inst_5: -// rs1==x1, rd==x7, rs1_val == 4160749567, imm_val == 16 -// opcode: sltiu ; op1:x1; dest:x7; op1val:0xf7ffffff; immval:0x10 -TEST_IMM_OP( sltiu, x7, x1, 0x0, 0xf7ffffff, 0x10, x14, 20, x9) - -inst_6: -// rs1==x2, rd==x8, rs1_val == 4227858431, imm_val == 0 -// opcode: sltiu ; op1:x2; dest:x8; op1val:0xfbffffff; immval:0x0 -TEST_IMM_OP( sltiu, x8, x2, 0x0, 0xfbffffff, 0x0, x14, 24, x9) - -inst_7: -// rs1==x0, rd==x1, rs1_val == 4261412863, -// opcode: sltiu ; op1:x0; dest:x1; op1val:0x0; immval:0xc -TEST_IMM_OP( sltiu, x1, x0, 0x1, 0x0, 0xc, x14, 28, x9) - -inst_8: -// rs1==x5, rd==x12, rs1_val == 4278190079, imm_val == 512 -// opcode: sltiu ; op1:x5; dest:x12; op1val:0xfeffffff; immval:0x200 -TEST_IMM_OP( sltiu, x12, x5, 0x0, 0xfeffffff, 0x200, x14, 32, x9) - -inst_9: -// rs1==x11, rd==x9, rs1_val == 4286578687, -// opcode: sltiu ; op1:x11; dest:x9; op1val:0xff7fffff; immval:0xf -TEST_IMM_OP( sltiu, x9, x11, 0x0, 0xff7fffff, 0xf, x14, 36, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x12, rd==x10, rs1_val == 4290772991, imm_val == 4093 -// opcode: sltiu ; op1:x12; dest:x10; op1val:0xffbfffff; immval:0xffd -TEST_IMM_OP( sltiu, x10, x12, 0x1, 0xffbfffff, 0xffd, x1, 0, x2) - -inst_11: -// rs1==x9, rd==x14, rs1_val == 4292870143, -// opcode: sltiu ; op1:x9; dest:x14; op1val:0xffdfffff; immval:0xaab -TEST_IMM_OP( sltiu, x14, x9, 0x1, 0xffdfffff, 0xaab, x1, 4, x2) - -inst_12: -// rs1==x14, rd==x15, rs1_val == 4293918719, -// opcode: sltiu ; op1:x14; dest:x15; op1val:0xffefffff; immval:0x11 -TEST_IMM_OP( sltiu, x15, x14, 0x0, 0xffefffff, 0x11, x1, 8, x2) - -inst_13: -// rs1==x15, rd==x0, rs1_val == 4294443007, -// opcode: sltiu ; op1:x15; dest:x0; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x0, x15, 0, 0xfff7ffff, 0xa, x1, 12, x2) - -inst_14: -// rs1==x13, rd==x11, rs1_val == 4294705151, -// opcode: sltiu ; op1:x13; dest:x11; op1val:0xfffbffff; immval:0x13 -TEST_IMM_OP( sltiu, x11, x13, 0x0, 0xfffbffff, 0x13, x1, 16, x2) - -inst_15: -// rs1==x8, rd==x5, rs1_val == 4294836223, -// opcode: sltiu ; op1:x8; dest:x5; op1val:0xfffdffff; immval:0x0 -TEST_IMM_OP( sltiu, x5, x8, 0x0, 0xfffdffff, 0x0, x1, 20, x2) - -inst_16: -// rs1_val == 4294901759, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffeffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffeffff, 0x3, x1, 24, x2) - -inst_17: -// rs1_val == 4294934527, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff7fff; immval:0xfff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff7fff, 0xfff, x1, 28, x2) - -inst_18: -// rs1_val == 4294950911, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffbfff; immval:0xf -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffbfff, 0xf, x1, 32, x2) - -inst_19: -// rs1_val == 4294959103, imm_val == 4087 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffdfff; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffdfff, 0xff7, x1, 36, x2) - -inst_20: -// rs1_val == 4294963199, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffefff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffefff, 0x334, x1, 40, x2) - -inst_21: -// rs1_val == 4294965247, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffff7ff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffff7ff, 0x666, x1, 44, x2) - -inst_22: -// rs1_val == 4294966271, imm_val == 3071 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffbff; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffbff, 0xbff, x1, 48, x2) - -inst_23: -// rs1_val == 4294966783, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffdff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffdff, 0x332, x1, 52, x2) - -inst_24: -// rs1_val == 4294967039, imm_val == 3583 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffeff; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffeff, 0xdff, x1, 56, x2) - -inst_25: -// rs1_val == 4294967167, imm_val == 4094 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffff7f; immval:0xffe -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffff7f, 0xffe, x1, 60, x2) - -inst_26: -// rs1_val == 4294967231, imm_val == 128 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffbf; immval:0x80 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffbf, 0x80, x1, 64, x2) - -inst_27: -// rs1_val == 4294967263, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xc, x1, 68, x2) - -inst_28: -// rs1_val == 4294967279, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffef; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffef, 0xaab, x1, 72, x2) - -inst_29: -// rs1_val == 4294967287, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffff7; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffff7, 0x554, x1, 76, x2) - -inst_30: -// rs1_val == 4294967291, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffb; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffb, 0x667, x1, 80, x2) - -inst_31: -// rs1_val == 4294967293, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffd; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffd, 0xdff, x1, 84, x2) - -inst_32: -// rs1_val == 4294967294, imm_val == 4079 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0xfef, x1, 88, x2) - -inst_33: -// imm_val == 2047, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0x7ff, x1, 92, x2) - -inst_34: -// imm_val == 3967, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xf7f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xf7f, x1, 96, x2) - -inst_35: -// imm_val == 4031, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xfbf, x1, 100, x2) - -inst_36: -// imm_val == 4063, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffbffff; immval:0xfdf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffbffff, 0xfdf, x1, 104, x2) - -inst_37: -// imm_val == 4091, rs1_val == 524288 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80000, 0xffb, x1, 108, x2) - -inst_38: -// rs1_val == 2147483648, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000000; immval:0x200 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x80000000, 0x200, x1, 112, x2) - -inst_39: -// rs1_val == 1073741824, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40000000, 0x7ff, x1, 116, x2) - -inst_40: -// rs1_val == 536870912, imm_val == 2 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000000, 0x2, x1, 120, x2) - -inst_41: -// rs1_val == 268435456, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000000, 0x3, x1, 124, x2) - -inst_42: -// rs1_val == 134217728, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000000; immval:0xeff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000000, 0xeff, x1, 128, x2) - -inst_43: -// rs1_val == 67108864, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000000; immval:0xe -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000000, 0xe, x1, 132, x2) - -inst_44: -// rs1_val == 33554432, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000000, 0x5, x1, 136, x2) - -inst_45: -// rs1_val == 16777216, imm_val == 2048 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000000; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1000000, 0x800, x1, 140, x2) - -inst_46: -// rs1_val == 8388608, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x800000, 0x7, x1, 144, x2) - -inst_47: -// rs1_val == 4194304, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x400000, 0xaab, x1, 148, x2) - -inst_48: -// rs1_val == 2097152, imm_val == 1 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200000, 0x1, x1, 152, x2) - -inst_49: -// rs1_val == 1048576, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100000, 0x7ff, x1, 156, x2) - -inst_50: -// rs1_val == 262144, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x40000, 0xff7, x1, 160, x2) - -inst_51: -// rs1_val == 131072, imm_val == 4 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000, 0x4, x1, 164, x2) - -inst_52: -// rs1_val == 65536, rs1_val==65536 and imm_val==3 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3, x1, 168, x2) - -inst_53: -// rs1_val == 32768, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000, 0xffb, x1, 172, x2) - -inst_54: -// rs1_val == 16384, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000, 0x2, x1, 176, x2) - -inst_55: -// rs1_val == 8192, imm_val == 8 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000; immval:0x8 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000, 0x8, x1, 180, x2) - -inst_56: -// rs1_val == 4096, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1000, 0x2d, x1, 184, x2) - -inst_57: -// rs1_val == 2048, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x800, 0xfbf, x1, 188, x2) - -inst_58: -// rs1_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400; immval:0x20 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x400, 0x20, x1, 192, x2) - -inst_59: -// rs1_val == 512, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200; immval:0x9 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200, 0x9, x1, 196, x2) - -inst_60: -// rs1_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100, 0x7, x1, 200, x2) - -inst_61: -// rs1_val == 128, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80, 0x800, x1, 204, x2) - -inst_62: -// rs1_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40, 0x3e, x1, 208, x2) - -inst_63: -// rs1_val == 32, imm_val == 2730 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x20, 0xaaa, x1, 212, x2) - -inst_64: -// rs1_val == 16, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10, 0xfef, x1, 216, x2) - -inst_65: -// rs1_val == 8, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x8, 0x0, x1, 220, x2) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==4, rs1_val == imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x4, x1, 224, x2) - -inst_67: -// rs1_val == 2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xbff, x1, 228, x2) - -inst_68: -// rs1_val == 1, rs1_val==1 and imm_val==1638 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x666, x1, 232, x2) - -inst_69: -// imm_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x400 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x400, x1, 236, x2) - -inst_70: -// imm_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xdfffffff; immval:0x100 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xdfffffff, 0x100, x1, 240, x2) - -inst_71: -// imm_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x12; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x12, 0x40, x1, 244, x2) - -inst_72: -// rs1_val==65536 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x40, x1, 248, x2) - -inst_73: -// rs1_val==65536 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x1, x1, 252, x2) - -inst_74: -// rs1_val==65536 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2e, x1, 256, x2) - -inst_75: -// rs1_val==65536 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x667, x1, 260, x2) - -inst_76: -// rs1_val==65536 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x334, x1, 264, x2) - -inst_77: -// rs1_val==65536 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x6, x1, 268, x2) - -inst_78: -// rs1_val==65536 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaab, x1, 272, x2) - -inst_79: -// rs1_val==65536 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x556, x1, 276, x2) - -inst_80: -// rs1_val==65536 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x4, x1, 280, x2) - -inst_81: -// rs1_val==65536 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3e, x1, 284, x2) - -inst_82: -// rs1_val==65536 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x0, x1, 288, x2) - -inst_83: -// rs1_val==65536 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2c, x1, 292, x2) - -inst_84: -// rs1_val==65536 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x665, x1, 296, x2) - -inst_85: -// rs1_val==65536 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x332, x1, 300, x2) - -inst_86: -// rs1_val==65536 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaa9, x1, 304, x2) - -inst_87: -// rs1_val==65536 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x554, x1, 308, x2) - -inst_88: -// rs1_val==65536 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2, x1, 312, x2) - -inst_89: -// rs1_val==65536 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3f, x1, 316, x2) - -inst_90: -// rs1_val==65536 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2d, x1, 320, x2) - -inst_91: -// rs1_val==65536 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x666, x1, 324, x2) - -inst_92: -// rs1_val==65536 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x333, x1, 328, x2) - -inst_93: -// rs1_val==65536 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x5, x1, 332, x2) - -inst_94: -// rs1_val==65536 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaaa, x1, 336, x2) - -inst_95: -// rs1_val==65536 and imm_val==1365, imm_val == 1365 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x555, x1, 340, x2) - -inst_96: -// rs1_val==1 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x40, x1, 344, x2) - -inst_97: -// rs1_val==1 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x1, x1, 348, x2) - -inst_98: -// rs1_val==1 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2e, x1, 352, x2) - -inst_99: -// rs1_val==1 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x667, x1, 356, x2) - -inst_100: -// rs1_val==1 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x334, x1, 360, x2) - -inst_101: -// rs1_val==1 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x6, x1, 364, x2) - -inst_102: -// rs1_val==1 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaab, x1, 368, x2) - -inst_103: -// rs1_val==1 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x556, x1, 372, x2) - -inst_104: -// rs1_val==1 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x4, x1, 376, x2) - -inst_105: -// rs1_val==1 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3e, x1, 380, x2) - -inst_106: -// rs1_val==1 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x0, x1, 384, x2) - -inst_107: -// rs1_val==1 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2c, x1, 388, x2) - -inst_108: -// rs1_val==1 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x665, x1, 392, x2) - -inst_109: -// rs1_val==1 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x332, x1, 396, x2) - -inst_110: -// rs1_val==1 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaa9, x1, 400, x2) - -inst_111: -// rs1_val==1 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x554, x1, 404, x2) - -inst_112: -// rs1_val==1 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2, x1, 408, x2) - -inst_113: -// rs1_val==1 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3f, x1, 412, x2) - -inst_114: -// rs1_val==1 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2d, x1, 416, x2) - -inst_115: -// rs1_val==1 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x333, x1, 420, x2) - -inst_116: -// rs1_val==1 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x5, x1, 424, x2) - -inst_117: -// rs1_val==1 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaaa, x1, 428, x2) - -inst_118: -// rs1_val==1 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x555, x1, 432, x2) - -inst_119: -// rs1_val==1 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3, x1, 436, x2) - -inst_120: -// rs1_val==46341 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x40, x1, 440, x2) - -inst_121: -// rs1_val==46341 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x1, x1, 444, x2) - -inst_122: -// rs1_val==46341 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2e, x1, 448, x2) - -inst_123: -// rs1_val==46341 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x667, x1, 452, x2) - -inst_124: -// rs1_val==46341 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x334, x1, 456, x2) - -inst_125: -// rs1_val==46341 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x6, x1, 460, x2) - -inst_126: -// rs1_val==46341 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaab, x1, 464, x2) - -inst_127: -// rs1_val==46341 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x556, x1, 468, x2) - -inst_128: -// rs1_val==46341 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x4, x1, 472, x2) - -inst_129: -// rs1_val==46341 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3e, x1, 476, x2) - -inst_130: -// rs1_val==46341 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x0, x1, 480, x2) - -inst_131: -// rs1_val==46341 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2c, x1, 484, x2) - -inst_132: -// rs1_val==46341 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x665, x1, 488, x2) - -inst_133: -// rs1_val==46341 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x332, x1, 492, x2) - -inst_134: -// rs1_val==46341 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaa9, x1, 496, x2) - -inst_135: -// rs1_val==46341 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x554, x1, 500, x2) - -inst_136: -// rs1_val==46341 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2, x1, 504, x2) - -inst_137: -// rs1_val==46341 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3f, x1, 508, x2) - -inst_138: -// rs1_val==46341 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2d, x1, 512, x2) - -inst_139: -// rs1_val==46341 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x666, x1, 516, x2) - -inst_140: -// rs1_val==46341 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x333, x1, 520, x2) - -inst_141: -// rs1_val==46341 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x5, x1, 524, x2) - -inst_142: -// rs1_val==46341 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaaa, x1, 528, x2) - -inst_143: -// rs1_val==46341 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x555, x1, 532, x2) - -inst_144: -// rs1_val==46341 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3, x1, 536, x2) - -inst_145: -// rs1_val==1717986919 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x40, x1, 540, x2) - -inst_146: -// rs1_val==1717986919 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x1, x1, 544, x2) - -inst_147: -// rs1_val==1717986919 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2e, x1, 548, x2) - -inst_148: -// rs1_val==1717986919 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x667, x1, 552, x2) - -inst_149: -// rs1_val==1717986919 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x334, x1, 556, x2) - -inst_150: -// rs1_val==1717986919 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x6, x1, 560, x2) - -inst_151: -// rs1_val==1717986919 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaab, x1, 564, x2) - -inst_152: -// rs1_val==1717986919 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x556, x1, 568, x2) - -inst_153: -// rs1_val==1717986919 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x4, x1, 572, x2) - -inst_154: -// rs1_val==1717986919 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3e, x1, 576, x2) - -inst_155: -// rs1_val==1717986919 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x0, x1, 580, x2) - -inst_156: -// rs1_val==1717986919 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2c, x1, 584, x2) - -inst_157: -// rs1_val==1717986919 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x665, x1, 588, x2) - -inst_158: -// rs1_val==1717986919 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x332, x1, 592, x2) - -inst_159: -// rs1_val==1717986919 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaa9, x1, 596, x2) - -inst_160: -// rs1_val==1717986919 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x554, x1, 600, x2) - -inst_161: -// rs1_val==1717986919 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2, x1, 604, x2) - -inst_162: -// rs1_val==1717986919 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3f, x1, 608, x2) - -inst_163: -// rs1_val==1717986919 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2d, x1, 612, x2) - -inst_164: -// rs1_val==1717986919 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x666, x1, 616, x2) - -inst_165: -// rs1_val==1717986919 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x333, x1, 620, x2) - -inst_166: -// rs1_val==1717986919 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x5, x1, 624, x2) - -inst_167: -// rs1_val==1717986919 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaaa, x1, 628, x2) - -inst_168: -// rs1_val==1717986919 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x555, x1, 632, x2) - -inst_169: -// rs1_val==1717986919 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3, x1, 636, x2) - -inst_170: -// rs1_val==858993460 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x40, x1, 640, x2) - -inst_171: -// rs1_val==858993460 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x1, x1, 644, x2) - -inst_172: -// rs1_val==858993460 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2e, x1, 648, x2) - -inst_173: -// rs1_val==858993460 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x667, x1, 652, x2) - -inst_174: -// rs1_val==858993460 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x334, x1, 656, x2) - -inst_175: -// rs1_val==858993460 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x6, x1, 660, x2) - -inst_176: -// rs1_val==858993460 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaab, x1, 664, x2) - -inst_177: -// rs1_val==858993460 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x556, x1, 668, x2) - -inst_178: -// rs1_val==858993460 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x4, x1, 672, x2) - -inst_179: -// rs1_val==858993460 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3e, x1, 676, x2) - -inst_180: -// rs1_val==858993460 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x0, x1, 680, x2) - -inst_181: -// rs1_val==858993460 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2c, x1, 684, x2) - -inst_182: -// rs1_val==858993460 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x665, x1, 688, x2) - -inst_183: -// rs1_val==858993460 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x332, x1, 692, x2) - -inst_184: -// rs1_val==858993460 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaa9, x1, 696, x2) - -inst_185: -// rs1_val==858993460 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x554, x1, 700, x2) - -inst_186: -// rs1_val==858993460 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2, x1, 704, x2) - -inst_187: -// rs1_val==858993460 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3f, x1, 708, x2) - -inst_188: -// rs1_val==858993460 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2d, x1, 712, x2) - -inst_189: -// rs1_val==858993460 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x666, x1, 716, x2) - -inst_190: -// rs1_val==858993460 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x333, x1, 720, x2) - -inst_191: -// rs1_val==858993460 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x5, x1, 724, x2) - -inst_192: -// rs1_val==858993460 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaaa, x1, 728, x2) - -inst_193: -// rs1_val==858993460 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x555, x1, 732, x2) - -inst_194: -// rs1_val==858993460 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3, x1, 736, x2) - -inst_195: -// rs1_val==6 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x40, x1, 740, x2) - -inst_196: -// rs1_val==6 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x1, x1, 744, x2) - -inst_197: -// rs1_val==6 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2e, x1, 748, x2) - -inst_198: -// rs1_val==6 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x667, x1, 752, x2) - -inst_199: -// rs1_val==6 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x334, x1, 756, x2) - -inst_200: -// rs1_val==6 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x6, x1, 760, x2) - -inst_201: -// rs1_val==6 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaab, x1, 764, x2) - -inst_202: -// rs1_val==6 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x556, x1, 768, x2) - -inst_203: -// rs1_val==6 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x4, x1, 772, x2) - -inst_204: -// rs1_val==6 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3e, x1, 776, x2) - -inst_205: -// rs1_val==6 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x0, x1, 780, x2) - -inst_206: -// rs1_val==6 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2c, x1, 784, x2) - -inst_207: -// rs1_val==6 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x665, x1, 788, x2) - -inst_208: -// rs1_val==6 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x332, x1, 792, x2) - -inst_209: -// rs1_val==6 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaa9, x1, 796, x2) - -inst_210: -// rs1_val==6 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x554, x1, 800, x2) - -inst_211: -// rs1_val==6 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x2, x1, 804, x2) - -inst_212: -// rs1_val==6 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3f, x1, 808, x2) - -inst_213: -// rs1_val==6 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2d, x1, 812, x2) - -inst_214: -// rs1_val==6 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x666, x1, 816, x2) - -inst_215: -// rs1_val==6 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x333, x1, 820, x2) - -inst_216: -// rs1_val==6 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x5, x1, 824, x2) - -inst_217: -// rs1_val==6 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaaa, x1, 828, x2) - -inst_218: -// rs1_val==6 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x555, x1, 832, x2) - -inst_219: -// rs1_val==6 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x3, x1, 836, x2) - -inst_220: -// rs1_val==2863311531 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x40, x1, 840, x2) - -inst_221: -// rs1_val==2863311531 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x1, x1, 844, x2) - -inst_222: -// rs1_val==2863311531 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2e, x1, 848, x2) - -inst_223: -// rs1_val==2863311531 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x667, x1, 852, x2) - -inst_224: -// rs1_val==2863311531 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x334, x1, 856, x2) - -inst_225: -// rs1_val==2863311531 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x6, x1, 860, x2) - -inst_226: -// rs1_val==2863311531 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaab, x1, 864, x2) - -inst_227: -// rs1_val==2863311531 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x556, x1, 868, x2) - -inst_228: -// rs1_val==2863311531 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x4, x1, 872, x2) - -inst_229: -// rs1_val==2863311531 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3e, x1, 876, x2) - -inst_230: -// rs1_val==2863311531 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x0, x1, 880, x2) - -inst_231: -// rs1_val==2863311531 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2c, x1, 884, x2) - -inst_232: -// rs1_val==2863311531 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x665, x1, 888, x2) - -inst_233: -// rs1_val==2863311531 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x332, x1, 892, x2) - -inst_234: -// rs1_val==2863311531 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaa9, x1, 896, x2) - -inst_235: -// rs1_val==2863311531 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x554, x1, 900, x2) - -inst_236: -// rs1_val==2863311531 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2, x1, 904, x2) - -inst_237: -// rs1_val==2863311531 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3f, x1, 908, x2) - -inst_238: -// rs1_val==2863311531 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2d, x1, 912, x2) - -inst_239: -// rs1_val==2863311531 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x666, x1, 916, x2) - -inst_240: -// rs1_val==2863311531 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x333, x1, 920, x2) - -inst_241: -// rs1_val==2863311531 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x5, x1, 924, x2) - -inst_242: -// rs1_val==2863311531 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaaa, x1, 928, x2) - -inst_243: -// rs1_val==2863311531 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x555, x1, 932, x2) - -inst_244: -// rs1_val==2863311531 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3, x1, 936, x2) - -inst_245: -// rs1_val==1431655766 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x40, x1, 940, x2) - -inst_246: -// rs1_val==1431655766 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x1, x1, 944, x2) - -inst_247: -// rs1_val==1431655766 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2e, x1, 948, x2) - -inst_248: -// rs1_val==1431655766 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x667, x1, 952, x2) - -inst_249: -// rs1_val==1431655766 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x334, x1, 956, x2) - -inst_250: -// rs1_val==1431655766 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x6, x1, 960, x2) - -inst_251: -// rs1_val==1431655766 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaab, x1, 964, x2) - -inst_252: -// rs1_val==1431655766 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x556, x1, 968, x2) - -inst_253: -// rs1_val==1431655766 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x4, x1, 972, x2) - -inst_254: -// rs1_val==1431655766 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3e, x1, 976, x2) - -inst_255: -// rs1_val==1431655766 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x0, x1, 980, x2) - -inst_256: -// rs1_val==1431655766 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2c, x1, 984, x2) - -inst_257: -// rs1_val==1431655766 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x665, x1, 988, x2) - -inst_258: -// rs1_val==1431655766 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x332, x1, 992, x2) - -inst_259: -// rs1_val==1431655766 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaa9, x1, 996, x2) - -inst_260: -// rs1_val==1431655766 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x554, x1, 1000, x2) - -inst_261: -// rs1_val==1431655766 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2, x1, 1004, x2) - -inst_262: -// rs1_val==1431655766 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3f, x1, 1008, x2) - -inst_263: -// rs1_val==1431655766 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2d, x1, 1012, x2) - -inst_264: -// rs1_val==1431655766 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x666, x1, 1016, x2) - -inst_265: -// rs1_val==1431655766 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x333, x1, 1020, x2) - -inst_266: -// rs1_val==1431655766 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x5, x1, 1024, x2) - -inst_267: -// rs1_val==1431655766 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaaa, x1, 1028, x2) - -inst_268: -// rs1_val==1431655766 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x555, x1, 1032, x2) - -inst_269: -// rs1_val==1431655766 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3, x1, 1036, x2) - -inst_270: -// rs1_val==4 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x40, x1, 1040, x2) - -inst_271: -// rs1_val==4 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x1, x1, 1044, x2) - -inst_272: -// rs1_val==4 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2e, x1, 1048, x2) - -inst_273: -// rs1_val==4 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x667, x1, 1052, x2) - -inst_274: -// rs1_val==4 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x334, x1, 1056, x2) - -inst_275: -// rs1_val==4 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x6, x1, 1060, x2) - -inst_276: -// rs1_val==4 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaab, x1, 1064, x2) - -inst_277: -// rs1_val==4 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x556, x1, 1068, x2) - -inst_278: -// rs1_val==4 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3e, x1, 1072, x2) - -inst_279: -// rs1_val==4 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x0, x1, 1076, x2) - -inst_280: -// rs1_val==4 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2c, x1, 1080, x2) - -inst_281: -// rs1_val==4 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x665, x1, 1084, x2) - -inst_282: -// rs1_val==4 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x332, x1, 1088, x2) - -inst_283: -// rs1_val==4 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaa9, x1, 1092, x2) - -inst_284: -// rs1_val==4 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x554, x1, 1096, x2) - -inst_285: -// rs1_val==4 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x2, x1, 1100, x2) - -inst_286: -// rs1_val==4 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3f, x1, 1104, x2) - -inst_287: -// rs1_val==4 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2d, x1, 1108, x2) - -inst_288: -// rs1_val==4 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x666, x1, 1112, x2) - -inst_289: -// rs1_val==4 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x333, x1, 1116, x2) - -inst_290: -// rs1_val==4 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x5, x1, 1120, x2) - -inst_291: -// rs1_val==4 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaaa, x1, 1124, x2) - -inst_292: -// rs1_val==4 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x555, x1, 1128, x2) - -inst_293: -// rs1_val==4 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x3, x1, 1132, x2) - -inst_294: -// rs1_val==65534 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x40, x1, 1136, x2) - -inst_295: -// rs1_val==65534 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x1, x1, 1140, x2) - -inst_296: -// rs1_val==65534 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2e, x1, 1144, x2) - -inst_297: -// rs1_val==65534 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x667, x1, 1148, x2) - -inst_298: -// rs1_val==65534 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x334, x1, 1152, x2) - -inst_299: -// rs1_val==65534 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x6, x1, 1156, x2) - -inst_300: -// rs1_val==65534 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaab, x1, 1160, x2) - -inst_301: -// rs1_val==65534 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x556, x1, 1164, x2) - -inst_302: -// rs1_val==65534 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x4, x1, 1168, x2) - -inst_303: -// rs1_val==65534 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3e, x1, 1172, x2) - -inst_304: -// rs1_val==65534 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x0, x1, 1176, x2) - -inst_305: -// rs1_val==65534 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2c, x1, 1180, x2) - -inst_306: -// rs1_val==65534 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x665, x1, 1184, x2) - -inst_307: -// rs1_val==65534 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x332, x1, 1188, x2) - -inst_308: -// rs1_val==65534 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaa9, x1, 1192, x2) - -inst_309: -// rs1_val==65534 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x554, x1, 1196, x2) - -inst_310: -// rs1_val==65534 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2, x1, 1200, x2) - -inst_311: -// rs1_val==65534 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3f, x1, 1204, x2) - -inst_312: -// rs1_val==65534 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2d, x1, 1208, x2) - -inst_313: -// rs1_val==65534 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x666, x1, 1212, x2) - -inst_314: -// rs1_val==65534 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x333, x1, 1216, x2) - -inst_315: -// rs1_val==65534 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x5, x1, 1220, x2) - -inst_316: -// rs1_val==65534 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaaa, x1, 1224, x2) - -inst_317: -// rs1_val==65534 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x555, x1, 1228, x2) - -inst_318: -// rs1_val==65534 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3, x1, 1232, x2) - -inst_319: -// rs1_val==0 and imm_val==64, rs1_val == 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x40, x1, 1236, x2) - -inst_320: -// rs1_val==0 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x1, x1, 1240, x2) - -inst_321: -// rs1_val==0 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2e, x1, 1244, x2) - -inst_322: -// rs1_val==0 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x667, x1, 1248, x2) - -inst_323: -// rs1_val==0 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x334, x1, 1252, x2) - -inst_324: -// rs1_val==0 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x6, x1, 1256, x2) - -inst_325: -// rs1_val==0 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaab, x1, 1260, x2) - -inst_326: -// rs1_val==0 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x556, x1, 1264, x2) - -inst_327: -// rs1_val==0 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x4, x1, 1268, x2) - -inst_328: -// rs1_val==0 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3e, x1, 1272, x2) - -inst_329: -// rs1_val==0 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x0, 0x0, x1, 1276, x2) - -inst_330: -// rs1_val==0 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2c, x1, 1280, x2) - -inst_331: -// rs1_val==0 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x665, x1, 1284, x2) - -inst_332: -// rs1_val==0 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x332, x1, 1288, x2) - -inst_333: -// rs1_val==0 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaa9, x1, 1292, x2) - -inst_334: -// rs1_val==0 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x554, x1, 1296, x2) - -inst_335: -// rs1_val==0 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2, x1, 1300, x2) - -inst_336: -// rs1_val==0 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3f, x1, 1304, x2) - -inst_337: -// rs1_val==0 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2d, x1, 1308, x2) - -inst_338: -// rs1_val==0 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x666, x1, 1312, x2) - -inst_339: -// rs1_val==0 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x333, x1, 1316, x2) - -inst_340: -// rs1_val==0 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x5, x1, 1320, x2) - -inst_341: -// rs1_val==0 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaaa, x1, 1324, x2) - -inst_342: -// rs1_val==0 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x555, x1, 1328, x2) - -inst_343: -// rs1_val==0 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3, x1, 1332, x2) - -inst_344: -// rs1_val==46339 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x40, x1, 1336, x2) - -inst_345: -// rs1_val==46339 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x1, x1, 1340, x2) - -inst_346: -// rs1_val==46339 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2e, x1, 1344, x2) - -inst_347: -// rs1_val==46339 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x667, x1, 1348, x2) - -inst_348: -// rs1_val==46339 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x334, x1, 1352, x2) - -inst_349: -// rs1_val==46339 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x6, x1, 1356, x2) - -inst_350: -// rs1_val==46339 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaab, x1, 1360, x2) - -inst_351: -// rs1_val==46339 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x556, x1, 1364, x2) - -inst_352: -// rs1_val==46339 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x4, x1, 1368, x2) - -inst_353: -// rs1_val==46339 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3e, x1, 1372, x2) - -inst_354: -// rs1_val==46339 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x0, x1, 1376, x2) - -inst_355: -// rs1_val==46339 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2c, x1, 1380, x2) - -inst_356: -// rs1_val==46339 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x665, x1, 1384, x2) - -inst_357: -// rs1_val==46339 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x332, x1, 1388, x2) - -inst_358: -// rs1_val==46339 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaa9, x1, 1392, x2) - -inst_359: -// rs1_val==46339 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x554, x1, 1396, x2) - -inst_360: -// rs1_val==46339 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2, x1, 1400, x2) - -inst_361: -// rs1_val==46339 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3f, x1, 1404, x2) - -inst_362: -// rs1_val==46339 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2d, x1, 1408, x2) - -inst_363: -// rs1_val==46339 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x666, x1, 1412, x2) - -inst_364: -// rs1_val==46339 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x333, x1, 1416, x2) - -inst_365: -// rs1_val==46339 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x5, x1, 1420, x2) - -inst_366: -// rs1_val==46339 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaaa, x1, 1424, x2) - -inst_367: -// rs1_val==46339 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x555, x1, 1428, x2) - -inst_368: -// rs1_val==46339 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3, x1, 1432, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x40, x1, 1436, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x1, x1, 1440, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1444, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x667, x1, 1448, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x334, x1, 1452, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x6, x1, 1456, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaab, x1, 1460, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x556, x1, 1464, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x4, x1, 1468, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3e, x1, 1472, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x0, x1, 1476, x2) - -inst_380: -// rs1_val==1717986917 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1480, x2) - -inst_381: -// rs1_val==1717986917 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x665, x1, 1484, x2) - -inst_382: -// rs1_val==1717986917 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x332, x1, 1488, x2) - -inst_383: -// rs1_val==1717986917 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaa9, x1, 1492, x2) - -inst_384: -// rs1_val==1717986917 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x554, x1, 1496, x2) - -inst_385: -// rs1_val==1717986917 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2, x1, 1500, x2) - -inst_386: -// rs1_val==1717986917 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3f, x1, 1504, x2) - -inst_387: -// rs1_val==1717986917 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1508, x2) - -inst_388: -// rs1_val==1717986917 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x666, x1, 1512, x2) - -inst_389: -// rs1_val==1717986917 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x333, x1, 1516, x2) - -inst_390: -// rs1_val==1717986917 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x5, x1, 1520, x2) - -inst_391: -// rs1_val==1717986917 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaaa, x1, 1524, x2) - -inst_392: -// rs1_val==1717986917 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x555, x1, 1528, x2) - -inst_393: -// rs1_val==1717986917 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3, x1, 1532, x2) - -inst_394: -// rs1_val==858993458 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x40, x1, 1536, x2) - -inst_395: -// rs1_val==858993458 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x1, x1, 1540, x2) - -inst_396: -// rs1_val==858993458 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1544, x2) - -inst_397: -// rs1_val==858993458 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x667, x1, 1548, x2) - -inst_398: -// rs1_val==858993458 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x334, x1, 1552, x2) - -inst_399: -// rs1_val==858993458 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x6, x1, 1556, x2) - -inst_400: -// rs1_val==858993458 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaab, x1, 1560, x2) - -inst_401: -// rs1_val==858993458 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x556, x1, 1564, x2) - -inst_402: -// rs1_val==858993458 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x4, x1, 1568, x2) - -inst_403: -// rs1_val==858993458 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3e, x1, 1572, x2) - -inst_404: -// rs1_val==858993458 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x0, x1, 1576, x2) - -inst_405: -// rs1_val==858993458 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1580, x2) - -inst_406: -// rs1_val==858993458 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x665, x1, 1584, x2) - -inst_407: -// rs1_val==858993458 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x332, x1, 1588, x2) - -inst_408: -// rs1_val==858993458 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaa9, x1, 1592, x2) - -inst_409: -// rs1_val==858993458 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x554, x1, 1596, x2) - -inst_410: -// rs1_val==858993458 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2, x1, 1600, x2) - -inst_411: -// rs1_val==858993458 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3f, x1, 1604, x2) - -inst_412: -// rs1_val==858993458 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1608, x2) - -inst_413: -// rs1_val==858993458 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x666, x1, 1612, x2) - -inst_414: -// rs1_val==858993458 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x333, x1, 1616, x2) - -inst_415: -// rs1_val==858993458 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x5, x1, 1620, x2) - -inst_416: -// rs1_val==858993458 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaaa, x1, 1624, x2) - -inst_417: -// rs1_val==858993458 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x555, x1, 1628, x2) - -inst_418: -// rs1_val==858993458 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3, x1, 1632, x2) - -inst_419: -// rs1_val==2863311529 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x40, x1, 1636, x2) - -inst_420: -// rs1_val==2863311529 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x1, x1, 1640, x2) - -inst_421: -// rs1_val==2863311529 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2e, x1, 1644, x2) - -inst_422: -// rs1_val==2863311529 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x667, x1, 1648, x2) - -inst_423: -// rs1_val==2863311529 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x334, x1, 1652, x2) - -inst_424: -// rs1_val==2863311529 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x6, x1, 1656, x2) - -inst_425: -// rs1_val==2863311529 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaab, x1, 1660, x2) - -inst_426: -// rs1_val==2863311529 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x556, x1, 1664, x2) - -inst_427: -// rs1_val==2863311529 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x4, x1, 1668, x2) - -inst_428: -// rs1_val==2863311529 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3e, x1, 1672, x2) - -inst_429: -// rs1_val==2863311529 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x0, x1, 1676, x2) - -inst_430: -// rs1_val==2863311529 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2c, x1, 1680, x2) - -inst_431: -// rs1_val==2863311529 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x665, x1, 1684, x2) - -inst_432: -// rs1_val==2863311529 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x332, x1, 1688, x2) - -inst_433: -// rs1_val==2863311529 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaa9, x1, 1692, x2) - -inst_434: -// rs1_val==2863311529 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x554, x1, 1696, x2) - -inst_435: -// rs1_val==2863311529 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2, x1, 1700, x2) - -inst_436: -// rs1_val==2863311529 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3f, x1, 1704, x2) - -inst_437: -// rs1_val==2863311529 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2d, x1, 1708, x2) - -inst_438: -// rs1_val==2863311529 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x666, x1, 1712, x2) - -inst_439: -// rs1_val==2863311529 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x333, x1, 1716, x2) - -inst_440: -// rs1_val==2863311529 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x5, x1, 1720, x2) - -inst_441: -// rs1_val==2863311529 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaaa, x1, 1724, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x555, x1, 1728, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3, x1, 1732, x2) - -inst_444: -// rs1_val==65535 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x40, x1, 1736, x2) - -inst_445: -// rs1_val==65535 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x1, x1, 1740, x2) - -inst_446: -// rs1_val==65535 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2e, x1, 1744, x2) - -inst_447: -// rs1_val==65535 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x667, x1, 1748, x2) - -inst_448: -// rs1_val==65535 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x334, x1, 1752, x2) - -inst_449: -// rs1_val==65535 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x6, x1, 1756, x2) - -inst_450: -// rs1_val==65535 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaab, x1, 1760, x2) - -inst_451: -// rs1_val==65535 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x556, x1, 1764, x2) - -inst_452: -// rs1_val==65535 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x4, x1, 1768, x2) - -inst_453: -// rs1_val==65535 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3e, x1, 1772, x2) - -inst_454: -// rs1_val==65535 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x0, x1, 1776, x2) - -inst_455: -// rs1_val==65535 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2c, x1, 1780, x2) - -inst_456: -// rs1_val==65535 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x665, x1, 1784, x2) - -inst_457: -// rs1_val==65535 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x332, x1, 1788, x2) - -inst_458: -// rs1_val==65535 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaa9, x1, 1792, x2) - -inst_459: -// rs1_val==65535 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x554, x1, 1796, x2) - -inst_460: -// rs1_val==65535 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2, x1, 1800, x2) - -inst_461: -// rs1_val==65535 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3f, x1, 1804, x2) - -inst_462: -// rs1_val==65535 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2d, x1, 1808, x2) - -inst_463: -// rs1_val==65535 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x666, x1, 1812, x2) - -inst_464: -// rs1_val==65535 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x333, x1, 1816, x2) - -inst_465: -// rs1_val==65535 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x5, x1, 1820, x2) - -inst_466: -// rs1_val==65535 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaaa, x1, 1824, x2) - -inst_467: -// rs1_val==65535 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x555, x1, 1828, x2) - -inst_468: -// rs1_val==65535 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3, x1, 1832, x2) - -inst_469: -// rs1_val==46340 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x40, x1, 1836, x2) - -inst_470: -// rs1_val==46340 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x1, x1, 1840, x2) - -inst_471: -// rs1_val==46340 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2e, x1, 1844, x2) - -inst_472: -// rs1_val==46340 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x667, x1, 1848, x2) - -inst_473: -// rs1_val==46340 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x334, x1, 1852, x2) - -inst_474: -// rs1_val==46340 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x6, x1, 1856, x2) - -inst_475: -// rs1_val==46340 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaab, x1, 1860, x2) - -inst_476: -// rs1_val==46340 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x556, x1, 1864, x2) - -inst_477: -// rs1_val==46340 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x4, x1, 1868, x2) - -inst_478: -// rs1_val==46340 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3e, x1, 1872, x2) - -inst_479: -// rs1_val==46340 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x0, x1, 1876, x2) - -inst_480: -// rs1_val==46340 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2c, x1, 1880, x2) - -inst_481: -// rs1_val==46340 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x665, x1, 1884, x2) - -inst_482: -// rs1_val==46340 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x332, x1, 1888, x2) - -inst_483: -// rs1_val==46340 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaa9, x1, 1892, x2) - -inst_484: -// rs1_val==46340 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x554, x1, 1896, x2) - -inst_485: -// rs1_val==46340 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2, x1, 1900, x2) - -inst_486: -// rs1_val==46340 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3f, x1, 1904, x2) - -inst_487: -// rs1_val==46340 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2d, x1, 1908, x2) - -inst_488: -// rs1_val==46340 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x666, x1, 1912, x2) - -inst_489: -// rs1_val==46340 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x333, x1, 1916, x2) - -inst_490: -// rs1_val==46340 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x5, x1, 1920, x2) - -inst_491: -// rs1_val==46340 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaaa, x1, 1924, x2) - -inst_492: -// rs1_val==46340 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x555, x1, 1928, x2) - -inst_493: -// rs1_val==46340 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3, x1, 1932, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x40, x1, 1936, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x1, x1, 1940, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1944, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x667, x1, 1948, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x334, x1, 1952, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x6, x1, 1956, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaab, x1, 1960, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x556, x1, 1964, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x4, x1, 1968, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3e, x1, 1972, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x0, x1, 1976, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1980, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x665, x1, 1984, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x332, x1, 1988, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaa9, x1, 1992, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x554, x1, 1996, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2, x1, 2000, x2) - -inst_511: -// rs1_val==1717986918 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3f, x1, 2004, x2) - -inst_512: -// rs1_val==1717986918 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2d, x1, 2008, x2) - -inst_513: -// rs1_val==1717986918 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x666, x1, 2012, x2) - -inst_514: -// rs1_val==1717986918 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x333, x1, 2016, x2) - -inst_515: -// rs1_val==1717986918 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x5, x1, 2020, x2) - -inst_516: -// rs1_val==1717986918 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaaa, x1, 2024, x2) - -inst_517: -// rs1_val==1717986918 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x555, x1, 2028, x2) - -inst_518: -// rs1_val==1717986918 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3, x1, 2032, x2) - -inst_519: -// rs1_val==858993459 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x40, x1, 2036, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x1, x1, 2040, x2) - -inst_521: -// rs1_val==858993459 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2e, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_522: -// rs1_val==858993459 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x667, x1, 0, x2) - -inst_523: -// rs1_val==858993459 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x334, x1, 4, x2) - -inst_524: -// rs1_val==858993459 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x6, x1, 8, x2) - -inst_525: -// rs1_val==858993459 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaab, x1, 12, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x556, x1, 16, x2) - -inst_527: -// rs1_val==858993459 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x4, x1, 20, x2) - -inst_528: -// rs1_val==858993459 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3e, x1, 24, x2) - -inst_529: -// rs1_val==858993459 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x0, x1, 28, x2) - -inst_530: -// rs1_val==858993459 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2c, x1, 32, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x665, x1, 36, x2) - -inst_532: -// rs1_val==858993459 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x332, x1, 40, x2) - -inst_533: -// rs1_val==858993459 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaa9, x1, 44, x2) - -inst_534: -// rs1_val==858993459 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x554, x1, 48, x2) - -inst_535: -// rs1_val==858993459 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2, x1, 52, x2) - -inst_536: -// rs1_val==858993459 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3f, x1, 56, x2) - -inst_537: -// rs1_val==858993459 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2d, x1, 60, x2) - -inst_538: -// rs1_val==858993459 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x666, x1, 64, x2) - -inst_539: -// rs1_val==858993459 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x333, x1, 68, x2) - -inst_540: -// rs1_val==858993459 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x5, x1, 72, x2) - -inst_541: -// rs1_val==858993459 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaaa, x1, 76, x2) - -inst_542: -// rs1_val==858993459 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x555, x1, 80, x2) - -inst_543: -// rs1_val==858993459 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3, x1, 84, x2) - -inst_544: -// rs1_val==5 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x40, x1, 88, x2) - -inst_545: -// rs1_val==5 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x1, x1, 92, x2) - -inst_546: -// rs1_val==5 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2e, x1, 96, x2) - -inst_547: -// rs1_val==5 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x667, x1, 100, x2) - -inst_548: -// rs1_val==5 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x334, x1, 104, x2) - -inst_549: -// rs1_val==5 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x6, x1, 108, x2) - -inst_550: -// rs1_val==5 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaab, x1, 112, x2) - -inst_551: -// rs1_val==5 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x556, x1, 116, x2) - -inst_552: -// rs1_val==5 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x4, x1, 120, x2) - -inst_553: -// rs1_val==5 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3e, x1, 124, x2) - -inst_554: -// rs1_val==5 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x0, x1, 128, x2) - -inst_555: -// rs1_val==5 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2c, x1, 132, x2) - -inst_556: -// rs1_val==5 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x665, x1, 136, x2) - -inst_557: -// rs1_val==5 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x332, x1, 140, x2) - -inst_558: -// rs1_val==5 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaa9, x1, 144, x2) - -inst_559: -// rs1_val==5 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x554, x1, 148, x2) - -inst_560: -// rs1_val==5 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x2, x1, 152, x2) - -inst_561: -// rs1_val==5 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3f, x1, 156, x2) - -inst_562: -// rs1_val==5 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2d, x1, 160, x2) - -inst_563: -// rs1_val==5 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x666, x1, 164, x2) - -inst_564: -// rs1_val==5 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x333, x1, 168, x2) - -inst_565: -// rs1_val==5 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x5, x1, 172, x2) - -inst_566: -// rs1_val==5 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaaa, x1, 176, x2) - -inst_567: -// rs1_val==5 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x555, x1, 180, x2) - -inst_568: -// rs1_val==5 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x3, x1, 184, x2) - -inst_569: -// rs1_val==2863311530 and imm_val==64, rs1_val == 2863311530 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x40, x1, 188, x2) - -inst_570: -// rs1_val==2 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaa9, x1, 192, x2) - -inst_571: -// rs1_val==2863311530 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x1, x1, 196, x2) - -inst_572: -// rs1_val==2863311530 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2e, x1, 200, x2) - -inst_573: -// rs1_val==2863311530 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x667, x1, 204, x2) - -inst_574: -// rs1_val==2863311530 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x334, x1, 208, x2) - -inst_575: -// rs1_val==2863311530 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x6, x1, 212, x2) - -inst_576: -// rs1_val==2863311530 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaab, x1, 216, x2) - -inst_577: -// rs1_val==2863311530 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x556, x1, 220, x2) - -inst_578: -// rs1_val==2863311530 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x4, x1, 224, x2) - -inst_579: -// rs1_val==2863311530 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3e, x1, 228, x2) - -inst_580: -// rs1_val==2863311530 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x0, x1, 232, x2) - -inst_581: -// rs1_val==2863311530 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2c, x1, 236, x2) - -inst_582: -// rs1_val==2863311530 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x665, x1, 240, x2) - -inst_583: -// rs1_val==2863311530 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x332, x1, 244, x2) - -inst_584: -// rs1_val==2863311530 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaa9, x1, 248, x2) - -inst_585: -// rs1_val==2863311530 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x554, x1, 252, x2) - -inst_586: -// rs1_val==2863311530 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2, x1, 256, x2) - -inst_587: -// rs1_val==2863311530 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3f, x1, 260, x2) - -inst_588: -// rs1_val==2863311530 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2d, x1, 264, x2) - -inst_589: -// rs1_val==2863311530 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x666, x1, 268, x2) - -inst_590: -// rs1_val==2863311530 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x333, x1, 272, x2) - -inst_591: -// rs1_val==2863311530 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x5, x1, 276, x2) - -inst_592: -// rs1_val==2863311530 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaaa, x1, 280, x2) - -inst_593: -// rs1_val==2863311530 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x555, x1, 284, x2) - -inst_594: -// rs1_val==2863311530 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3, x1, 288, x2) - -inst_595: -// rs1_val==1431655765 and imm_val==64, rs1_val == 1431655765 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x40, x1, 292, x2) - -inst_596: -// rs1_val==1431655765 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x1, x1, 296, x2) - -inst_597: -// rs1_val==1431655765 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2e, x1, 300, x2) - -inst_598: -// rs1_val==1431655765 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x667, x1, 304, x2) - -inst_599: -// rs1_val==1431655765 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x334, x1, 308, x2) - -inst_600: -// rs1_val==1431655765 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x6, x1, 312, x2) - -inst_601: -// rs1_val==1431655765 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaab, x1, 316, x2) - -inst_602: -// rs1_val==1431655765 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x556, x1, 320, x2) - -inst_603: -// rs1_val==1431655765 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x4, x1, 324, x2) - -inst_604: -// rs1_val==1431655765 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3e, x1, 328, x2) - -inst_605: -// rs1_val==1431655765 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x0, x1, 332, x2) - -inst_606: -// rs1_val==1431655765 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2c, x1, 336, x2) - -inst_607: -// rs1_val==1431655765 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x665, x1, 340, x2) - -inst_608: -// rs1_val==1431655765 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x332, x1, 344, x2) - -inst_609: -// rs1_val==1431655765 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaa9, x1, 348, x2) - -inst_610: -// rs1_val==1431655765 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x554, x1, 352, x2) - -inst_611: -// rs1_val==1431655765 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2, x1, 356, x2) - -inst_612: -// rs1_val==1431655765 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3f, x1, 360, x2) - -inst_613: -// rs1_val==1431655765 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2d, x1, 364, x2) - -inst_614: -// rs1_val==1431655765 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x666, x1, 368, x2) - -inst_615: -// rs1_val==1431655765 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x333, x1, 372, x2) - -inst_616: -// rs1_val==1431655765 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x5, x1, 376, x2) - -inst_617: -// rs1_val==1431655765 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaaa, x1, 380, x2) - -inst_618: -// rs1_val==1431655765 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x555, x1, 384, x2) - -inst_619: -// rs1_val==1431655765 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3, x1, 388, x2) - -inst_620: -// rs1_val==3 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x40, x1, 392, x2) - -inst_621: -// rs1_val==3 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x1, x1, 396, x2) - -inst_622: -// rs1_val==3 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2e, x1, 400, x2) - -inst_623: -// rs1_val==3 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x667, x1, 404, x2) - -inst_624: -// rs1_val==3 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x334, x1, 408, x2) - -inst_625: -// rs1_val==3 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x6, x1, 412, x2) - -inst_626: -// rs1_val==3 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaab, x1, 416, x2) - -inst_627: -// rs1_val==3 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x556, x1, 420, x2) - -inst_628: -// rs1_val==3 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x4, x1, 424, x2) - -inst_629: -// rs1_val==3 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3e, x1, 428, x2) - -inst_630: -// rs1_val==3 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x0, x1, 432, x2) - -inst_631: -// rs1_val==3 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2c, x1, 436, x2) - -inst_632: -// rs1_val==3 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x665, x1, 440, x2) - -inst_633: -// rs1_val==3 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x332, x1, 444, x2) - -inst_634: -// rs1_val==3 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaa9, x1, 448, x2) - -inst_635: -// rs1_val==3 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x554, x1, 452, x2) - -inst_636: -// rs1_val==3 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x2, x1, 456, x2) - -inst_637: -// rs1_val==3 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3f, x1, 460, x2) - -inst_638: -// rs1_val==3 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2d, x1, 464, x2) - -inst_639: -// rs1_val==3 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x666, x1, 468, x2) - -inst_640: -// rs1_val==3 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x333, x1, 472, x2) - -inst_641: -// rs1_val==3 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x5, x1, 476, x2) - -inst_642: -// rs1_val==3 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaaa, x1, 480, x2) - -inst_643: -// rs1_val==3 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x555, x1, 484, x2) - -inst_644: -// rs1_val==3 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x3, x1, 488, x2) - -inst_645: -// rs1_val==2863311529 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x555, x1, 492, x2) - -inst_646: -// rs1_val==2863311529 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3, x1, 496, x2) - -inst_647: -// rs1_val == (2**(xlen)-1), -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffff, 0x40, x1, 500, x2) - -inst_648: -// rs1_val==1431655764 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x40, x1, 504, x2) - -inst_649: -// rs1_val==1431655764 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x1, x1, 508, x2) - -inst_650: -// rs1_val==1431655764 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2e, x1, 512, x2) - -inst_651: -// rs1_val==1431655764 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x667, x1, 516, x2) - -inst_652: -// rs1_val==1431655764 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x334, x1, 520, x2) - -inst_653: -// rs1_val==1431655764 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x6, x1, 524, x2) - -inst_654: -// rs1_val==1431655764 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaab, x1, 528, x2) - -inst_655: -// rs1_val==1431655764 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x556, x1, 532, x2) - -inst_656: -// rs1_val==1431655764 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x4, x1, 536, x2) - -inst_657: -// rs1_val==1431655764 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3e, x1, 540, x2) - -inst_658: -// rs1_val==1431655764 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x0, x1, 544, x2) - -inst_659: -// rs1_val==1431655764 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2c, x1, 548, x2) - -inst_660: -// rs1_val==1431655764 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x665, x1, 552, x2) - -inst_661: -// rs1_val==1431655764 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x332, x1, 556, x2) - -inst_662: -// rs1_val==1431655764 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaa9, x1, 560, x2) - -inst_663: -// rs1_val==1431655764 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x554, x1, 564, x2) - -inst_664: -// rs1_val==1431655764 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2, x1, 568, x2) - -inst_665: -// rs1_val==1431655764 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3f, x1, 572, x2) - -inst_666: -// rs1_val==1431655764 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2d, x1, 576, x2) - -inst_667: -// rs1_val==1431655764 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x666, x1, 580, x2) - -inst_668: -// rs1_val==1431655764 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x333, x1, 584, x2) - -inst_669: -// rs1_val==1431655764 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x5, x1, 588, x2) - -inst_670: -// rs1_val==1431655764 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaaa, x1, 592, x2) - -inst_671: -// rs1_val==1431655764 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x555, x1, 596, x2) - -inst_672: -// rs1_val==1431655764 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3, x1, 600, x2) - -inst_673: -// rs1_val==2 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x40, x1, 604, x2) - -inst_674: -// rs1_val==2 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x1, x1, 608, x2) - -inst_675: -// rs1_val==2 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2e, x1, 612, x2) - -inst_676: -// rs1_val==2 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x667, x1, 616, x2) - -inst_677: -// rs1_val==2 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x334, x1, 620, x2) - -inst_678: -// rs1_val==2 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x6, x1, 624, x2) - -inst_679: -// rs1_val==2 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaab, x1, 628, x2) - -inst_680: -// rs1_val==2 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x556, x1, 632, x2) - -inst_681: -// rs1_val==2 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x4, x1, 636, x2) - -inst_682: -// rs1_val==2 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3e, x1, 640, x2) - -inst_683: -// rs1_val==2 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x0, x1, 644, x2) - -inst_684: -// rs1_val==2 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2c, x1, 648, x2) - -inst_685: -// rs1_val==2 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x665, x1, 652, x2) - -inst_686: -// rs1_val==2 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x332, x1, 656, x2) - -inst_687: -// rs1_val==2 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x554, x1, 660, x2) - -inst_688: -// rs1_val==2 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x2, x1, 664, x2) - -inst_689: -// rs1_val==2 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3f, x1, 668, x2) - -inst_690: -// rs1_val==2 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2d, x1, 672, x2) - -inst_691: -// rs1_val==2 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x666, x1, 676, x2) - -inst_692: -// rs1_val==2 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x333, x1, 680, x2) - -inst_693: -// rs1_val==2 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x5, x1, 684, x2) - -inst_694: -// rs1_val==2 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaaa, x1, 688, x2) - -inst_695: -// rs1_val == 4261412863, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfdffffff; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfdffffff, 0xc, x1, 692, x2) - -inst_696: -// rs1_val == 4294443007, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfff7ffff, 0xa, x1, 696, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x14_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x14_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 175*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltu-01.S deleted file mode 100644 index 999007f7c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltu-01.S +++ /dev/null @@ -1,3695 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltu instruction of the RISC-V E extension for the sltu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltu) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x2, rd==x7, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 16384 -// opcode: sltu ; op1:x12; op2:x2; dest:x7; op1val:0xaaaaaaab; op2val:0x4000 -TEST_RR_OP(sltu, x7, x12, x2, 0x0, 0xaaaaaaab, 0x4000, x4, 0, x9) - -inst_1: -// rs1 == rd != rs2, rs1==x11, rs2==x7, rd==x11, rs2_val == 2147483647, rs1_val == 262144 -// opcode: sltu ; op1:x11; op2:x7; dest:x11; op1val:0x40000; op2val:0x7fffffff -TEST_RR_OP(sltu, x11, x11, x7, 0x1, 0x40000, 0x7fffffff, x4, 4, x9) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs2_val == 3221225471, rs1_val == 131072 -// opcode: sltu ; op1:x6; op2:x10; dest:x10; op1val:0x20000; op2val:0xbfffffff -TEST_RR_OP(sltu, x10, x6, x10, 0x1, 0x20000, 0xbfffffff, x4, 8, x9) - -inst_3: -// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x3, rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x14; op2:x14; dest:x3; op1val:0xfdffffff; op2val:0xfdffffff -TEST_RR_OP(sltu, x3, x14, x14, 0x0, 0xfdffffff, 0xfdffffff, x4, 12, x9) - -inst_4: -// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 4026531839, rs1_val == 0 -// opcode: sltu ; op1:x5; op2:x5; dest:x5; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x5, x5, x5, 0x0, 0x0, 0x0, x4, 16, x9) - -inst_5: -// rs1==x8, rs2==x1, rd==x0, rs2_val == 4160749567, -// opcode: sltu ; op1:x8; op2:x1; dest:x0; op1val:0x0; op2val:0xf7ffffff -TEST_RR_OP(sltu, x0, x8, x1, 0, 0x0, 0xf7ffffff, x4, 20, x9) - -inst_6: -// rs1==x3, rs2==x9, rd==x13, rs2_val == 4227858431, -// opcode: sltu ; op1:x3; op2:x9; dest:x13; op1val:0x0; op2val:0xfbffffff -TEST_RR_OP(sltu, x13, x3, x9, 0x1, 0x0, 0xfbffffff, x4, 24, x7) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_7: -// rs1==x9, rs2==x3, rd==x2, rs2_val == 4261412863, rs1_val == 4294967167 -// opcode: sltu ; op1:x9; op2:x3; dest:x2; op1val:0xffffff7f; op2val:0xfdffffff -TEST_RR_OP(sltu, x2, x9, x3, 0x0, 0xffffff7f, 0xfdffffff, x5, 0, x7) - -inst_8: -// rs1==x15, rs2==x13, rd==x6, rs2_val == 4278190079, rs1_val == 4294950911 -// opcode: sltu ; op1:x15; op2:x13; dest:x6; op1val:0xffffbfff; op2val:0xfeffffff -TEST_RR_OP(sltu, x6, x15, x13, 0x0, 0xffffbfff, 0xfeffffff, x5, 4, x7) - -inst_9: -// rs1==x13, rs2==x0, rd==x12, rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x13; op2:x0; dest:x12; op1val:0xefffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x13, x0, 0x0, 0xefffffff, 0x0, x5, 8, x7) - -inst_10: -// rs1==x1, rs2==x11, rd==x14, rs2_val == 4290772991, rs1_val == 4294901759 -// opcode: sltu ; op1:x1; op2:x11; dest:x14; op1val:0xfffeffff; op2val:0xffbfffff -TEST_RR_OP(sltu, x14, x1, x11, 0x0, 0xfffeffff, 0xffbfffff, x5, 12, x7) - -inst_11: -// rs1==x2, rs2==x8, rd==x4, rs2_val == 4292870143, rs1_val == 8 -// opcode: sltu ; op1:x2; op2:x8; dest:x4; op1val:0x8; op2val:0xffdfffff -TEST_RR_OP(sltu, x4, x2, x8, 0x1, 0x8, 0xffdfffff, x5, 16, x3) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x7, rs2==x4, rd==x8, rs2_val == 4293918719, rs1_val == 2863311530 -// opcode: sltu ; op1:x7; op2:x4; dest:x8; op1val:0xaaaaaaaa; op2val:0xffefffff -TEST_RR_OP(sltu, x8, x7, x4, 0x1, 0xaaaaaaaa, 0xffefffff, x2, 0, x3) - -inst_13: -// rs1==x10, rs2==x12, rd==x15, rs2_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x12; dest:x15; op1val:0xb504; op2val:0xfff7ffff -TEST_RR_OP(sltu, x15, x10, x12, 0x1, 0xb504, 0xfff7ffff, x2, 4, x3) - -inst_14: -// rs1==x4, rs2==x15, rd==x9, rs2_val == 4294705151, rs1_val == 2048 -// opcode: sltu ; op1:x4; op2:x15; dest:x9; op1val:0x800; op2val:0xfffbffff -TEST_RR_OP(sltu, x9, x4, x15, 0x1, 0x800, 0xfffbffff, x2, 8, x3) - -inst_15: -// rs1==x0, rs2==x6, rd==x1, rs2_val == 4294836223, -// opcode: sltu ; op1:x0; op2:x6; dest:x1; op1val:0x0; op2val:0xfffdffff -TEST_RR_OP(sltu, x1, x0, x6, 0x1, 0x0, 0xfffdffff, x2, 12, x3) - -inst_16: -// rs2_val == 4294901759, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xfffeffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xfffeffff, x2, 16, x3) - -inst_17: -// rs2_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xffff7fff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xffff7fff, x2, 20, x1) - -inst_18: -// rs2_val == 4294950911, rs1_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffffbfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xffffbfff, x2, 24, x1) - -inst_19: -// rs2_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffffdfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xffffdfff, x2, 28, x1) - -inst_20: -// rs2_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffffefff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xffffefff, x2, 32, x1) - -inst_21: -// rs2_val == 4294965247, rs1_val == 4293918719 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xfffff7ff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffefffff, 0xfffff7ff, x2, 36, x1) - -inst_22: -// rs2_val == 4294966271, rs1_val == 524288 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80000, 0xfffffbff, x2, 40, x1) - -inst_23: -// rs2_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xfffffdff, x2, 44, x1) - -inst_24: -// rs2_val == 4294967039, rs1_val == 4286578687 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xfffffeff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xff7fffff, 0xfffffeff, x2, 48, x1) - -inst_25: -// rs2_val == 4294967167, rs1_val == 4294966271 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffff7f -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffbff, 0xffffff7f, x2, 52, x1) - -inst_26: -// rs2_val == 4294967231, rs1_val == 64 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xffffffbf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x40, 0xffffffbf, x2, 56, x1) - -inst_27: -// rs2_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xffffffdf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x800, 0xffffffdf, x2, 60, x1) - -inst_28: -// rs2_val == 4294967279, rs1_val == 4294965247 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffffef -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffff7ff, 0xffffffef, x2, 64, x1) - -inst_29: -// rs2_val == 4294967287, rs1_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfffffff7 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400000, 0xfffffff7, x2, 68, x1) - -inst_30: -// rs2_val == 4294967291, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 4294967291 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffb, 0xfffffffb, x2, 72, x1) - -inst_31: -// rs2_val == 4294967293, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xfffffffd, x2, 76, x1) - -inst_32: -// rs2_val == 4294967294, rs1_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffffffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20000000, 0xfffffffe, x2, 80, x1) - -inst_33: -// rs1_val == 2147483647, rs2_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x7fffffff, 0x20000000, x2, 84, x1) - -inst_34: -// rs1_val == 3221225471, rs2_val == 0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xbfffffff, 0x0, x2, 88, x1) - -inst_35: -// rs1_val == 3758096383, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0x12 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xdfffffff, 0x12, x2, 92, x1) - -inst_36: -// rs1_val == 4160749567, rs2_val == 2863311530 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xf7ffffff, 0xaaaaaaaa, x2, 96, x1) - -inst_37: -// rs1_val == 4227858431, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfbffffff, 0x20000000, x2, 100, x1) - -inst_38: -// rs1_val == 4278190079, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfeffffff, 0xfffffdff, x2, 104, x1) - -inst_39: -// rs1_val == 4290772991, rs2_val == 65536 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffbfffff, 0x10000, x2, 108, x1) - -inst_40: -// rs1_val == 4292870143, rs2_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x200000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x200000, x2, 112, x1) - -inst_41: -// rs1_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfff7ffff, 0x3, x2, 116, x1) - -inst_42: -// rs1_val == 4294705151, rs2_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x10 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x10, x2, 120, x1) - -inst_43: -// rs1_val == 4294836223, rs2_val == 32768 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffdffff, 0x8000, x2, 124, x1) - -inst_44: -// rs1_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff7fff, 0xfffffffd, x2, 128, x1) - -inst_45: -// rs1_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x8000, x2, 132, x1) - -inst_46: -// rs1_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffefff, 0xaaaaaaa9, x2, 136, x1) - -inst_47: -// rs1_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffdff, 0xfffffffb, x2, 140, x1) - -inst_48: -// rs1_val == 4294967039, rs2_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x400000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffeff, 0x400000, x2, 144, x1) - -inst_49: -// rs1_val == 4294967231, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffbf, 0xa, x2, 148, x1) - -inst_50: -// rs1_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffdf, 0x5, x2, 152, x1) - -inst_51: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x800 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffef, 0x800, x2, 156, x1) - -inst_52: -// rs1_val == 4294967287, rs2_val == 33554432 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x2000000, x2, 160, x1) - -inst_53: -// rs1_val == 4294967293, rs2_val == 8388608 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x800000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffd, 0x800000, x2, 164, x1) - -inst_54: -// rs1_val == 4294967294, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x6, x2, 168, x1) - -inst_55: -// rs2_val == 2147483648, rs1_val == 2 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x80000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x80000000, x2, 172, x1) - -inst_56: -// rs2_val == 1073741824, rs1_val == 4 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 176, x1) - -inst_57: -// rs2_val == 268435456, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x10000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xd, 0x10000000, x2, 180, x1) - -inst_58: -// rs2_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x8000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x8000000, x2, 184, x1) - -inst_59: -// rs2_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4000000, x2, 188, x1) - -inst_60: -// rs2_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x1000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x1000000, x2, 192, x1) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 196, x1) - -inst_62: -// rs2_val == 524288, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x80000, x2, 200, x1) - -inst_63: -// rs2_val == 262144, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x40000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x40000, x2, 204, x1) - -inst_64: -// rs2_val == 131072, rs1_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x20000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x200000, 0x20000, x2, 208, x1) - -inst_65: -// rs2_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x2000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x2000, x2, 212, x1) - -inst_66: -// rs2_val == 4096, rs1_val == 268435456 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x1000, x2, 216, x1) - -inst_67: -// rs2_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x400, x2, 220, x1) - -inst_68: -// rs2_val == 512, rs1_val == 1048576 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x100000, 0x200, x2, 224, x1) - -inst_69: -// rs2_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x100, x2, 228, x1) - -inst_70: -// rs2_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x80 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x80, x2, 232, x1) - -inst_71: -// rs2_val == 64, rs1_val == 2147483648 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x40 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x80000000, 0x40, x2, 236, x1) - -inst_72: -// rs2_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x20, x2, 240, x1) - -inst_73: -// rs2_val == 8, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x8 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x8, x2, 244, x1) - -inst_74: -// rs2_val == 4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8, 0x4, x2, 248, x1) - -inst_75: -// rs2_val == 2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x2, x2, 252, x1) - -inst_76: -// rs2_val == 1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x1, x2, 256, x1) - -inst_77: -// rs1_val == 1073741824, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x40000000, 0x2000000, x2, 260, x1) - -inst_78: -// rs1_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8000000, 0x200, x2, 264, x1) - -inst_79: -// rs1_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000000, 0xfffe, x2, 268, x1) - -inst_80: -// rs1_val == 33554432, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000000, 0x4, x2, 272, x1) - -inst_81: -// rs1_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000000, 0x33333334, x2, 276, x1) - -inst_82: -// rs1_val == 8388608, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x800000, 0x80000, x2, 280, x1) - -inst_83: -// rs1_val == 65536, rs1_val==65536 and rs2_val==0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x0, x2, 284, x1) - -inst_84: -// rs1_val == 32768, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x8000, 0xaaaaaaa9, x2, 288, x1) - -inst_85: -// rs1_val == 16384, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000, 0x4, x2, 292, x1) - -inst_86: -// rs1_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000, 0x20, x2, 296, x1) - -inst_87: -// rs1_val == 4096, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000, 0xfffffbff, x2, 300, x1) - -inst_88: -// rs1_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xbfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400, 0xbfffffff, x2, 304, x1) - -inst_89: -// rs1_val == 512, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x200, 0x10000, x2, 308, x1) - -inst_90: -// rs1_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xf7ffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x100, 0xf7ffffff, x2, 312, x1) - -inst_91: -// rs1_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80, 0x10000, x2, 316, x1) - -inst_92: -// rs1_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xefffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20, 0xefffffff, x2, 320, x1) - -inst_93: -// rs1_val == 1, rs1_val==1 and rs2_val==46340 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb504, x2, 324, x1) - -inst_94: -// rs1_val==65536 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x10000, x2, 328, x1) - -inst_95: -// rs1_val==65536 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x1, x2, 332, x1) - -inst_96: -// rs1_val==65536 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb505, x2, 336, x1) - -inst_97: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666667, x2, 340, x1) - -inst_98: -// rs1_val==65536 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333334, x2, 344, x1) - -inst_99: -// rs1_val==65536 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x6, x2, 348, x1) - -inst_100: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaab, x2, 352, x1) - -inst_101: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555556, x2, 356, x1) - -inst_102: -// rs1_val==65536 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x4, x2, 360, x1) - -inst_103: -// rs1_val==65536 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x2, 364, x1) - -inst_104: -// rs1_val==65536 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb503, x2, 368, x1) - -inst_105: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666665, x2, 372, x1) - -inst_106: -// rs1_val==65536 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333332, x2, 376, x1) - -inst_107: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaa9, x2, 380, x1) - -inst_108: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555554, x2, 384, x1) - -inst_109: -// rs1_val==65536 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x2, x2, 388, x1) - -inst_110: -// rs1_val==65536 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xffff, x2, 392, x1) - -inst_111: -// rs1_val==65536 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb504, x2, 396, x1) - -inst_112: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666666, x2, 400, x1) - -inst_113: -// rs1_val==65536 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333333, x2, 404, x1) - -inst_114: -// rs1_val==65536 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x5, x2, 408, x1) - -inst_115: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaaa, x2, 412, x1) - -inst_116: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555555, x2, 416, x1) - -inst_117: -// rs1_val==65536 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x3, x2, 420, x1) - -inst_118: -// rs1_val==1 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x10000, x2, 424, x1) - -inst_119: -// rs1_val==1 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x1, x2, 428, x1) - -inst_120: -// rs1_val==1 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb505, x2, 432, x1) - -inst_121: -// rs1_val==1 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666667, x2, 436, x1) - -inst_122: -// rs1_val==1 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333334, x2, 440, x1) - -inst_123: -// rs1_val==1 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x6, x2, 444, x1) - -inst_124: -// rs1_val==1 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x2, 448, x1) - -inst_125: -// rs1_val==1 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555556, x2, 452, x1) - -inst_126: -// rs1_val==1 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x4, x2, 456, x1) - -inst_127: -// rs1_val==1 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xfffe, x2, 460, x1) - -inst_128: -// rs1_val==1 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x0, x2, 464, x1) - -inst_129: -// rs1_val==1 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb503, x2, 468, x1) - -inst_130: -// rs1_val==1 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666665, x2, 472, x1) - -inst_131: -// rs1_val==1 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333332, x2, 476, x1) - -inst_132: -// rs1_val==1 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x2, 480, x1) - -inst_133: -// rs1_val==1 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555554, x2, 484, x1) - -inst_134: -// rs1_val==1 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x2, x2, 488, x1) - -inst_135: -// rs1_val==1 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xffff, x2, 492, x1) - -inst_136: -// rs1_val==1 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666666, x2, 496, x1) - -inst_137: -// rs1_val==1 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333333, x2, 500, x1) - -inst_138: -// rs1_val==1 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x5, x2, 504, x1) - -inst_139: -// rs1_val==1 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x2, 508, x1) - -inst_140: -// rs1_val==1 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555555, x2, 512, x1) - -inst_141: -// rs1_val==1 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x3, x2, 516, x1) - -inst_142: -// rs1_val==46341 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x10000, x2, 520, x1) - -inst_143: -// rs1_val==46341 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x1, x2, 524, x1) - -inst_144: -// rs1_val==46341 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 528, x1) - -inst_145: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 532, x1) - -inst_146: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 536, x1) - -inst_147: -// rs1_val==46341 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 540, x1) - -inst_148: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaab, x2, 544, x1) - -inst_149: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 548, x1) - -inst_150: -// rs1_val==46341 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 552, x1) - -inst_151: -// rs1_val==46341 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xfffe, x2, 556, x1) - -inst_152: -// rs1_val==46341 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 560, x1) - -inst_153: -// rs1_val==46341 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 564, x1) - -inst_154: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 568, x1) - -inst_155: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 572, x1) - -inst_156: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaa9, x2, 576, x1) - -inst_157: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 580, x1) - -inst_158: -// rs1_val==46341 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 584, x1) - -inst_159: -// rs1_val==46341 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xffff, x2, 588, x1) - -inst_160: -// rs1_val==46341 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 592, x1) - -inst_161: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 596, x1) - -inst_162: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 600, x1) - -inst_163: -// rs1_val==46341 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 604, x1) - -inst_164: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaaa, x2, 608, x1) - -inst_165: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 612, x1) - -inst_166: -// rs1_val==46341 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 616, x1) - -inst_167: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x10000, x2, 620, x1) - -inst_168: -// rs1_val==1717986919 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x1, x2, 624, x1) - -inst_169: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 628, x1) - -inst_170: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 632, x1) - -inst_171: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 636, x1) - -inst_172: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 640, x1) - -inst_173: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaab, x2, 644, x1) - -inst_174: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 648, x1) - -inst_175: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 652, x1) - -inst_176: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xfffe, x2, 656, x1) - -inst_177: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 660, x1) - -inst_178: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 664, x1) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 668, x1) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 672, x1) - -inst_181: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaa9, x2, 676, x1) - -inst_182: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 680, x1) - -inst_183: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 684, x1) - -inst_184: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xffff, x2, 688, x1) - -inst_185: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 692, x1) - -inst_186: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 696, x1) - -inst_187: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 700, x1) - -inst_188: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 704, x1) - -inst_189: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaaa, x2, 708, x1) - -inst_190: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 712, x1) - -inst_191: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 716, x1) - -inst_192: -// rs1_val==858993460 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x10000, x2, 720, x1) - -inst_193: -// rs1_val==858993460 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x1, x2, 724, x1) - -inst_194: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 728, x1) - -inst_195: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 732, x1) - -inst_196: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 736, x1) - -inst_197: -// rs1_val==858993460 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 740, x1) - -inst_198: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaab, x2, 744, x1) - -inst_199: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 748, x1) - -inst_200: -// rs1_val==858993460 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 752, x1) - -inst_201: -// rs1_val==858993460 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xfffe, x2, 756, x1) - -inst_202: -// rs1_val==858993460 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 760, x1) - -inst_203: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 764, x1) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 768, x1) - -inst_205: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 772, x1) - -inst_206: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaa9, x2, 776, x1) - -inst_207: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 780, x1) - -inst_208: -// rs1_val==858993460 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 784, x1) - -inst_209: -// rs1_val==858993460 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xffff, x2, 788, x1) - -inst_210: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 792, x1) - -inst_211: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 796, x1) - -inst_212: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 800, x1) - -inst_213: -// rs1_val==858993460 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 804, x1) - -inst_214: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaaa, x2, 808, x1) - -inst_215: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 812, x1) - -inst_216: -// rs1_val==858993460 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 816, x1) - -inst_217: -// rs1_val==6 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x10000, x2, 820, x1) - -inst_218: -// rs1_val==6 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x1, x2, 824, x1) - -inst_219: -// rs1_val==6 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 828, x1) - -inst_220: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 832, x1) - -inst_221: -// rs1_val==6 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 836, x1) - -inst_222: -// rs1_val==6 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x6, x2, 840, x1) - -inst_223: -// rs1_val==6 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaab, x2, 844, x1) - -inst_224: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 848, x1) - -inst_225: -// rs1_val==6 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x4, x2, 852, x1) - -inst_226: -// rs1_val==6 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xfffe, x2, 856, x1) - -inst_227: -// rs1_val==6 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x0, x2, 860, x1) - -inst_228: -// rs1_val==6 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 864, x1) - -inst_229: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 868, x1) - -inst_230: -// rs1_val==6 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 872, x1) - -inst_231: -// rs1_val==6 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaa9, x2, 876, x1) - -inst_232: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 880, x1) - -inst_233: -// rs1_val==6 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x2, x2, 884, x1) - -inst_234: -// rs1_val==6 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xffff, x2, 888, x1) - -inst_235: -// rs1_val==6 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 892, x1) - -inst_236: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 896, x1) - -inst_237: -// rs1_val==6 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 900, x1) - -inst_238: -// rs1_val==6 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x5, x2, 904, x1) - -inst_239: -// rs1_val==6 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaaa, x2, 908, x1) - -inst_240: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 912, x1) - -inst_241: -// rs1_val==6 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x3, x2, 916, x1) - -inst_242: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x10000, x2, 920, x1) - -inst_243: -// rs1_val==2863311531 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x2, 924, x1) - -inst_244: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb505, x2, 928, x1) - -inst_245: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666667, x2, 932, x1) - -inst_246: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333334, x2, 936, x1) - -inst_247: -// rs1_val==2863311531 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x6, x2, 940, x1) - -inst_248: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x2, 944, x1) - -inst_249: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555556, x2, 948, x1) - -inst_250: -// rs1_val==2863311531 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x4, x2, 952, x1) - -inst_251: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xfffe, x2, 956, x1) - -inst_252: -// rs1_val==2863311531 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x2, 960, x1) - -inst_253: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb503, x2, 964, x1) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666665, x2, 968, x1) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333332, x2, 972, x1) - -inst_256: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaa9, x2, 976, x1) - -inst_257: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555554, x2, 980, x1) - -inst_258: -// rs1_val==2863311531 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x2, x2, 984, x1) - -inst_259: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xffff, x2, 988, x1) - -inst_260: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb504, x2, 992, x1) - -inst_261: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666666, x2, 996, x1) - -inst_262: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333333, x2, 1000, x1) - -inst_263: -// rs1_val==2863311531 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x5, x2, 1004, x1) - -inst_264: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaaa, x2, 1008, x1) - -inst_265: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555555, x2, 1012, x1) - -inst_266: -// rs1_val==2863311531 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x2, 1016, x1) - -inst_267: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x10000, x2, 1020, x1) - -inst_268: -// rs1_val==1431655766 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x1, x2, 1024, x1) - -inst_269: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 1028, x1) - -inst_270: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 1032, x1) - -inst_271: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 1036, x1) - -inst_272: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 1040, x1) - -inst_273: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaab, x2, 1044, x1) - -inst_274: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 1048, x1) - -inst_275: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 1052, x1) - -inst_276: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xfffe, x2, 1056, x1) - -inst_277: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 1060, x1) - -inst_278: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 1064, x1) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 1068, x1) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 1072, x1) - -inst_281: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaa9, x2, 1076, x1) - -inst_282: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 1080, x1) - -inst_283: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 1084, x1) - -inst_284: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xffff, x2, 1088, x1) - -inst_285: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 1092, x1) - -inst_286: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 1096, x1) - -inst_287: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 1100, x1) - -inst_288: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 1104, x1) - -inst_289: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaaa, x2, 1108, x1) - -inst_290: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 1112, x1) - -inst_291: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 1116, x1) - -inst_292: -// rs1_val==4 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x10000, x2, 1120, x1) - -inst_293: -// rs1_val==4 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x1, x2, 1124, x1) - -inst_294: -// rs1_val==4 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 1128, x1) - -inst_295: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 1132, x1) - -inst_296: -// rs1_val==4 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 1136, x1) - -inst_297: -// rs1_val==4 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x6, x2, 1140, x1) - -inst_298: -// rs1_val==4 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaab, x2, 1144, x1) - -inst_299: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1148, x1) - -inst_300: -// rs1_val==4 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1152, x1) - -inst_301: -// rs1_val==4 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xfffe, x2, 1156, x1) - -inst_302: -// rs1_val==4 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1160, x1) - -inst_303: -// rs1_val==4 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 1164, x1) - -inst_304: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1168, x1) - -inst_305: -// rs1_val==4 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 1172, x1) - -inst_306: -// rs1_val==4 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaa9, x2, 1176, x1) - -inst_307: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1180, x1) - -inst_308: -// rs1_val==4 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1184, x1) - -inst_309: -// rs1_val==4 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xffff, x2, 1188, x1) - -inst_310: -// rs1_val==4 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 1192, x1) - -inst_311: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1196, x1) - -inst_312: -// rs1_val==4 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1200, x1) - -inst_313: -// rs1_val==4 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1204, x1) - -inst_314: -// rs1_val==4 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaaa, x2, 1208, x1) - -inst_315: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1212, x1) - -inst_316: -// rs1_val==4 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1216, x1) - -inst_317: -// rs1_val==65534 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x10000, x2, 1220, x1) - -inst_318: -// rs1_val==65534 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x1, x2, 1224, x1) - -inst_319: -// rs1_val==65534 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x2, 1228, x1) - -inst_320: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666667, x2, 1232, x1) - -inst_321: -// rs1_val==65534 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333334, x2, 1236, x1) - -inst_322: -// rs1_val==65534 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x6, x2, 1240, x1) - -inst_323: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaab, x2, 1244, x1) - -inst_324: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555556, x2, 1248, x1) - -inst_325: -// rs1_val==65534 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x4, x2, 1252, x1) - -inst_326: -// rs1_val==65534 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x2, 1256, x1) - -inst_327: -// rs1_val==65534 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x0, x2, 1260, x1) - -inst_328: -// rs1_val==65534 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x2, 1264, x1) - -inst_329: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666665, x2, 1268, x1) - -inst_330: -// rs1_val==65534 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333332, x2, 1272, x1) - -inst_331: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaa9, x2, 1276, x1) - -inst_332: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555554, x2, 1280, x1) - -inst_333: -// rs1_val==65534 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x2, x2, 1284, x1) - -inst_334: -// rs1_val==65534 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xffff, x2, 1288, x1) - -inst_335: -// rs1_val==65534 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x2, 1292, x1) - -inst_336: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666666, x2, 1296, x1) - -inst_337: -// rs1_val==65534 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333333, x2, 1300, x1) - -inst_338: -// rs1_val==65534 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x5, x2, 1304, x1) - -inst_339: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaaa, x2, 1308, x1) - -inst_340: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555555, x2, 1312, x1) - -inst_341: -// rs1_val==65534 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x3, x2, 1316, x1) - -inst_342: -// rs1_val==0 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x10000, x2, 1320, x1) - -inst_343: -// rs1_val==0 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x1, x2, 1324, x1) - -inst_344: -// rs1_val==0 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1328, x1) - -inst_345: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1332, x1) - -inst_346: -// rs1_val==0 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1336, x1) - -inst_347: -// rs1_val==0 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1340, x1) - -inst_348: -// rs1_val==0 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaab, x2, 1344, x1) - -inst_349: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1348, x1) - -inst_350: -// rs1_val==0 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1352, x1) - -inst_351: -// rs1_val==0 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xfffe, x2, 1356, x1) - -inst_352: -// rs1_val==0 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1360, x1) - -inst_353: -// rs1_val==0 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1364, x1) - -inst_354: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1368, x1) - -inst_355: -// rs1_val==0 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1372, x1) - -inst_356: -// rs1_val==0 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaa9, x2, 1376, x1) - -inst_357: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1380, x1) - -inst_358: -// rs1_val==0 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1384, x1) - -inst_359: -// rs1_val==0 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xffff, x2, 1388, x1) - -inst_360: -// rs1_val==0 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1392, x1) - -inst_361: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1396, x1) - -inst_362: -// rs1_val==0 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1400, x1) - -inst_363: -// rs1_val==0 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1404, x1) - -inst_364: -// rs1_val==0 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaaa, x2, 1408, x1) - -inst_365: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1412, x1) - -inst_366: -// rs1_val==0 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1416, x1) - -inst_367: -// rs1_val==46339 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x10000, x2, 1420, x1) - -inst_368: -// rs1_val==46339 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x1, x2, 1424, x1) - -inst_369: -// rs1_val==46339 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1428, x1) - -inst_370: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1432, x1) - -inst_371: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1436, x1) - -inst_372: -// rs1_val==46339 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1440, x1) - -inst_373: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaab, x2, 1444, x1) - -inst_374: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1448, x1) - -inst_375: -// rs1_val==46339 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1452, x1) - -inst_376: -// rs1_val==46339 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xfffe, x2, 1456, x1) - -inst_377: -// rs1_val==46339 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1460, x1) - -inst_378: -// rs1_val==46339 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1464, x1) - -inst_379: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1468, x1) - -inst_380: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1472, x1) - -inst_381: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaa9, x2, 1476, x1) - -inst_382: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1480, x1) - -inst_383: -// rs1_val==46339 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1484, x1) - -inst_384: -// rs1_val==46339 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xffff, x2, 1488, x1) - -inst_385: -// rs1_val==46339 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1492, x1) - -inst_386: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1496, x1) - -inst_387: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1500, x1) - -inst_388: -// rs1_val==46339 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1504, x1) - -inst_389: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaaa, x2, 1508, x1) - -inst_390: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1512, x1) - -inst_391: -// rs1_val==46339 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1516, x1) - -inst_392: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x10000, x2, 1520, x1) - -inst_393: -// rs1_val==1717986917 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x1, x2, 1524, x1) - -inst_394: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1528, x1) - -inst_395: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1532, x1) - -inst_396: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1536, x1) - -inst_397: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1540, x1) - -inst_398: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaab, x2, 1544, x1) - -inst_399: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1548, x1) - -inst_400: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1552, x1) - -inst_401: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xfffe, x2, 1556, x1) - -inst_402: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1560, x1) - -inst_403: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1564, x1) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1568, x1) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1572, x1) - -inst_406: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaa9, x2, 1576, x1) - -inst_407: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1580, x1) - -inst_408: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1584, x1) - -inst_409: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xffff, x2, 1588, x1) - -inst_410: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1592, x1) - -inst_411: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1596, x1) - -inst_412: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1600, x1) - -inst_413: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1604, x1) - -inst_414: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaaa, x2, 1608, x1) - -inst_415: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1612, x1) - -inst_416: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1616, x1) - -inst_417: -// rs1_val==858993458 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x10000, x2, 1620, x1) - -inst_418: -// rs1_val==858993458 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x1, x2, 1624, x1) - -inst_419: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1628, x1) - -inst_420: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1632, x1) - -inst_421: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1636, x1) - -inst_422: -// rs1_val==858993458 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1640, x1) - -inst_423: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaab, x2, 1644, x1) - -inst_424: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1648, x1) - -inst_425: -// rs1_val==858993458 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1652, x1) - -inst_426: -// rs1_val==858993458 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xfffe, x2, 1656, x1) - -inst_427: -// rs1_val==858993458 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1660, x1) - -inst_428: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1664, x1) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1668, x1) - -inst_430: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1672, x1) - -inst_431: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaa9, x2, 1676, x1) - -inst_432: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1680, x1) - -inst_433: -// rs1_val==858993458 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1684, x1) - -inst_434: -// rs1_val==858993458 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xffff, x2, 1688, x1) - -inst_435: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1692, x1) - -inst_436: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1696, x1) - -inst_437: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1700, x1) - -inst_438: -// rs1_val==858993458 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1704, x1) - -inst_439: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaaa, x2, 1708, x1) - -inst_440: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1712, x1) - -inst_441: -// rs1_val==858993458 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1716, x1) - -inst_442: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x10000, x2, 1720, x1) - -inst_443: -// rs1_val==2863311529 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x2, 1724, x1) - -inst_444: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb505, x2, 1728, x1) - -inst_445: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666667, x2, 1732, x1) - -inst_446: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333334, x2, 1736, x1) - -inst_447: -// rs1_val==2863311529 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x6, x2, 1740, x1) - -inst_448: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaab, x2, 1744, x1) - -inst_449: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555556, x2, 1748, x1) - -inst_450: -// rs1_val==2863311529 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x4, x2, 1752, x1) - -inst_451: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xfffe, x2, 1756, x1) - -inst_452: -// rs1_val==2863311529 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x2, 1760, x1) - -inst_453: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb503, x2, 1764, x1) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666665, x2, 1768, x1) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333332, x2, 1772, x1) - -inst_456: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x2, 1776, x1) - -inst_457: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555554, x2, 1780, x1) - -inst_458: -// rs1_val==2863311529 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x2, x2, 1784, x1) - -inst_459: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xffff, x2, 1788, x1) - -inst_460: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb504, x2, 1792, x1) - -inst_461: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666666, x2, 1796, x1) - -inst_462: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333333, x2, 1800, x1) - -inst_463: -// rs1_val==2863311529 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x5, x2, 1804, x1) - -inst_464: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1808, x1) - -inst_465: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x2, 1812, x1) - -inst_466: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1816, x1) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1820, x1) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1824, x1) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1828, x1) - -inst_470: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaa9, x2, 1832, x1) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1836, x1) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1840, x1) - -inst_473: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xffff, x2, 1844, x1) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1848, x1) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1852, x1) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1856, x1) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1860, x1) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaaa, x2, 1864, x1) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1868, x1) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1872, x1) - -inst_481: -// rs1_val==2 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x10000, x2, 1876, x1) - -inst_482: -// rs1_val==2 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x1, x2, 1880, x1) - -inst_483: -// rs1_val==2 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1884, x1) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1888, x1) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1892, x1) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1896, x1) - -inst_487: -// rs1_val==2 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x2, 1900, x1) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1904, x1) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1908, x1) - -inst_490: -// rs1_val==2 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xfffe, x2, 1912, x1) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1916, x1) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1920, x1) - -inst_493: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1924, x1) - -inst_494: -// rs1_val==2 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1928, x1) - -inst_495: -// rs1_val==2 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x2, 1932, x1) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1936, x1) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1940, x1) - -inst_498: -// rs1_val==2 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xffff, x2, 1944, x1) - -inst_499: -// rs1_val==2 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1948, x1) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1952, x1) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1956, x1) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1960, x1) - -inst_503: -// rs1_val==2 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x2, 1964, x1) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1968, x1) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1972, x1) - -inst_506: -// rs1_val==65535 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x10000, x2, 1976, x1) - -inst_507: -// rs1_val==65535 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x1, x2, 1980, x1) - -inst_508: -// rs1_val==65535 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb505, x2, 1984, x1) - -inst_509: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666667, x2, 1988, x1) - -inst_510: -// rs1_val==65535 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333334, x2, 1992, x1) - -inst_511: -// rs1_val==65535 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x6, x2, 1996, x1) - -inst_512: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaab, x2, 2000, x1) - -inst_513: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555556, x2, 2004, x1) - -inst_514: -// rs1_val==65535 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x4, x2, 2008, x1) - -inst_515: -// rs1_val==65535 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x2, 2012, x1) - -inst_516: -// rs1_val==65535 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x0, x2, 2016, x1) - -inst_517: -// rs1_val==65535 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb503, x2, 2020, x1) - -inst_518: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666665, x2, 2024, x1) - -inst_519: -// rs1_val==65535 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333332, x2, 2028, x1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaa9, x2, 2032, x1) - -inst_521: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555554, x2, 2036, x1) - -inst_522: -// rs1_val==65535 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x2, x2, 2040, x1) - -inst_523: -// rs1_val==65535 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xffff, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_524: -// rs1_val==65535 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb504, x2, 0, x1) - -inst_525: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666666, x2, 4, x1) - -inst_526: -// rs1_val==65535 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333333, x2, 8, x1) - -inst_527: -// rs1_val==65535 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x5, x2, 12, x1) - -inst_528: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaaa, x2, 16, x1) - -inst_529: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555555, x2, 20, x1) - -inst_530: -// rs1_val==65535 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x3, x2, 24, x1) - -inst_531: -// rs1_val==46340 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x10000, x2, 28, x1) - -inst_532: -// rs1_val==46340 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x1, x2, 32, x1) - -inst_533: -// rs1_val==46340 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 36, x1) - -inst_534: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 40, x1) - -inst_535: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 44, x1) - -inst_536: -// rs1_val==46340 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 48, x1) - -inst_537: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaab, x2, 52, x1) - -inst_538: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 56, x1) - -inst_539: -// rs1_val==46340 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 60, x1) - -inst_540: -// rs1_val==46340 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xfffe, x2, 64, x1) - -inst_541: -// rs1_val==46340 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 68, x1) - -inst_542: -// rs1_val==46340 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 72, x1) - -inst_543: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 76, x1) - -inst_544: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 80, x1) - -inst_545: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaa9, x2, 84, x1) - -inst_546: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 88, x1) - -inst_547: -// rs1_val==46340 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 92, x1) - -inst_548: -// rs1_val==46340 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xffff, x2, 96, x1) - -inst_549: -// rs1_val==46340 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 100, x1) - -inst_550: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 104, x1) - -inst_551: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 108, x1) - -inst_552: -// rs1_val==46340 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 112, x1) - -inst_553: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaaa, x2, 116, x1) - -inst_554: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 120, x1) - -inst_555: -// rs1_val==46340 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 124, x1) - -inst_556: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x10000, x2, 128, x1) - -inst_557: -// rs1_val==1717986918 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x1, x2, 132, x1) - -inst_558: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 136, x1) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 140, x1) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 144, x1) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 148, x1) - -inst_562: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaab, x2, 152, x1) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 156, x1) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 160, x1) - -inst_565: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xfffe, x2, 164, x1) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 168, x1) - -inst_567: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 172, x1) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 176, x1) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 180, x1) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaa9, x2, 184, x1) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 188, x1) - -inst_572: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 192, x1) - -inst_573: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xffff, x2, 196, x1) - -inst_574: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 200, x1) - -inst_575: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 204, x1) - -inst_576: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 208, x1) - -inst_577: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 212, x1) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaaa, x2, 216, x1) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 220, x1) - -inst_580: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 224, x1) - -inst_581: -// rs1_val==858993459 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x10000, x2, 228, x1) - -inst_582: -// rs1_val==858993459 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x1, x2, 232, x1) - -inst_583: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 236, x1) - -inst_584: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 240, x1) - -inst_585: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 244, x1) - -inst_586: -// rs1_val==858993459 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 248, x1) - -inst_587: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaab, x2, 252, x1) - -inst_588: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 256, x1) - -inst_589: -// rs1_val==858993459 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 260, x1) - -inst_590: -// rs1_val==858993459 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xfffe, x2, 264, x1) - -inst_591: -// rs1_val==858993459 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 268, x1) - -inst_592: -// rs1_val==2863311529 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x3, x2, 272, x1) - -inst_593: -// rs2_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xffffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xffffffff, x2, 276, x1) - -inst_594: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 280, x1) - -inst_595: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 284, x1) - -inst_596: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 288, x1) - -inst_597: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaa9, x2, 292, x1) - -inst_598: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 296, x1) - -inst_599: -// rs1_val==858993459 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 300, x1) - -inst_600: -// rs1_val==858993459 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xffff, x2, 304, x1) - -inst_601: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 308, x1) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 312, x1) - -inst_603: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 316, x1) - -inst_604: -// rs1_val==858993459 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 320, x1) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaaa, x2, 324, x1) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 328, x1) - -inst_607: -// rs1_val==858993459 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 332, x1) - -inst_608: -// rs1_val==5 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x10000, x2, 336, x1) - -inst_609: -// rs1_val==5 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x1, x2, 340, x1) - -inst_610: -// rs1_val==5 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 344, x1) - -inst_611: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 348, x1) - -inst_612: -// rs1_val==5 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 352, x1) - -inst_613: -// rs1_val==5 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x6, x2, 356, x1) - -inst_614: -// rs1_val==5 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaab, x2, 360, x1) - -inst_615: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 364, x1) - -inst_616: -// rs1_val==5 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x4, x2, 368, x1) - -inst_617: -// rs1_val==5 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffe, x2, 372, x1) - -inst_618: -// rs1_val==5 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x0, x2, 376, x1) - -inst_619: -// rs1_val==5 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 380, x1) - -inst_620: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 384, x1) - -inst_621: -// rs1_val==5 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 388, x1) - -inst_622: -// rs1_val==5 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaa9, x2, 392, x1) - -inst_623: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 396, x1) - -inst_624: -// rs1_val==5 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x2, x2, 400, x1) - -inst_625: -// rs1_val==5 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xffff, x2, 404, x1) - -inst_626: -// rs1_val==5 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 408, x1) - -inst_627: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 412, x1) - -inst_628: -// rs1_val==5 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 416, x1) - -inst_629: -// rs1_val==5 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x5, x2, 420, x1) - -inst_630: -// rs1_val==5 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaaa, x2, 424, x1) - -inst_631: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 428, x1) - -inst_632: -// rs1_val==5 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x3, x2, 432, x1) - -inst_633: -// rs1_val==2863311530 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x10000, x2, 436, x1) - -inst_634: -// rs1_val==2863311530 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x2, 440, x1) - -inst_635: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb505, x2, 444, x1) - -inst_636: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666667, x2, 448, x1) - -inst_637: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333334, x2, 452, x1) - -inst_638: -// rs1_val==2863311530 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x6, x2, 456, x1) - -inst_639: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaab, x2, 460, x1) - -inst_640: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555556, x2, 464, x1) - -inst_641: -// rs1_val==2863311530 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x4, x2, 468, x1) - -inst_642: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xfffe, x2, 472, x1) - -inst_643: -// rs1_val==2863311530 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x2, 476, x1) - -inst_644: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb503, x2, 480, x1) - -inst_645: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666665, x2, 484, x1) - -inst_646: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333332, x2, 488, x1) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaa9, x2, 492, x1) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555554, x2, 496, x1) - -inst_649: -// rs1_val==2863311530 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x2, 500, x1) - -inst_650: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xffff, x2, 504, x1) - -inst_651: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb504, x2, 508, x1) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666666, x2, 512, x1) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333333, x2, 516, x1) - -inst_654: -// rs1_val==2863311530 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x2, 520, x1) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x2, 524, x1) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x2, 528, x1) - -inst_657: -// rs1_val==2863311530 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x3, x2, 532, x1) - -inst_658: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x10000, x2, 536, x1) - -inst_659: -// rs1_val==1431655765 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x1, x2, 540, x1) - -inst_660: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 544, x1) - -inst_661: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 548, x1) - -inst_662: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 552, x1) - -inst_663: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 556, x1) - -inst_664: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaab, x2, 560, x1) - -inst_665: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 564, x1) - -inst_666: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 568, x1) - -inst_667: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xfffe, x2, 572, x1) - -inst_668: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 576, x1) - -inst_669: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 580, x1) - -inst_670: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 584, x1) - -inst_671: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 588, x1) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaa9, x2, 592, x1) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 596, x1) - -inst_674: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 600, x1) - -inst_675: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xffff, x2, 604, x1) - -inst_676: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 608, x1) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 612, x1) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 616, x1) - -inst_679: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 620, x1) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaaa, x2, 624, x1) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 628, x1) - -inst_682: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 632, x1) - -inst_683: -// rs1_val==3 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x10000, x2, 636, x1) - -inst_684: -// rs1_val==3 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x1, x2, 640, x1) - -inst_685: -// rs1_val==3 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 644, x1) - -inst_686: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 648, x1) - -inst_687: -// rs1_val==3 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 652, x1) - -inst_688: -// rs1_val==3 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x6, x2, 656, x1) - -inst_689: -// rs1_val==3 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaab, x2, 660, x1) - -inst_690: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 664, x1) - -inst_691: -// rs1_val==3 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x4, x2, 668, x1) - -inst_692: -// rs1_val==3 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xfffe, x2, 672, x1) - -inst_693: -// rs1_val==3 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x0, x2, 676, x1) - -inst_694: -// rs1_val==3 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 680, x1) - -inst_695: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 684, x1) - -inst_696: -// rs1_val==3 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 688, x1) - -inst_697: -// rs1_val==3 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x2, 692, x1) - -inst_698: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 696, x1) - -inst_699: -// rs1_val==3 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x2, x2, 700, x1) - -inst_700: -// rs1_val==3 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xffff, x2, 704, x1) - -inst_701: -// rs1_val==3 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 708, x1) - -inst_702: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 712, x1) - -inst_703: -// rs1_val==3 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 716, x1) - -inst_704: -// rs1_val==3 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x5, x2, 720, x1) - -inst_705: -// rs1_val==3 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x2, 724, x1) - -inst_706: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 728, x1) - -inst_707: -// rs1_val==3 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x3, x2, 732, x1) - -inst_708: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaaa, x2, 736, x1) - -inst_709: -// rs1_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffff, 0xfffffbff, x2, 740, x1) - -inst_710: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555555, x2, 744, x1) - -inst_711: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x10000, x2, 748, x1) - -inst_712: -// rs1_val==1431655764 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 752, x1) - -inst_713: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 756, x1) - -inst_714: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 760, x1) - -inst_715: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 764, x1) - -inst_716: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 768, x1) - -inst_717: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaab, x2, 772, x1) - -inst_718: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 776, x1) - -inst_719: -// rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xdfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfdffffff, 0xdfffffff, x2, 780, x1) - -inst_720: -// rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xff7fffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xff7fffff, x2, 784, x1) - -inst_721: -// rs2_val == 4294836223, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffdffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffdffff, x2, 788, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 198*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sra-01.S deleted file mode 100644 index 98801fb44..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sra-01.S +++ /dev/null @@ -1,530 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sra instruction of the RISC-V E extension for the sra covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sra) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x9, rd==x12, rs1_val < 0 and rs2_val == 0, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sra ; op1:x11; op2:x9; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sra, x12, x11, x9, -0x55555556, -0x55555556, 0x0, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x8, rs2==x12, rd==x8, rs2_val == 15, rs1_val == -524289, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x8; op2:x12; dest:x8; op1val:-0x80001; op2val:0xf -TEST_RR_OP(sra, x8, x8, x12, -0x11, -0x80001, 0xf, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x10, rs2==x2, rd==x2, rs2_val == 23, rs1_val==3, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x2; dest:x2; op1val:0x3; op2val:0x17 -TEST_RR_OP(sra, x2, x10, x2, 0x0, 0x3, 0x17, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x4, rs2_val == 27, -// opcode: sra ; op1:x6; op2:x6; dest:x4; op1val:-0x8; op2val:-0x8 -TEST_RR_OP(sra, x4, x6, x6, -0x1, -0x8, -0x8, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x13; op2:x13; dest:x13; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sra, x13, x13, x13, -0xaaaab, -0x55555555, -0x55555555, x3, 16, x5) - -inst_5: -// rs1==x0, rs2==x10, rd==x7, rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x0; op2:x10; dest:x7; op1val:0x0; op2val:0x1e -TEST_RR_OP(sra, x7, x0, x10, 0x0, 0x0, 0x1e, x3, 20, x5) - -inst_6: -// rs1==x1, rs2==x15, rd==x14, rs1_val == 2147483647, rs2_val == 21, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x1; op2:x15; dest:x14; op1val:0x7fffffff; op2val:0x15 -TEST_RR_OP(sra, x14, x1, x15, 0x3ff, 0x7fffffff, 0x15, x3, 24, x5) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x3, rs2==x7, rd==x5, rs1_val == -1073741825, rs2_val == 1 -// opcode: sra ; op1:x3; op2:x7; dest:x5; op1val:-0x40000001; op2val:0x1 -TEST_RR_OP(sra, x5, x3, x7, -0x20000001, -0x40000001, 0x1, x4, 0, x6) - -inst_8: -// rs1==x2, rs2==x8, rd==x15, rs1_val == -536870913, -// opcode: sra ; op1:x2; op2:x8; dest:x15; op1val:-0x20000001; op2val:0x7 -TEST_RR_OP(sra, x15, x2, x8, -0x400001, -0x20000001, 0x7, x4, 4, x6) - -inst_9: -// rs1==x7, rs2==x11, rd==x1, rs1_val == -268435457, -// opcode: sra ; op1:x7; op2:x11; dest:x1; op1val:-0x10000001; op2val:0x9 -TEST_RR_OP(sra, x1, x7, x11, -0x80001, -0x10000001, 0x9, x4, 8, x6) - -inst_10: -// rs1==x12, rs2==x0, rd==x9, rs1_val == -134217729, -// opcode: sra ; op1:x12; op2:x0; dest:x9; op1val:-0x8000001; op2val:0x0 -TEST_RR_OP(sra, x9, x12, x0, -0x8000001, -0x8000001, 0x0, x4, 12, x6) - -inst_11: -// rs1==x5, rs2==x14, rd==x10, rs1_val == -67108865, -// opcode: sra ; op1:x5; op2:x14; dest:x10; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sra, x10, x5, x14, -0x9, -0x4000001, 0x17, x4, 16, x6) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x4, rs2==x5, rd==x0, rs1_val == -33554433, -// opcode: sra ; op1:x4; op2:x5; dest:x0; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x0, x4, x5, 0, -0x2000001, 0x9, x2, 0, x7) - -inst_13: -// rs1==x15, rs2==x4, rd==x3, rs1_val == -16777217, -// opcode: sra ; op1:x15; op2:x4; dest:x3; op1val:-0x1000001; op2val:0xe -TEST_RR_OP(sra, x3, x15, x4, -0x401, -0x1000001, 0xe, x2, 4, x7) - -inst_14: -// rs1==x9, rs2==x3, rd==x11, rs1_val == -8388609, -// opcode: sra ; op1:x9; op2:x3; dest:x11; op1val:-0x800001; op2val:0x11 -TEST_RR_OP(sra, x11, x9, x3, -0x41, -0x800001, 0x11, x2, 8, x7) - -inst_15: -// rs1==x14, rs2==x1, rd==x6, rs1_val == -4194305, -// opcode: sra ; op1:x14; op2:x1; dest:x6; op1val:-0x400001; op2val:0x1b -TEST_RR_OP(sra, x6, x14, x1, -0x1, -0x400001, 0x1b, x2, 12, x7) - -inst_16: -// rs1_val == -2097153, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x41, -0x200001, 0xf, x2, 16, x7) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x40001, -0x100001, 0x2, x2, 20, x1) - -inst_18: -// rs1_val == -262145, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x201, -0x40001, 0x9, x2, 24, x1) - -inst_19: -// rs1_val == -131073, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x20001, 0x1e, x2, 28, x1) - -inst_20: -// rs1_val == -65537, rs2_val == 16 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x2, -0x10001, 0x10, x2, 32, x1) - -inst_21: -// rs1_val == -32769, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x8001, 0x1b, x2, 36, x1) - -inst_22: -// rs1_val == -16385, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x1001, -0x4001, 0x2, x2, 40, x1) - -inst_23: -// rs1_val == -8193, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2001, 0x1e, x2, 44, x1) - -inst_24: -// rs1_val == -4097, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x1001, 0xf, x2, 48, x1) - -inst_25: -// rs1_val == -2049, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x801, 0x12, x2, 52, x1) - -inst_26: -// rs1_val == -1025, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x401, 0x12, x2, 56, x1) - -inst_27: -// rs1_val == -513, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x201, 0x1b, x2, 60, x1) - -inst_28: -// rs1_val == -257, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x101, 0x9, x2, 64, x1) - -inst_29: -// rs1_val == -129, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x6 -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x81, 0x6, x2, 68, x1) - -inst_30: -// rs1_val == -65, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x41, 0x1d, x2, 72, x1) - -inst_31: -// rs1_val == -33, rs2_val == 8 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x21, 0x8, x2, 76, x1) - -inst_32: -// rs1_val == -17, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x11, 0xe, x2, 80, x1) - -inst_33: -// rs1_val == -9, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x9, 0x9, x2, 84, x1) - -inst_34: -// rs1_val == -5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x5, 0xe, x2, 88, x1) - -inst_35: -// rs1_val == -3, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x3, 0x15, x2, 92, x1) - -inst_36: -// rs1_val == -2, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2, 0x10, x2, 96, x1) - -inst_37: -// rs2_val == 4, rs1_val==2, rs1_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2, 0x4, x2, 100, x1) - -inst_38: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, -0x1000, -0x80000000, 0x13, x2, 104, x1) - -inst_39: -// rs1_val == 1073741824, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x40000000, 0x1b, x2, 108, x1) - -inst_40: -// rs1_val == 536870912, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x100000, 0x20000000, 0x9, x2, 112, x1) - -inst_41: -// rs1_val == 268435456, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x20000, 0x10000000, 0xb, x2, 116, x1) - -inst_42: -// rs1_val == 134217728, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x17 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x8000000, 0x17, x2, 120, x1) - -inst_43: -// rs1_val == 67108864, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xc -TEST_RR_OP(sra, x12, x10, x11, 0x4000, 0x4000000, 0xc, x2, 124, x1) - -inst_44: -// rs1_val == 33554432, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x100, 0x2000000, 0x11, x2, 128, x1) - -inst_45: -// rs1_val == 16777216, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x80, 0x1000000, 0x11, x2, 132, x1) - -inst_46: -// rs1_val == 8388608, rs1_val > 0 and rs2_val == 0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x0 -TEST_RR_OP(sra, x12, x10, x11, 0x800000, 0x800000, 0x0, x2, 136, x1) - -inst_47: -// rs1_val == 4194304, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x800, 0x400000, 0xb, x2, 140, x1) - -inst_48: -// rs1_val == 2097152, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x1000, 0x200000, 0x9, x2, 144, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1 -TEST_RR_OP(sra, x12, x10, x11, 0x80000, 0x100000, 0x1, x2, 148, x1) - -inst_50: -// rs1_val == 524288, rs2_val == 10 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x200, 0x80000, 0xa, x2, 152, x1) - -inst_51: -// rs1_val == 262144, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1f -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x40000, 0x1f, x2, 156, x1) - -inst_52: -// rs1_val == 131072, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x20000, 0xb, x2, 160, x1) - -inst_53: -// rs1_val == 65536, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x10000, 0xa, x2, 164, x1) - -inst_54: -// rs1_val == 32768, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x8000, 0x10, x2, 168, x1) - -inst_55: -// rs1_val == 8192, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2000, 0xf, x2, 172, x1) - -inst_56: -// rs1_val == 4096, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1000, 0x1d, x2, 176, x1) - -inst_57: -// rs1_val == 2048, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x800, 0x8, x2, 180, x1) - -inst_58: -// rs1_val == 1024, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x20, 0x400, 0x5, x2, 184, x1) - -inst_59: -// rs1_val == 512, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x200, 0x1b, x2, 188, x1) - -inst_60: -// rs1_val == 256, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xd -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x100, 0xd, x2, 192, x1) - -inst_61: -// rs1_val == 128, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x80, 0x3, x2, 196, x1) - -inst_62: -// rs1_val == 64, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x2, 0x40, 0x5, x2, 200, x1) - -inst_63: -// rs1_val == 32, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x20, 0x5, x2, 204, x1) - -inst_64: -// rs1_val == 16, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x10, 0x8, x2, 208, x1) - -inst_65: -// rs1_val == 8, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x8, 0x3, x2, 212, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4, 0xb, x2, 216, x1) - -inst_67: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1, 0x8, x2, 220, x1) - -inst_68: -// rs1_val==46341, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0xb505, 0x1e, x2, 224, x1) - -inst_69: -// rs1_val==-46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0xb6, -0xb503, 0x8, x2, 228, x1) - -inst_70: -// rs1_val==1717986919, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x6666, 0x66666667, 0x10, x2, 232, x1) - -inst_71: -// rs1_val==858993460, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0xccccc, 0x33333334, 0xa, x2, 236, x1) - -inst_72: -// rs1_val==6, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x6, 0x13, x2, 240, x1) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x2aa, 0x55555555, 0x15, x2, 244, x1) - -inst_74: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x0, 0xa, x2, 248, x1) - -inst_75: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x7, 0x7, x2, 252, x1) - -inst_76: -// rs1_val==1431655766, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0xaaa, 0x55555556, 0x13, x2, 256, x1) - -inst_77: -// rs1_val==46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x16a, 0xb503, 0x7, x2, 260, x1) - -inst_78: -// rs1_val==1717986917, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0xc, 0x66666665, 0x1b, x2, 264, x1) - -inst_79: -// rs1_val==858993458, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x199, 0x33333332, 0x15, x2, 268, x1) - -inst_80: -// rs1_val==1431655764, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0xaaaaaa, 0x55555554, 0x7, x2, 272, x1) - -inst_81: -// rs1_val==46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0xb504, 0xf, x2, 276, x1) - -inst_82: -// rs1_val==-46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0xb504, 0x15, x2, 280, x1) - -inst_83: -// rs1_val==1717986918, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x66666666, 0x1e, x2, 284, x1) - -inst_84: -// rs1_val==858993459, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x33333333, 0x1d, x2, 288, x1) - -inst_85: -// rs1_val==5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x5, 0x1d, x2, 292, x1) - -inst_86: -// rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x55555555, 0x1d, x2, 296, x1) - -inst_87: -// rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4000, 0x1e, x2, 300, x1) - -inst_88: -// rs1_val == -134217729, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x8000001, 0xb, x2, 304, x1) - -inst_89: -// rs1_val == -33554433, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x2000001, 0x9, x2, 308, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 78*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srai-01.S deleted file mode 100644 index 7e5a571ad..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srai-01.S +++ /dev/null @@ -1,515 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srai instruction of the RISC-V E extension for the srai covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srai) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x0, rd==x2, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x0; dest:x2; op1val:0x0; immval:0x1f -TEST_IMM_OP( srai, x2, x0, 0x0, 0x0, 0x1f, x1, 0, x5) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x14; dest:x14; op1val:0x7fffffff; immval:0xc -TEST_IMM_OP( srai, x14, x14, 0x7ffff, 0x7fffffff, 0xc, x1, 4, x5) - -inst_2: -// rs1==x3, rd==x11, rs1_val == -1073741825, -// opcode: srai ; op1:x3; dest:x11; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( srai, x11, x3, -0x800001, -0x40000001, 0x7, x1, 8, x5) - -inst_3: -// rs1==x15, rd==x4, rs1_val == -268435457, rs1_val < 0 and imm_val == 0 -// opcode: srai ; op1:x15; dest:x4; op1val:-0x10000001; immval:0x0 -TEST_IMM_OP( srai, x4, x15, -0x10000001, -0x10000001, 0x0, x1, 12, x5) - -inst_4: -// rs1==x7, rd==x10, rs1_val == -134217729, imm_val == 21 -// opcode: srai ; op1:x7; dest:x10; op1val:-0x8000001; immval:0x15 -TEST_IMM_OP( srai, x10, x7, -0x41, -0x8000001, 0x15, x1, 16, x5) - -inst_5: -// rs1==x8, rd==x6, rs1_val == -67108865, imm_val == 10 -// opcode: srai ; op1:x8; dest:x6; op1val:-0x4000001; immval:0xa -TEST_IMM_OP( srai, x6, x8, -0x10001, -0x4000001, 0xa, x1, 20, x5) - -inst_6: -// rs1==x9, rd==x13, rs1_val == -33554433, imm_val == 2 -// opcode: srai ; op1:x9; dest:x13; op1val:-0x2000001; immval:0x2 -TEST_IMM_OP( srai, x13, x9, -0x800001, -0x2000001, 0x2, x1, 24, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x5, rd==x0, rs1_val == -16777217, -// opcode: srai ; op1:x5; dest:x0; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x0, x5, 0, -0x1000001, 0x12, x3, 0, x6) - -inst_8: -// rs1==x10, rd==x8, rs1_val == -8388609, imm_val == 30 -// opcode: srai ; op1:x10; dest:x8; op1val:-0x800001; immval:0x1e -TEST_IMM_OP( srai, x8, x10, -0x1, -0x800001, 0x1e, x3, 4, x6) - -inst_9: -// rs1==x13, rd==x5, rs1_val == -4194305, -// opcode: srai ; op1:x13; dest:x5; op1val:-0x400001; immval:0x9 -TEST_IMM_OP( srai, x5, x13, -0x2001, -0x400001, 0x9, x3, 8, x6) - -inst_10: -// rs1==x4, rd==x12, rs1_val == -2097153, -// opcode: srai ; op1:x4; dest:x12; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srai, x12, x4, -0x4001, -0x200001, 0x7, x3, 12, x6) - -inst_11: -// rs1==x12, rd==x7, rs1_val == -1048577, -// opcode: srai ; op1:x12; dest:x7; op1val:-0x100001; immval:0x7 -TEST_IMM_OP( srai, x7, x12, -0x2001, -0x100001, 0x7, x3, 16, x6) - -inst_12: -// rs1==x2, rd==x15, rs1_val == -524289, -// opcode: srai ; op1:x2; dest:x15; op1val:-0x80001; immval:0x7 -TEST_IMM_OP( srai, x15, x2, -0x1001, -0x80001, 0x7, x3, 20, x6) - -inst_13: -// rs1==x1, rd==x9, rs1_val == -262145, imm_val == 8 -// opcode: srai ; op1:x1; dest:x9; op1val:-0x40001; immval:0x8 -TEST_IMM_OP( srai, x9, x1, -0x401, -0x40001, 0x8, x3, 24, x6) - -inst_14: -// rs1==x6, rd==x1, rs1_val == -131073, -// opcode: srai ; op1:x6; dest:x1; op1val:-0x20001; immval:0x13 -TEST_IMM_OP( srai, x1, x6, -0x1, -0x20001, 0x13, x3, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rs1==x11, rd==x3, rs1_val == -65537, imm_val == 4 -// opcode: srai ; op1:x11; dest:x3; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( srai, x3, x11, -0x1001, -0x10001, 0x4, x1, 0, x2) - -inst_16: -// rs1_val == -32769, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x8001; immval:0x7 -TEST_IMM_OP( srai, x11, x10, -0x101, -0x8001, 0x7, x1, 4, x2) - -inst_17: -// rs1_val == -16385, imm_val == 16 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x4001; immval:0x10 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x4001, 0x10, x1, 8, x2) - -inst_18: -// rs1_val == -8193, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2001, 0x13, x1, 12, x2) - -inst_19: -// rs1_val == -4097, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x1001, 0x1e, x1, 16, x2) - -inst_20: -// rs1_val == -2049, imm_val == 15 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x801; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x801, 0xf, x1, 20, x2) - -inst_21: -// rs1_val == -1025, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x401; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x401, 0x11, x1, 24, x2) - -inst_22: -// rs1_val == -513, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x201; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0x1, -0x201, 0xb, x1, 28, x2) - -inst_23: -// rs1_val == -257, imm_val == 1 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x101; immval:0x1 -TEST_IMM_OP( srai, x11, x10, -0x81, -0x101, 0x1, x1, 32, x2) - -inst_24: -// rs1_val == -129, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x81; immval:0xc -TEST_IMM_OP( srai, x11, x10, -0x1, -0x81, 0xc, x1, 36, x2) - -inst_25: -// rs1_val == -65, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x41; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x41, 0x13, x1, 40, x2) - -inst_26: -// rs1_val == -33, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x21; immval:0xd -TEST_IMM_OP( srai, x11, x10, -0x1, -0x21, 0xd, x1, 44, x2) - -inst_27: -// rs1_val == -17, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x11; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x11, 0x1e, x1, 48, x2) - -inst_28: -// rs1_val == -9, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x9; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x9, 0x12, x1, 52, x2) - -inst_29: -// rs1_val == -5, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x5; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x5, 0xf, x1, 56, x2) - -inst_30: -// rs1_val == -3, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x3, 0x9, x1, 60, x2) - -inst_31: -// rs1_val == -2, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2, 0x1f, x1, 64, x2) - -inst_32: -// imm_val == 23, rs1_val == 4096 -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1000, 0x17, x1, 68, x2) - -inst_33: -// imm_val == 27, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x1b -TEST_IMM_OP( srai, x11, x10, -0xb, -0x55555556, 0x1b, x1, 72, x2) - -inst_34: -// imm_val == 29, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: srai ; op1:x10; dest:x11; op1val:0x0; immval:0x1d -TEST_IMM_OP( srai, x11, x10, 0x0, 0x0, 0x1d, x1, 76, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, -0x20000000, -0x80000000, 0x2, x1, 80, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x10000000, 0x40000000, 0x2, x1, 84, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x2000000, 0x20000000, 0x4, x1, 88, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x10000000, 0xe, x1, 92, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000000; immval:0x15 -TEST_IMM_OP( srai, x11, x10, 0x40, 0x8000000, 0x15, x1, 96, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000000; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x4000000, 0xc, x1, 100, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x10000, 0x2000000, 0x9, x1, 104, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x400, 0x1000000, 0xe, x1, 108, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800000; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x1000, 0x800000, 0xb, x1, 112, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x400000, 0x11, x1, 116, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x20000, 0x200000, 0x4, x1, 120, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100000; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100000, 0x1b, x1, 124, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x80000, 0x17, x1, 128, x2) - -inst_48: -// rs1_val == 262144, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x40000, 0x11, x1, 132, x2) - -inst_49: -// rs1_val == 131072, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x20000, 0x9, x1, 136, x2) - -inst_50: -// rs1_val == 65536, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x1, 0x10000, 0x10, x1, 140, x2) - -inst_51: -// rs1_val == 32768, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000; immval:0xa -TEST_IMM_OP( srai, x11, x10, 0x20, 0x8000, 0xa, x1, 144, x2) - -inst_52: -// rs1_val == 16384, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4000, 0x12, x1, 148, x2) - -inst_53: -// rs1_val == 8192, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x2000, 0x5, x1, 152, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800; immval:0x6 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x800, 0x6, x1, 156, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x400, 0x17, x1, 160, x2) - -inst_56: -// rs1_val == 512, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x200, 0xb, x1, 164, x2) - -inst_57: -// rs1_val == 256, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100, 0x9, x1, 168, x2) - -inst_58: -// rs1_val == 128, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x80, 0x2, x1, 172, x2) - -inst_59: -// rs1_val == 64, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x40, 0x11, x1, 176, x2) - -inst_60: -// rs1_val == 32, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x20, 0xb, x1, 180, x2) - -inst_61: -// rs1_val == 16, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10; immval:0xd -TEST_IMM_OP( srai, x11, x10, 0x0, 0x10, 0xd, x1, 184, x2) - -inst_62: -// rs1_val == 8, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srai ; op1:x10; dest:x11; op1val:0x8; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x8, 0x1f, x1, 188, x2) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srai ; op1:x10; dest:x11; op1val:0x4; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4, 0x1f, x1, 192, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x0, 0x2, 0xc, x1, 196, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:0x1; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1, 0x9, x1, 200, x2) - -inst_66: -// rs1_val==46341, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb505; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x16, 0xb505, 0xb, x1, 204, x2) - -inst_67: -// rs1_val==-46339, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb503; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x2, -0xb503, 0xf, x1, 208, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666667; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x666666, 0x66666667, 0x8, x1, 212, x2) - -inst_69: -// rs1_val==858993460, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333334; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x33333, 0x33333334, 0xc, x1, 216, x2) - -inst_70: -// rs1_val==6, -// opcode: srai ; op1:x10; dest:x11; op1val:0x6; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x6, 0x17, x1, 220, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555555; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0xaaaab, -0x55555555, 0xb, x1, 224, x2) - -inst_72: -// rs1_val==3, -// opcode: srai ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x3, 0x8, x1, 228, x2) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x1, 0x55555555, 0x1e, x1, 232, x2) - -inst_74: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, rs1_val==5 -// opcode: srai ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x5, 0x5, x1, 236, x2) - -inst_75: -// rs1_val > 0 and imm_val == 0, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x2, 0x0, x1, 240, x2) - -inst_76: -// rs1_val==1431655766, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x15555555, 0x55555556, 0x2, x1, 244, x2) - -inst_77: -// rs1_val==46339, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( srai, x11, x10, 0x5a81, 0xb503, 0x1, x1, 248, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666665; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x6666, 0x66666665, 0x10, x1, 252, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333332; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333332, 0x12, x1, 256, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0xa, 0x55555554, 0x1b, x1, 260, x2) - -inst_81: -// rs1_val==46340, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb504; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x0, 0xb504, 0x1e, x1, 264, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb504; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0xb504, 0x11, x1, 268, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666666; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x19999, 0x66666666, 0xe, x1, 272, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333333; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333333, 0x12, x1, 276, x2) - -inst_85: -// rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x20000001; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x20000001, 0x1f, x1, 280, x2) - -inst_86: -// rs1_val == -16777217, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x41, -0x1000001, 0x12, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srl-01.S deleted file mode 100644 index b392ecba8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srl-01.S +++ /dev/null @@ -1,525 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srl instruction of the RISC-V E extension for the srl covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srl) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x4, rd==x7, rs1_val < 0 and rs2_val == 0, rs1_val == -9 -// opcode: srl ; op1:x10; op2:x4; dest:x7; op1val:-0x9; op2val:0x0 -TEST_RR_OP(srl, x7, x10, x4, 0xfffffff7, -0x9, 0x0, x1, 0, x6) - -inst_1: -// rs1 == rd != rs2, rs1==x15, rs2==x0, rd==x15, rs2_val == 15, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x15; op2:x0; dest:x15; op1val:-0x6; op2val:0x0 -TEST_RR_OP(srl, x15, x15, x0, 0xfffffffa, -0x6, 0x0, x1, 4, x6) - -inst_2: -// rs2 == rd != rs1, rs1==x2, rs2==x3, rd==x3, rs2_val == 23, rs1_val == -2049 -// opcode: srl ; op1:x2; op2:x3; dest:x3; op1val:-0x801; op2val:0x17 -TEST_RR_OP(srl, x3, x2, x3, 0x1ff, -0x801, 0x17, x1, 8, x6) - -inst_3: -// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x12, rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x5; op2:x5; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(srl, x12, x5, x5, 0x1, -0x100001, -0x100001, x1, 12, x6) - -inst_4: -// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x14; op2:x14; dest:x14; op1val:-0x1000001; op2val:-0x1000001 -TEST_RR_OP(srl, x14, x14, x14, 0x1, -0x1000001, -0x1000001, x1, 16, x6) - -inst_5: -// rs1==x12, rs2==x10, rd==x2, rs2_val == 30, rs1_val == -1073741825 -// opcode: srl ; op1:x12; op2:x10; dest:x2; op1val:-0x40000001; op2val:0x1e -TEST_RR_OP(srl, x2, x12, x10, 0x2, -0x40000001, 0x1e, x1, 20, x6) - -inst_6: -// rs1==x11, rs2==x15, rd==x9, rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x11; op2:x15; dest:x9; op1val:0x7fffffff; op2val:0x1b -TEST_RR_OP(srl, x9, x11, x15, 0xf, 0x7fffffff, 0x1b, x1, 24, x6) - -inst_7: -// rs1==x4, rs2==x6, rd==x10, rs1_val == -536870913, -// opcode: srl ; op1:x4; op2:x6; dest:x10; op1val:-0x20000001; op2val:0x13 -TEST_RR_OP(srl, x10, x4, x6, 0x1bff, -0x20000001, 0x13, x1, 28, x2) -RVTEST_SIGBASE( x10,signature_x10_0) - -inst_8: -// rs1==x0, rs2==x9, rd==x8, rs1_val == -268435457, -// opcode: srl ; op1:x0; op2:x9; dest:x8; op1val:0x0; op2val:0xe -TEST_RR_OP(srl, x8, x0, x9, 0x0, 0x0, 0xe, x10, 0, x2) - -inst_9: -// rs1==x1, rs2==x7, rd==x11, rs1_val == -134217729, -// opcode: srl ; op1:x1; op2:x7; dest:x11; op1val:-0x8000001; op2val:0x13 -TEST_RR_OP(srl, x11, x1, x7, 0x1eff, -0x8000001, 0x13, x10, 4, x2) - -inst_10: -// rs1==x7, rs2==x12, rd==x5, rs1_val == -67108865, -// opcode: srl ; op1:x7; op2:x12; dest:x5; op1val:-0x4000001; op2val:0x1b -TEST_RR_OP(srl, x5, x7, x12, 0x1f, -0x4000001, 0x1b, x10, 8, x2) - -inst_11: -// rs1==x6, rs2==x13, rd==x1, rs1_val == -33554433, -// opcode: srl ; op1:x6; op2:x13; dest:x1; op1val:-0x2000001; op2val:0x6 -TEST_RR_OP(srl, x1, x6, x13, 0x3f7ffff, -0x2000001, 0x6, x10, 12, x2) - -inst_12: -// rs1==x9, rs2==x8, rd==x4, rs1_val == -8388609, -// opcode: srl ; op1:x9; op2:x8; dest:x4; op1val:-0x800001; op2val:0xe -TEST_RR_OP(srl, x4, x9, x8, 0x3fdff, -0x800001, 0xe, x10, 16, x2) - -inst_13: -// rs1==x3, rs2==x11, rd==x6, rs1_val == -4194305, rs2_val == 8 -// opcode: srl ; op1:x3; op2:x11; dest:x6; op1val:-0x400001; op2val:0x8 -TEST_RR_OP(srl, x6, x3, x11, 0xffbfff, -0x400001, 0x8, x10, 20, x2) - -inst_14: -// rs1==x13, rs2==x1, rd==x0, rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x13; op2:x1; dest:x0; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x0, x13, x1, 0, -0x200001, 0x4, x10, 24, x3) - -inst_15: -// rs1==x8, rs2==x2, rd==x13, rs1_val == -524289, -// opcode: srl ; op1:x8; op2:x2; dest:x13; op1val:-0x80001; op2val:0x1d -TEST_RR_OP(srl, x13, x8, x2, 0x7, -0x80001, 0x1d, x10, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -262145, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xfffbfff, -0x40001, 0x4, x1, 0, x3) - -inst_17: -// rs1_val == -131073, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0xfffdff, -0x20001, 0x8, x1, 4, x3) - -inst_18: -// rs1_val == -65537, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x10001, 0x1e, x1, 8, x3) - -inst_19: -// rs1_val == -32769, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x8001, 0x1d, x1, 12, x3) - -inst_20: -// rs1_val == -16385, rs2_val == 10 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x3fffef, -0x4001, 0xa, x1, 16, x3) - -inst_21: -// rs1_val == -8193, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x2001, 0xe, x1, 20, x3) - -inst_22: -// rs1_val == -4097, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x1001, 0x1f, x1, 24, x3) - -inst_23: -// rs1_val == -1025, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x401, 0x1e, x1, 28, x3) - -inst_24: -// rs1_val == -513, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x7fff, -0x201, 0x11, x1, 32, x3) - -inst_25: -// rs1_val == -257, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x101, 0x1d, x1, 36, x3) - -inst_26: -// rs1_val == -129, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x7ffff, -0x81, 0xd, x1, 40, x3) - -inst_27: -// rs1_val == -65, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x7fffff, -0x41, 0x9, x1, 44, x3) - -inst_28: -// rs1_val == -33, rs2_val == 21 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x7ff, -0x21, 0x15, x1, 48, x3) - -inst_29: -// rs1_val == -17, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x11, 0xe, x1, 52, x3) - -inst_30: -// rs1_val == -5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0xfffffffb, -0x5, 0x0, x1, 56, x3) - -inst_31: -// rs1_val == -3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x3, 0x1f, x1, 60, x3) - -inst_32: -// rs1_val == -2, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x5 -TEST_RR_OP(srl, x12, x10, x11, 0x7ffffff, -0x2, 0x5, x1, 64, x3) - -inst_33: -// rs2_val == 16, rs1_val == 524288 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x80000, 0x10, x1, 68, x3) - -inst_34: -// rs2_val == 2, rs1_val==46341 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x2d41, 0xb505, 0x2, x1, 72, x3) - -inst_35: -// rs2_val == 1, rs1_val == 268435456 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(srl, x12, x10, x11, 0x8000000, 0x10000000, 0x1, x1, 76, x3) - -inst_36: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x17 -TEST_RR_OP(srl, x12, x10, x11, 0x100, -0x80000000, 0x17, x1, 80, x3) - -inst_37: -// rs1_val == 1073741824, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x1000000, 0x40000000, 0x6, x1, 84, x3) - -inst_38: -// rs1_val == 536870912, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x80000, 0x20000000, 0xa, x1, 88, x3) - -inst_39: -// rs1_val == 134217728, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x400, 0x8000000, 0x11, x1, 92, x3) - -inst_40: -// rs1_val == 67108864, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1000, 0x4000000, 0xe, x1, 96, x3) - -inst_41: -// rs1_val == 33554432, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x80, 0x2000000, 0x12, x1, 100, x3) - -inst_42: -// rs1_val == 16777216, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x4000, 0x1000000, 0xa, x1, 104, x3) - -inst_43: -// rs1_val == 8388608, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x10, 0x800000, 0x13, x1, 108, x3) - -inst_44: -// rs1_val == 4194304, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(srl, x12, x10, x11, 0x800, 0x400000, 0xb, x1, 112, x3) - -inst_45: -// rs1_val == 2097152, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x2000, 0x200000, 0x8, x1, 116, x3) - -inst_46: -// rs1_val == 1048576, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x100000, 0xe, x1, 120, x3) - -inst_47: -// rs1_val == 262144, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x2, 0x40000, 0x11, x1, 124, x3) - -inst_48: -// rs1_val == 131072, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x20000, 0xe, x1, 128, x3) - -inst_49: -// rs1_val == 65536, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10000, 0x13, x1, 132, x3) - -inst_50: -// rs1_val == 32768, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x8000, 0xf, x1, 136, x3) - -inst_51: -// rs1_val == 16384, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x4000, 0xe, x1, 140, x3) - -inst_52: -// rs1_val == 8192, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x20, 0x2000, 0x8, x1, 144, x3) - -inst_53: -// rs1_val == 4096, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x1000, 0x6, x1, 148, x3) - -inst_54: -// rs1_val == 2048, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x800, 0x1b, x1, 152, x3) - -inst_55: -// rs1_val == 1024, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x400, 0x13, x1, 156, x3) - -inst_56: -// rs1_val == 512, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x3 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x200, 0x3, x1, 160, x3) - -inst_57: -// rs1_val == 256, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x100, 0x1e, x1, 164, x3) - -inst_58: -// rs1_val == 128, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x80, 0xa, x1, 168, x3) - -inst_59: -// rs1_val == 64, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0x4, 0x40, 0x4, x1, 172, x3) - -inst_60: -// rs1_val == 32, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x20, 0xd, x1, 176, x3) - -inst_61: -// rs1_val == 16, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10, 0x11, x1, 180, x3) - -inst_62: -// rs1_val == 8, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x8, 0x12, x1, 184, x3) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x4, 0x10, x1, 188, x3) - -inst_64: -// rs1_val == 2, rs1_val==2, rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x2, 0x2, x1, 192, x3) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x1, 0x1f, x1, 196, x3) - -inst_66: -// rs1_val==-46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x3fffd2b, -0xb503, 0x6, x1, 200, x3) - -inst_67: -// rs1_val==1717986919, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x19999, 0x66666667, 0xe, x1, 204, x3) - -inst_68: -// rs1_val==858993460, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x199999, 0x33333334, 0x9, x1, 208, x3) - -inst_69: -// rs1_val==6, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x6, 0xd, x1, 212, x3) - -inst_70: -// rs1_val==-1431655765, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x2aaaa, -0x55555555, 0xe, x1, 216, x3) - -inst_71: -// rs1_val==1431655766, rs1_val > 0 and rs2_val == 0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 220, x3) - -inst_72: -// rs1_val==46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x5, 0xb503, 0xd, x1, 224, x3) - -inst_73: -// rs1_val==3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x3, 0xf, x1, 228, x3) - -inst_74: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaaa, -0x55555556, 0x4, x1, 232, x3) - -inst_75: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaa, 0x55555555, 0x7, x1, 236, x3) - -inst_76: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x0, 0x7, x1, 240, x3) - -inst_77: -// rs1_val==1717986917, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666665, 0x1b, x1, 244, x3) - -inst_78: -// rs1_val==858993458, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x1999, 0x33333332, 0x11, x1, 248, x3) - -inst_79: -// rs1_val==1431655764, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0xaaa, 0x55555554, 0x13, x1, 252, x3) - -inst_80: -// rs1_val==46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0xb504, 0x12, x1, 256, x3) - -inst_81: -// rs1_val==-46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffff4af, -0xb504, 0x4, x1, 260, x3) - -inst_82: -// rs1_val==1717986918, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666666, 0x1b, x1, 264, x3) - -inst_83: -// rs1_val==858993459, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x199, 0x33333333, 0x15, x1, 268, x3) - -inst_84: -// rs1_val==5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x5, 0x10, x1, 272, x3) - -inst_85: -// rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x1f, -0x100001, 0x1b, x1, 276, x3) - -inst_86: -// rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x1000001, 0x1d, x1, 280, x3) - -inst_87: -// rs1_val == -268435457, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3bfff, -0x10000001, 0xe, x1, 284, x3) - -inst_88: -// rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffdffff, -0x200001, 0x4, x1, 288, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x10_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 73*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srli-01.S deleted file mode 100644 index d47f805a4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srli-01.S +++ /dev/null @@ -1,520 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srli instruction of the RISC-V E extension for the srli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srli) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x4, rd==x5, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -65, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srli ; op1:x4; dest:x5; op1val:-0x41; immval:0x1f -TEST_IMM_OP( srli, x5, x4, 0x1, -0x41, 0x1f, x1, 0, x10) - -inst_1: -// rs1 == rd, rs1==x9, rd==x9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen, imm_val == 8 -// opcode: srli ; op1:x9; dest:x9; op1val:0x7fffffff; immval:0x8 -TEST_IMM_OP( srli, x9, x9, 0x7fffff, 0x7fffffff, 0x8, x1, 4, x10) - -inst_2: -// rs1==x0, rd==x6, rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x0; dest:x6; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x6, x0, 0x0, 0x0, 0x17, x1, 8, x10) - -inst_3: -// rs1==x12, rd==x4, rs1_val == -536870913, -// opcode: srli ; op1:x12; dest:x4; op1val:-0x20000001; immval:0x6 -TEST_IMM_OP( srli, x4, x12, 0x37fffff, -0x20000001, 0x6, x1, 12, x10) - -inst_4: -// rs1==x8, rd==x14, rs1_val == -268435457, imm_val == 10 -// opcode: srli ; op1:x8; dest:x14; op1val:-0x10000001; immval:0xa -TEST_IMM_OP( srli, x14, x8, 0x3bffff, -0x10000001, 0xa, x1, 16, x10) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, imm_val == 2 -// opcode: srli ; op1:x7; dest:x3; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( srli, x3, x7, 0x3dffffff, -0x8000001, 0x2, x1, 20, x10) - -inst_6: -// rs1==x6, rd==x2, rs1_val == -67108865, -// opcode: srli ; op1:x6; dest:x2; op1val:-0x4000001; immval:0x12 -TEST_IMM_OP( srli, x2, x6, 0x3eff, -0x4000001, 0x12, x1, 24, x10) - -inst_7: -// rs1==x11, rd==x15, rs1_val == -33554433, imm_val == 16 -// opcode: srli ; op1:x11; dest:x15; op1val:-0x2000001; immval:0x10 -TEST_IMM_OP( srli, x15, x11, 0xfdff, -0x2000001, 0x10, x1, 28, x10) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x5, rd==x13, rs1_val == -16777217, imm_val == 1 -// opcode: srli ; op1:x5; dest:x13; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( srli, x13, x5, 0x7f7fffff, -0x1000001, 0x1, x4, 0, x6) - -inst_9: -// rs1==x15, rd==x11, rs1_val == -8388609, -// opcode: srli ; op1:x15; dest:x11; op1val:-0x800001; immval:0x11 -TEST_IMM_OP( srli, x11, x15, 0x7fbf, -0x800001, 0x11, x4, 4, x6) - -inst_10: -// rs1==x2, rd==x12, rs1_val == -4194305, imm_val == 15 -// opcode: srli ; op1:x2; dest:x12; op1val:-0x400001; immval:0xf -TEST_IMM_OP( srli, x12, x2, 0x1ff7f, -0x400001, 0xf, x4, 8, x6) - -inst_11: -// rs1==x14, rd==x7, rs1_val == -2097153, -// opcode: srli ; op1:x14; dest:x7; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srli, x7, x14, 0x1ffbfff, -0x200001, 0x7, x4, 12, x6) - -inst_12: -// rs1==x13, rd==x0, rs1_val == -1048577, -// opcode: srli ; op1:x13; dest:x0; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x0, x13, 0, -0x100001, 0xc, x4, 16, x6) - -inst_13: -// rs1==x3, rd==x10, rs1_val == -524289, imm_val == 21 -// opcode: srli ; op1:x3; dest:x10; op1val:-0x80001; immval:0x15 -TEST_IMM_OP( srli, x10, x3, 0x7ff, -0x80001, 0x15, x4, 20, x6) - -inst_14: -// rs1==x10, rd==x1, rs1_val == -262145, -// opcode: srli ; op1:x10; dest:x1; op1val:-0x40001; immval:0x10 -TEST_IMM_OP( srli, x1, x10, 0xfffb, -0x40001, 0x10, x4, 24, x6) - -inst_15: -// rs1==x1, rd==x8, rs1_val == -131073, -// opcode: srli ; op1:x1; dest:x8; op1val:-0x20001; immval:0x11 -TEST_IMM_OP( srli, x8, x1, 0x7ffe, -0x20001, 0x11, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -65537, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x1fffdf, -0x10001, 0xb, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x8001, 0x12, x1, 4, x2) - -inst_18: -// rs1_val == -16385, rs1_val < 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0xffffbfff, -0x4001, 0x0, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x2001, 0x13, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x3ffffb, -0x1001, 0xa, x1, 16, x2) - -inst_21: -// rs1_val == -2049, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x801; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x7ff, -0x801, 0x15, x1, 20, x2) - -inst_22: -// rs1_val == -1025, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffef, -0x401, 0x6, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x201; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x201, 0x13, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x101; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xfffffe, -0x101, 0x8, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x81; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffffff, -0x81, 0x8, x1, 36, x2) - -inst_26: -// rs1_val == -33, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff7, -0x21, 0x2, x1, 40, x2) - -inst_27: -// rs1_val == -17, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x11; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x11, 0x12, x1, 44, x2) - -inst_28: -// rs1_val == -9, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x9; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffffd, -0x9, 0x2, x1, 48, x2) - -inst_29: -// rs1_val == -5, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x5; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff, -0x5, 0x6, x1, 52, x2) - -inst_30: -// rs1_val == -3, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x3; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x1ffff, -0x3, 0xf, x1, 56, x2) - -inst_31: -// rs1_val == -2, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xfffff, -0x2, 0xc, x1, 60, x2) - -inst_32: -// imm_val == 27, rs1_val == 262144 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000; immval:0x1b -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40000, 0x1b, x1, 64, x2) - -inst_33: -// imm_val == 29, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000000; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x6, -0x40000000, 0x1d, x1, 68, x2) - -inst_34: -// imm_val == 30, rs1_val == 64 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40, 0x1e, x1, 72, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x200000, -0x80000000, 0xa, x1, 76, x2) - -inst_36: -// rs1_val == 1073741824, rs1_val > 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x40000000, 0x40000000, 0x0, x1, 80, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x1000, 0x20000000, 0x11, x1, 84, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x10000000, 0x11, x1, 88, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x8000000, 0xb, x1, 92, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000000; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4000000, 0x1e, x1, 96, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x40, 0x2000000, 0x13, x1, 100, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000000; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x800, 0x1000000, 0xd, x1, 104, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x800000, 0x7, x1, 108, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x400000, 0x6, x1, 112, x2) - -inst_45: -// rs1_val == 2097152, imm_val == 4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x20000, 0x200000, 0x4, x1, 116, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100000; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x100000, 0x9, x1, 120, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x100, 0x80000, 0xb, x1, 124, x2) - -inst_48: -// rs1_val == 131072, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x2, 0x20000, 0x10, x1, 128, x2) - -inst_49: -// rs1_val == 65536, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x10000, 0x0, x1, 132, x2) - -inst_50: -// rs1_val == 32768, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8000, 0x10, x1, 136, x2) - -inst_51: -// rs1_val == 16384, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x100, 0x4000, 0x6, x1, 140, x2) - -inst_52: -// rs1_val == 8192, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x200, 0x2000, 0x4, x1, 144, x2) - -inst_53: -// rs1_val == 4096, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1000, 0xf, x1, 148, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x8, 0x800, 0x8, x1, 152, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x400, 0x12, x1, 156, x2) - -inst_56: -// rs1_val == 512, -// opcode: srli ; op1:x10; dest:x11; op1val:0x200; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x200, 0xe, x1, 160, x2) - -inst_57: -// rs1_val == 256, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x100, 0x13, x1, 164, x2) - -inst_58: -// rs1_val == 128, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x80, 0x8, x1, 168, x2) - -inst_59: -// rs1_val == 32, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x20, 0xb, x1, 172, x2) - -inst_60: -// rs1_val == 16, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x10, 0x17, x1, 176, x2) - -inst_61: -// rs1_val == 8, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8, 0x1d, x1, 180, x2) - -inst_62: -// rs1_val == 4, rs1_val==4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x9, x1, 184, x2) - -inst_63: -// rs1_val == 2, rs1_val==2 -// opcode: srli ; op1:x10; dest:x11; op1val:0x2; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x2, 0x11, x1, 188, x2) - -inst_64: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srli ; op1:x10; dest:x11; op1val:0x1; immval:0x1f -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1, 0x1f, x1, 192, x2) - -inst_65: -// rs1_val==46341, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb505; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x5, 0xb505, 0xd, x1, 196, x2) - -inst_66: -// rs1_val==-46339, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x1fffe95, -0xb503, 0x7, x1, 200, x2) - -inst_67: -// rs1_val==1717986919, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666667; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xccccc, 0x66666667, 0xb, x1, 204, x2) - -inst_68: -// rs1_val==858993460, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333334; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0xcccc, 0x33333334, 0xe, x1, 208, x2) - -inst_69: -// rs1_val==6, -// opcode: srli ; op1:x10; dest:x11; op1val:0x6; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x6, 0xe, x1, 212, x2) - -inst_70: -// rs1_val==-1431655765, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x1 -TEST_IMM_OP( srli, x11, x10, 0x55555555, -0x55555555, 0x1, x1, 216, x2) - -inst_71: -// rs1_val==1431655766, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x5555, 0x55555556, 0x10, x1, 220, x2) - -inst_72: -// rs1_val==46339, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb503; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb503, 0x15, x1, 224, x2) - -inst_73: -// rs1_val==0, rs1_val == 0 and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x0, 0x17, x1, 228, x2) - -inst_74: -// rs1_val==3, -// opcode: srli ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x3, 0x8, x1, 232, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 236, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555555; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xaaaaa, 0x55555555, 0xb, x1, 240, x2) - -inst_77: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x4, x1, 244, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666665; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x333, 0x66666665, 0x15, x1, 248, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0xcccccc, 0x33333332, 0x6, x1, 252, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x1555555, 0x55555554, 0x6, x1, 256, x2) - -inst_81: -// rs1_val==46340, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb504; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb504, 0x17, x1, 260, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffff4a, -0xb504, 0x8, x1, 264, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x333333, 0x66666666, 0x9, x1, 268, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x33333333, 0x1e, x1, 272, x2) - -inst_85: -// rs1_val==5, -// opcode: srli ; op1:x10; dest:x11; op1val:0x5; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x5, 0xb, x1, 276, x2) - -inst_86: -// rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x17f, -0x40000001, 0x17, x1, 280, x2) - -inst_87: -// rs1_val == -1048577, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xffeff, -0x100001, 0xc, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sub-01.S deleted file mode 100644 index e09411fdc..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sub-01.S +++ /dev/null @@ -1,3005 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sub instruction of the RISC-V E extension for the sub covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sub) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x14, rs2==x9, rd==x4, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 4194304, rs1_val == 33554432 -// opcode: sub ; op1:x14; op2:x9; dest:x4; op1val:0x2000000; op2val:0x400000 -TEST_RR_OP(sub, x4, x14, x9, 0x1c00000, 0x2000000, 0x400000, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x1, rs2==x0, rd==x1, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x1; op2:x0; dest:x1; op1val:-0x400001; op2val:0x0 -TEST_RR_OP(sub, x1, x1, x0, 0xffbfffff, -0x400001, 0x0, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x11, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: sub ; op1:x11; op2:x6; dest:x6; op1val:-0x6; op2val:-0x40000001 -TEST_RR_OP(sub, x6, x11, x6, 0x3ffffffb, -0x6, -0x40000001, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x15, rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x12; op2:x12; dest:x15; op1val:-0x800001; op2val:-0x800001 -TEST_RR_OP(sub, x15, x12, x12, 0x0, -0x800001, -0x800001, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x13; op2:x13; dest:x13; op1val:-0x2000001; op2val:-0x2000001 -TEST_RR_OP(sub, x13, x13, x13, 0x0, -0x2000001, -0x2000001, x3, 16, x5) - -inst_5: -// rs1==x10, rs2==x1, rd==x12, rs2_val == -134217729, rs1_val == -5 -// opcode: sub ; op1:x10; op2:x1; dest:x12; op1val:-0x5; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x1, 0x7fffffc, -0x5, -0x8000001, x3, 20, x5) - -inst_6: -// rs1==x7, rs2==x2, rd==x8, rs2_val == -67108865, rs1_val == 0 -// opcode: sub ; op1:x7; op2:x2; dest:x8; op1val:0x0; op2val:-0x4000001 -TEST_RR_OP(sub, x8, x7, x2, 0x4000001, 0x0, -0x4000001, x3, 24, x5) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x5, rs2==x11, rd==x9, rs2_val == -33554433, rs1_val == -1025 -// opcode: sub ; op1:x5; op2:x11; dest:x9; op1val:-0x401; op2val:-0x2000001 -TEST_RR_OP(sub, x9, x5, x11, 0x1fffc00, -0x401, -0x2000001, x1, 0, x10) - -inst_8: -// rs1==x8, rs2==x3, rd==x14, rs2_val == -16777217, rs1_val == 16, rs1_val > 0 and rs2_val < 0 -// opcode: sub ; op1:x8; op2:x3; dest:x14; op1val:0x10; op2val:-0x1000001 -TEST_RR_OP(sub, x14, x8, x3, 0x1000011, 0x10, -0x1000001, x1, 4, x10) - -inst_9: -// rs1==x4, rs2==x15, rd==x5, rs2_val == -8388609, rs1_val == 128 -// opcode: sub ; op1:x4; op2:x15; dest:x5; op1val:0x80; op2val:-0x800001 -TEST_RR_OP(sub, x5, x4, x15, 0x800081, 0x80, -0x800001, x1, 8, x10) - -inst_10: -// rs1==x15, rs2==x4, rd==x2, rs2_val == -4194305, rs1_val == 2 -// opcode: sub ; op1:x15; op2:x4; dest:x2; op1val:0x2; op2val:-0x400001 -TEST_RR_OP(sub, x2, x15, x4, 0x400003, 0x2, -0x400001, x1, 12, x10) - -inst_11: -// rs1==x2, rs2==x14, rd==x7, rs2_val == -2097153, rs1_val == 4 -// opcode: sub ; op1:x2; op2:x14; dest:x7; op1val:0x4; op2val:-0x200001 -TEST_RR_OP(sub, x7, x2, x14, 0x200005, 0x4, -0x200001, x1, 16, x10) - -inst_12: -// rs1==x6, rs2==x7, rd==x3, rs2_val == -1048577, rs1_val == -513 -// opcode: sub ; op1:x6; op2:x7; dest:x3; op1val:-0x201; op2val:-0x100001 -TEST_RR_OP(sub, x3, x6, x7, 0xffe00, -0x201, -0x100001, x1, 20, x10) - -inst_13: -// rs1==x3, rs2==x8, rd==x0, rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x3; op2:x8; dest:x0; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x0, x3, x8, 0, -0x2, -0x80001, x1, 24, x2) - -inst_14: -// rs1==x0, rs2==x10, rd==x11, rs2_val == -262145, rs1_val == rs2_val, rs1_val == -262145 -// opcode: sub ; op1:x0; op2:x10; dest:x11; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(sub, x11, x0, x10, 0x40001, 0x0, -0x40001, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_15: -// rs1==x9, rs2==x5, rd==x10, rs2_val == -131073, rs1_val == -2097153 -// opcode: sub ; op1:x9; op2:x5; dest:x10; op1val:-0x200001; op2val:-0x20001 -TEST_RR_OP(sub, x10, x9, x5, 0xffe20000, -0x200001, -0x20001, x1, 0, x2) - -inst_16: -// rs2_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc, -0x5, -0x10001, x1, 4, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 64 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0x8041, 0x40, -0x8001, x1, 8, x2) - -inst_18: -// rs2_val == -16385, rs1_val == -268435457 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x4001 -TEST_RR_OP(sub, x12, x10, x11, 0xf0004000, -0x10000001, -0x4001, x1, 12, x2) - -inst_19: -// rs2_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 -TEST_RR_OP(sub, x12, x10, x11, 0x33335333, 0x33333332, -0x2001, x1, 16, x2) - -inst_20: -// rs2_val == -4097, rs1_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x1001 -TEST_RR_OP(sub, x12, x10, x11, 0x20001001, 0x20000000, -0x1001, x1, 20, x2) - -inst_21: -// rs2_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x801 -TEST_RR_OP(sub, x12, x10, x11, 0xffc00800, -0x400001, -0x801, x1, 24, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 32 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x401 -TEST_RR_OP(sub, x12, x10, x11, 0x421, 0x20, -0x401, x1, 28, x2) - -inst_23: -// rs2_val == -513, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x201 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc0200, -0x40001, -0x201, x1, 32, x2) - -inst_24: -// rs2_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x101 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00100, -0x200001, -0x101, x1, 36, x2) - -inst_25: -// rs2_val == -129, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b7e, -0xb503, -0x81, x1, 40, x2) - -inst_26: -// rs2_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x41 -TEST_RR_OP(sub, x12, x10, x11, 0x55555597, 0x55555556, -0x41, x1, 44, x2) - -inst_27: -// rs2_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x21 -TEST_RR_OP(sub, x12, x10, x11, 0x23, 0x2, -0x21, x1, 48, x2) - -inst_28: -// rs2_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaabc, -0x55555555, -0x11, x1, 52, x2) - -inst_29: -// rs2_val == -9, rs1_val == 65536 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:-0x9 -TEST_RR_OP(sub, x12, x10, x11, 0x10009, 0x10000, -0x9, x1, 56, x2) - -inst_30: -// rs2_val == -5, rs1_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x40005, 0x40000, -0x5, x1, 60, x2) - -inst_31: -// rs2_val == -3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0xb505, -0x3, x1, 64, x2) - -inst_32: -// rs2_val == -2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, -0xb503, -0x2, x1, 68, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xd5555555, 0x7fffffff, -0x55555556, x1, 72, x2) - -inst_34: -// rs1_val == -1073741825, rs2_val == 67108864 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbbffffff, -0x40000001, 0x4000000, x1, 76, x2) - -inst_35: -// rs1_val == -536870913, rs2_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfffffff, -0x20000001, 0x20000000, x1, 80, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0xf8008000, -0x8000001, -0x8001, x1, 84, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 0 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xfbffffff, -0x4000001, 0x0, x1, 88, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcbcccccb, -0x1000001, 0x33333334, x1, 92, x2) - -inst_39: -// rs1_val == -1048577, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccbccccb, -0x100001, 0x33333334, x1, 96, x2) - -inst_40: -// rs1_val == -524289, rs2_val == 8388608 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff77ffff, -0x80001, 0x800000, x1, 100, x2) - -inst_41: -// rs1_val == -131073, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffdfff9, -0x20001, 0x6, x1, 104, x2) - -inst_42: -// rs1_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff0080, -0x10001, -0x81, x1, 108, x2) - -inst_43: -// rs1_val == -32769, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffecafc, -0x8001, 0xb503, x1, 112, x2) - -inst_44: -// rs1_val == -16385, rs2_val == 8 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x8 -TEST_RR_OP(sub, x12, x10, x11, 0xffffbff7, -0x4001, 0x8, x1, 116, x2) - -inst_45: -// rs1_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffe003, -0x2001, -0x4, x1, 120, x2) - -inst_46: -// rs1_val == -4097, rs2_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(sub, x12, x10, x11, 0xfffbefff, -0x1001, 0x40000, x1, 124, x2) - -inst_47: -// rs1_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff800, -0x801, -0x20000001, x1, 128, x2) - -inst_48: -// rs1_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff00, -0x101, -0x8000001, x1, 132, x2) - -inst_49: -// rs1_val == -129, rs2_val == 2048 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x800 -TEST_RR_OP(sub, x12, x10, x11, 0xfffff77f, -0x81, 0x800, x1, 136, x2) - -inst_50: -// rs1_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xffc0, -0x41, -0x10001, x1, 140, x2) - -inst_51: -// rs1_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffe0, -0x21, -0x80001, x1, 144, x2) - -inst_52: -// rs1_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x200001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff0, -0x11, -0x200001, x1, 148, x2) - -inst_53: -// rs1_val == -9, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7fffff8, -0x9, -0x8000001, x1, 152, x2) - -inst_54: -// rs1_val == -3, rs2_val == 8192 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x2000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffdffd, -0x3, 0x2000, x1, 156, x2) - -inst_55: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x2aaaaaab, -0x55555555, -0x80000000, x1, 160, x2) - -inst_56: -// rs2_val == 1073741824, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x40000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfff7fff, -0x8001, 0x40000000, x1, 164, x2) - -inst_57: -// rs2_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x10000000 -TEST_RR_OP(sub, x12, x10, x11, 0xf2000000, 0x2000000, 0x10000000, x1, 168, x2) - -inst_58: -// rs2_val == 134217728, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x8000000 -TEST_RR_OP(sub, x12, x10, x11, 0xa2aaaaab, -0x55555555, 0x8000000, x1, 172, x2) - -inst_59: -// rs2_val == 33554432, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfdffdfff, -0x2001, 0x2000000, x1, 176, x2) - -inst_60: -// rs2_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfeffffef, -0x11, 0x1000000, x1, 180, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x200000 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00010, 0x10, 0x200000, x1, 184, x2) - -inst_62: -// rs2_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x100000 -TEST_RR_OP(sub, x12, x10, x11, 0x33233333, 0x33333333, 0x100000, x1, 188, x2) - -inst_63: -// rs2_val == 524288, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x80000 -TEST_RR_OP(sub, x12, x10, x11, 0xfef7ffff, -0x1000001, 0x80000, x1, 192, x2) - -inst_64: -// rs2_val == 131072, rs1_val == 524288 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x20000 -TEST_RR_OP(sub, x12, x10, x11, 0x60000, 0x80000, 0x20000, x1, 196, x2) - -inst_65: -// rs2_val == 65536, rs1_val == 134217728 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 -TEST_RR_OP(sub, x12, x10, x11, 0x7ff0000, 0x8000000, 0x10000, x1, 200, x2) - -inst_66: -// rs2_val == 32768, rs1_val == 2097152 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8000 -TEST_RR_OP(sub, x12, x10, x11, 0x1f8000, 0x200000, 0x8000, x1, 204, x2) - -inst_67: -// rs2_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xf7ffbfff, -0x8000001, 0x4000, x1, 208, x2) - -inst_68: -// rs2_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1000 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff000, 0x20000000, 0x1000, x1, 212, x2) - -inst_69: -// rs2_val == 1024, rs1_val == 1073741824 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffffc00, 0x40000000, 0x400, x1, 216, x2) - -inst_70: -// rs2_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x200 -TEST_RR_OP(sub, x12, x10, x11, 0xffff7dff, -0x8001, 0x200, x1, 220, x2) - -inst_71: -// rs2_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x100 -TEST_RR_OP(sub, x12, x10, x11, 0x55555454, 0x55555554, 0x100, x1, 224, x2) - -inst_72: -// rs2_val == 128, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0x333332b3, 0x33333333, 0x80, x1, 228, x2) - -inst_73: -// rs2_val == 64, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffc4, 0x4, 0x40, x1, 232, x2) - -inst_74: -// rs2_val == 32, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x20 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffbdf, -0x401, 0x20, x1, 236, x2) - -inst_75: -// rs2_val == 16, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffff2, 0x2, 0x10, x1, 240, x2) - -inst_76: -// rs2_val == 4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 244, x2) - -inst_77: -// rs2_val == 2, rs1_val==2 and rs2_val==2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x2, 0x2, x1, 248, x2) - -inst_78: -// rs2_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1 -TEST_RR_OP(sub, x12, x10, x11, 0x1fffffff, 0x20000000, 0x1, x1, 252, x2) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffffc00, -0x80000000, 0x400, x1, 256, x2) - -inst_80: -// rs1_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffe, 0x10000000, 0x2, x1, 260, x2) - -inst_81: -// rs1_val == 67108864, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4000000, 0x4000000, x1, 264, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x40001 -TEST_RR_OP(sub, x12, x10, x11, 0x1040001, 0x1000000, -0x40001, x1, 268, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x8800001, 0x800000, -0x8000001, x1, 272, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x99d9999a, 0x400000, 0x66666666, x1, 276, x2) - -inst_85: -// rs1_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80100000, 0x100000, -0x80000000, x1, 280, x2) - -inst_86: -// rs1_val == 131072, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x2000001 -TEST_RR_OP(sub, x12, x10, x11, 0x2020001, 0x20000, -0x2000001, x1, 284, x2) - -inst_87: -// rs1_val == 32768, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x8000, 0x8000, 0x0, x1, 288, x2) - -inst_88: -// rs1_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffb, 0x4000, 0x5, x1, 292, x2) - -inst_89: -// rs1_val == 8192, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff6afb, 0x2000, 0xb505, x1, 296, x2) - -inst_90: -// rs1_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0xf80, 0x1000, 0x80, x1, 300, x2) - -inst_91: -// rs1_val == 2048, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80000800, 0x800, -0x80000000, x1, 304, x2) - -inst_92: -// rs1_val == 1024, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff800400, 0x400, 0x800000, x1, 308, x2) - -inst_93: -// rs1_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x204, 0x200, -0x4, x1, 312, x2) - -inst_94: -// rs1_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xe0000100, 0x100, 0x20000000, x1, 316, x2) - -inst_95: -// rs1_val == 8, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffc008, 0x8, 0x4000, x1, 320, x2) - -inst_96: -// rs1_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x20001 -TEST_RR_OP(sub, x12, x10, x11, 0x20002, 0x1, -0x20001, x1, 324, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 328, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 332, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 336, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 340, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 344, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 348, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 352, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 356, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 360, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 364, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 368, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 372, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 376, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb505, 0x2, x1, 380, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 384, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 388, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 392, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 396, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 400, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 404, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 408, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb505, 0x3, x1, 412, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 416, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 420, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 424, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 428, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 432, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 436, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 440, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 444, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 448, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 452, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 456, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 460, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 464, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 468, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 472, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 476, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 480, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 484, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 488, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 492, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 496, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 500, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 504, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 508, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 512, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 516, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 520, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 524, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 528, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 532, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 536, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 540, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 544, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 548, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 552, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 556, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 560, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 564, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 568, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 572, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 576, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 580, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 584, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 588, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 592, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 596, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 600, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 604, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 608, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 612, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 616, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 620, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 624, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 628, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 632, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 636, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 640, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 644, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 648, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 652, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 656, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 660, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 664, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 668, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 672, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 676, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 680, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x6, -0xb503, x1, 684, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 688, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 692, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x6, 0x6, x1, 696, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 700, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 704, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x6, 0x4, x1, 708, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 712, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x6, 0x6, 0x0, x1, 716, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 720, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 724, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 728, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x6, 0x2, x1, 732, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 736, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb50a, 0x6, -0xb504, x1, 740, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 744, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 748, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x6, 0x5, x1, 752, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 756, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 760, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x6, 0x3, x1, 764, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 768, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 772, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 776, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 780, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 784, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 788, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 792, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 796, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 800, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 804, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 808, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 812, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 816, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 820, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 824, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 828, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 832, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 836, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 840, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 844, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 848, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 852, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 856, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 860, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 864, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 868, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 872, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 876, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 880, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 884, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 888, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 892, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 896, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 900, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 904, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 908, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 912, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 916, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 920, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 924, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 928, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 932, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 936, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 940, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 944, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x4, -0xb503, x1, 948, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 952, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 956, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 960, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 964, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 968, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4, 0x4, x1, 972, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 976, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x4, 0x0, x1, 980, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 984, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 988, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 992, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x4, 0x2, x1, 996, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1000, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x4, -0xb504, x1, 1004, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1008, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1012, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1016, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1020, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1024, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1028, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1032, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1036, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1040, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1044, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1048, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1052, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1056, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1060, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1064, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1068, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1072, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1076, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1080, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1084, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1088, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1092, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1096, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1100, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1104, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1108, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1112, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1116, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1120, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0x0, -0xb503, x1, 1124, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1128, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1132, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1136, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1140, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1144, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1148, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1152, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1156, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1160, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1164, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1168, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1172, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1176, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0x0, -0xb504, x1, 1180, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1184, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1188, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1192, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1196, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1200, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1204, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1208, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1212, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1216, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1220, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1224, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1228, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1232, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1236, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1240, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1244, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1264, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1268, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1272, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1284, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1296, x2) - -inst_340: -// rs1_val==5 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1300, x2) - -inst_341: -// rs1_val==5 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x5, -0xb503, x1, 1304, x2) - -inst_342: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1308, x2) - -inst_343: -// rs1_val==5 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1312, x2) - -inst_344: -// rs1_val==5 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1316, x2) - -inst_345: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1320, x2) - -inst_346: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1324, x2) - -inst_347: -// rs1_val==5 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1328, x2) - -inst_348: -// rs1_val==5 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1332, x2) - -inst_349: -// rs1_val==5 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_350: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1340, x2) - -inst_351: -// rs1_val==5 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1344, x2) - -inst_352: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1348, x2) - -inst_353: -// rs1_val==5 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x5, 0x2, x1, 1352, x2) - -inst_354: -// rs1_val==5 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1356, x2) - -inst_355: -// rs1_val==5 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x5, -0xb504, x1, 1360, x2) - -inst_356: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1364, x2) - -inst_357: -// rs1_val==5 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1368, x2) - -inst_358: -// rs1_val==5 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1372, x2) - -inst_359: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1376, x2) - -inst_360: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1380, x2) - -inst_361: -// rs1_val==5 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x5, 0x3, x1, 1384, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1388, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1392, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1404, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1416, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1420, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1440, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1444, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1448, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1460, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1472, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1476, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1480, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1492, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1504, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1508, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1528, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1532, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1536, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1548, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1560, x2) - -inst_406: -// rs1_val==3 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1564, x2) - -inst_407: -// rs1_val==3 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x3, -0xb503, x1, 1568, x2) - -inst_408: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1572, x2) - -inst_409: -// rs1_val==3 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1576, x2) - -inst_410: -// rs1_val==3 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1580, x2) - -inst_411: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1584, x2) - -inst_412: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1588, x2) - -inst_413: -// rs1_val==3 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1592, x2) - -inst_414: -// rs1_val==3 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1596, x2) - -inst_415: -// rs1_val==3 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_416: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1604, x2) - -inst_417: -// rs1_val==3 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1608, x2) - -inst_418: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1612, x2) - -inst_419: -// rs1_val==3 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1616, x2) - -inst_420: -// rs1_val==3 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1620, x2) - -inst_421: -// rs1_val==3 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x3, -0xb504, x1, 1624, x2) - -inst_422: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1628, x2) - -inst_423: -// rs1_val==3 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1632, x2) - -inst_424: -// rs1_val==3 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1636, x2) - -inst_425: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1640, x2) - -inst_426: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1644, x2) - -inst_427: -// rs1_val==3 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1648, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1652, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1656, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1660, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1664, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1668, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1672, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1676, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1680, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1684, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1688, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1692, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1696, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1700, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1704, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1708, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1712, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1716, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1720, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1724, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1728, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1732, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1736, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1740, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1744, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1748, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1752, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1756, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1760, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1764, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1768, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1772, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1776, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1780, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1784, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1788, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1792, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1796, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1800, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1804, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1808, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1812, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1816, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1820, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1824, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1828, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1832, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1836, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1840, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1844, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1848, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1852, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1856, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1860, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1864, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1868, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1872, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1876, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0x2, -0xb503, x1, 1880, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1884, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1888, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1892, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1896, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1900, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1904, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1908, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1912, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1916, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1920, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1924, x2) - -inst_497: -// rs1_val==2 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1928, x2) - -inst_498: -// rs1_val==2 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x2, -0xb504, x1, 1932, x2) - -inst_499: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 1936, x2) - -inst_500: -// rs1_val==2 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 1940, x2) - -inst_501: -// rs1_val==2 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 1944, x2) - -inst_502: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 1948, x2) - -inst_503: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 1952, x2) - -inst_504: -// rs1_val==2 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x2, 0x3, x1, 1956, x2) - -inst_505: -// rs1_val==46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 1960, x2) - -inst_506: -// rs1_val==46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 1964, x2) - -inst_507: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 1968, x2) - -inst_508: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 1972, x2) - -inst_509: -// rs1_val==46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 1976, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 1980, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 1984, x2) - -inst_512: -// rs1_val==46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1988, x2) - -inst_513: -// rs1_val==46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1992, x2) - -inst_514: -// rs1_val==46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 2000, x2) - -inst_516: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 2004, x2) - -inst_517: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 2008, x2) - -inst_518: -// rs1_val==46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb504, 0x2, x1, 2012, x2) - -inst_519: -// rs1_val==46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2016, x2) - -inst_520: -// rs1_val==46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 2020, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 2024, x2) - -inst_522: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 2028, x2) - -inst_523: -// rs1_val==46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 2032, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 2036, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 2040, x2) - -inst_526: -// rs1_val==46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_527: -// rs1_val==-46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 0, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 4, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 8, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 12, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 16, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 20, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 24, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 28, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 32, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 40, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 44, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 48, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 52, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 56, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 60, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 64, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 68, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 72, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 76, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 80, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 84, x2) - -inst_549: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 88, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 92, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 96, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 100, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 104, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 108, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 112, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 116, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 120, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 128, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 132, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 136, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 140, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 144, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 148, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 152, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 156, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 160, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 164, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 168, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 172, x2) - -inst_571: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 176, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 180, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 184, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 188, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 192, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 196, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 200, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 204, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 208, x2) - -inst_580: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(sub, x12, x10, x11, 0x7fc00000, -0x400001, 0x7fffffff, x1, 212, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1f800000, -0x800001, -0x20000001, x1, 216, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x10000001 -TEST_RR_OP(sub, x12, x10, x11, 0xe000000, -0x2000001, -0x10000001, x1, 220, x2) - -inst_583: -// rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff, -0x2, -0x80001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sw-align-01.S deleted file mode 100644 index aae0ca476..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sw-align-01.S +++ /dev/null @@ -1,415 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sw instruction of the RISC-V E extension for the sw-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2, rs1==x13, rs2==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0, rs2_val == 67108864 -// opcode: sw; op1:x13; op2:x1; op2val:0x4000000; immval:0x4; align:0 -TEST_STORE(x2,x9,0,x13,x1,0x4000000,0x4,0,sw,0) - -inst_1: -// rs1==x7, rs2==x6, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: sw; op1:x7; op2:x6; op2val:0x7fffffff; immval:0x20; align:0 -TEST_STORE(x2,x9,0,x7,x6,0x7fffffff,0x20,4,sw,0) - -inst_2: -// rs1==x5, rs2==x0, rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x5; op2:x0; op2val:0x0; immval:-0x4; align:0 -TEST_STORE(x2,x9,0,x5,x0,0x0,-0x4,8,sw,0) - -inst_3: -// rs1==x4, rs2==x5, rs2_val == -536870913, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sw; op1:x4; op2:x5; op2val:-0x20000001; immval:-0x11; align:0 -TEST_STORE(x2,x9,0,x4,x5,-0x20000001,-0x11,12,sw,0) - -inst_4: -// rs1==x12, rs2==x3, rs2_val == -268435457, -// opcode: sw; op1:x12; op2:x3; op2val:-0x10000001; immval:-0x101; align:0 -TEST_STORE(x2,x9,0,x12,x3,-0x10000001,-0x101,16,sw,0) - -inst_5: -// rs1==x8, rs2==x10, rs2_val == -134217729, -// opcode: sw; op1:x8; op2:x10; op2val:-0x8000001; immval:-0x1; align:0 -TEST_STORE(x2,x9,0,x8,x10,-0x8000001,-0x1,20,sw,0) - -inst_6: -// rs1==x1, rs2==x8, rs2_val == -67108865, -// opcode: sw; op1:x1; op2:x8; op2val:-0x4000001; immval:-0x401; align:0 -TEST_STORE(x2,x9,0,x1,x8,-0x4000001,-0x401,24,sw,0) - -inst_7: -// rs1==x6, rs2==x15, rs2_val == -33554433, -// opcode: sw; op1:x6; op2:x15; op2val:-0x2000001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x6,x15,-0x2000001,0x40,28,sw,0) - -inst_8: -// rs1==x11, rs2==x14, rs2_val == -16777217, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sw; op1:x11; op2:x14; op2val:-0x1000001; immval:0x555; align:0 -TEST_STORE(x2,x5,0,x11,x14,-0x1000001,0x555,32,sw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x15, rs2==x7, rs2_val == -8388609, -// opcode: sw; op1:x15; op2:x7; op2val:-0x800001; immval:-0x201; align:0 -TEST_STORE(x1,x5,0,x15,x7,-0x800001,-0x201,0,sw,0) - -inst_10: -// rs1==x3, rs2==x12, rs2_val == -4194305, -// opcode: sw; op1:x3; op2:x12; op2val:-0x400001; immval:-0x8; align:0 -TEST_STORE(x1,x5,0,x3,x12,-0x400001,-0x8,4,sw,0) - -inst_11: -// rs1==x14, rs2==x9, rs2_val == -2097153, -// opcode: sw; op1:x14; op2:x9; op2val:-0x200001; immval:-0x800; align:0 -TEST_STORE(x1,x5,0,x14,x9,-0x200001,-0x800,8,sw,0) - -inst_12: -// rs1==x9, rs2==x13, rs2_val == -1048577, -// opcode: sw; op1:x9; op2:x13; op2val:-0x100001; immval:0x9; align:0 -TEST_STORE(x1,x5,0,x9,x13,-0x100001,0x9,12,sw,0) - -inst_13: -// rs1==x10, rs2==x4, rs2_val == -524289, -// opcode: sw; op1:x10; op2:x4; op2val:-0x80001; immval:0x7; align:0 -TEST_STORE(x1,x5,0,x10,x4,-0x80001,0x7,16,sw,0) - -inst_14: -// rs1==x2, rs2==x11, rs2_val == -262145, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sw; op1:x2; op2:x11; op2val:-0x40001; immval:0x6; align:0 -TEST_STORE(x1,x3,0,x2,x11,-0x40001,0x6,20,sw,0) - -inst_15: -// rs2==x2, rs2_val == -131073, -// opcode: sw; op1:x9; op2:x2; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x9,x2,-0x20001,0x20,24,sw,0) - -inst_16: -// rs2_val == -65537, -// opcode: sw; op1:x10; op2:x11; op2val:-0x10001; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x10001,-0x81,28,sw,0) - -inst_17: -// rs2_val == -32769, -// opcode: sw; op1:x10; op2:x11; op2val:-0x8001; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x11,32,sw,0) - -inst_18: -// rs2_val == -16385, imm_val == 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,36,sw,0) - -inst_19: -// rs2_val == -8193, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,0x3ff,40,sw,0) - -inst_20: -// rs2_val == -4097, -// opcode: sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x200,44,sw,0) - -inst_21: -// rs2_val == -2049, -// opcode: sw; op1:x10; op2:x11; op2val:-0x801; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,0x4,48,sw,0) - -inst_22: -// rs2_val == -1025, -// opcode: sw; op1:x10; op2:x11; op2val:-0x401; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x401,52,sw,0) - -inst_23: -// rs2_val == -513, -// opcode: sw; op1:x10; op2:x11; op2val:-0x201; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,0x3ff,56,sw,0) - -inst_24: -// rs2_val == -257, -// opcode: sw; op1:x10; op2:x11; op2val:-0x101; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x81,60,sw,0) - -inst_25: -// rs2_val == -129, -// opcode: sw; op1:x10; op2:x11; op2val:-0x81; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x1,64,sw,0) - -inst_26: -// rs2_val == -65, -// opcode: sw; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,-0xa,68,sw,0) - -inst_27: -// rs2_val == -33, -// opcode: sw; op1:x10; op2:x11; op2val:-0x21; immval:0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,0x3,72,sw,0) - -inst_28: -// rs2_val == -17, -// opcode: sw; op1:x10; op2:x11; op2val:-0x11; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,0x2,76,sw,0) - -inst_29: -// rs2_val == -9, -// opcode: sw; op1:x10; op2:x11; op2val:-0x9; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0xa,80,sw,0) - -inst_30: -// rs2_val == -5, -// opcode: sw; op1:x10; op2:x11; op2val:-0x5; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,0x40,84,sw,0) - -inst_31: -// rs2_val == -3, -// opcode: sw; op1:x10; op2:x11; op2val:-0x3; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x1,88,sw,0) - -inst_32: -// rs2_val == -2, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x201,92,sw,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x20,96,sw,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x401,100,sw,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,-0x8,104,sw,0) - -inst_36: -// rs2_val == 1, -// opcode: sw; op1:x10; op2:x11; op2val:0x1; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1,-0x2,108,sw,0) - -inst_37: -// rs2_val == -1431655766, -// opcode: sw; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,112,sw,0) - -inst_38: -// rs2_val == 1431655765, -// opcode: sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,0x40,116,sw,0) - -inst_39: -// rs2_val == 0, -// opcode: sw; op1:x10; op2:x11; op2val:0x0; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0xa,120,sw,0) - -inst_40: -// rs2_val == 268435456, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000000; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x4,124,sw,0) - -inst_41: -// rs2_val == 134217728, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x7ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,0x7ff,128,sw,0) - -inst_42: -// rs2_val == 33554432, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,0x555,132,sw,0) - -inst_43: -// rs2_val == 16777216, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,0x1,136,sw,0) - -inst_44: -// rs2_val == 8388608, -// opcode: sw; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x5,140,sw,0) - -inst_45: -// rs2_val == 4194304, -// opcode: sw; op1:x10; op2:x11; op2val:0x400000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,0x2,144,sw,0) - -inst_46: -// rs2_val == 2097152, -// opcode: sw; op1:x10; op2:x11; op2val:0x200000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,-0x1,148,sw,0) - -inst_47: -// rs2_val == 1048576, -// opcode: sw; op1:x10; op2:x11; op2val:0x100000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,-0x9,152,sw,0) - -inst_48: -// rs2_val == 524288, -// opcode: sw; op1:x10; op2:x11; op2val:0x80000; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,0x400,156,sw,0) - -inst_49: -// rs2_val == 262144, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x555,160,sw,0) - -inst_50: -// rs2_val == 131072, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x8,164,sw,0) - -inst_51: -// rs2_val == 65536, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x2,168,sw,0) - -inst_52: -// rs2_val == 32768, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,-0xa,172,sw,0) - -inst_53: -// rs2_val == 16384, -// opcode: sw; op1:x10; op2:x11; op2val:0x4000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x1,176,sw,0) - -inst_54: -// rs2_val == 8192, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,180,sw,0) - -inst_55: -// rs2_val == 4096, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,0x7,184,sw,0) - -inst_56: -// rs2_val == 2048, -// opcode: sw; op1:x10; op2:x11; op2val:0x800; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x81,188,sw,0) - -inst_57: -// rs2_val == 1024, -// opcode: sw; op1:x10; op2:x11; op2val:0x400; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x401,192,sw,0) - -inst_58: -// rs2_val == 512, -// opcode: sw; op1:x10; op2:x11; op2val:0x200; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x7,196,sw,0) - -inst_59: -// rs2_val == 256, -// opcode: sw; op1:x10; op2:x11; op2val:0x100; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x101,200,sw,0) - -inst_60: -// rs2_val == 128, -// opcode: sw; op1:x10; op2:x11; op2val:0x80; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x21,204,sw,0) - -inst_61: -// rs2_val == 64, -// opcode: sw; op1:x10; op2:x11; op2val:0x40; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,0x40,208,sw,0) - -inst_62: -// rs2_val == 32, -// opcode: sw; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,212,sw,0) - -inst_63: -// rs2_val == 16, -// opcode: sw; op1:x10; op2:x11; op2val:0x10; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,0x10,216,sw,0) - -inst_64: -// rs2_val == 8, -// opcode: sw; op1:x10; op2:x11; op2val:0x8; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,0x400,220,sw,0) - -inst_65: -// rs2_val == 4, -// opcode: sw; op1:x10; op2:x11; op2val:0x4; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x401,224,sw,0) - -inst_66: -// rs2_val == 2, -// opcode: sw; op1:x10; op2:x11; op2val:0x2; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,-0x400,228,sw,0) - -inst_67: -// rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x40000001; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x40000001,-0x4,232,sw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 59*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xor-01.S deleted file mode 100644 index cb30a2617..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xor-01.S +++ /dev/null @@ -1,3000 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xor instruction of the RISC-V E extension for the xor covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xor) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x14, rd==x1, rs1_val != rs2_val, rs2_val == -1048577, rs1_val > 0 and rs2_val < 0, rs1_val == 131072 -// opcode: xor ; op1:x5; op2:x14; dest:x1; op1val:0x20000; op2val:-0x100001 -TEST_RR_OP(xor, x1, x5, x14, 0xffedffff, 0x20000, -0x100001, x7, 0, x10) - -inst_1: -// rs1 == rd != rs2, rs1==x3, rs2==x15, rd==x3, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -1048577, rs2_val == (2**(xlen-1)-1) -// opcode: xor ; op1:x3; op2:x15; dest:x3; op1val:-0x100001; op2val:0x7fffffff -TEST_RR_OP(xor, x3, x3, x15, 0x80100000, -0x100001, 0x7fffffff, x7, 4, x10) - -inst_2: -// rs2 == rd != rs1, rs1==x4, rs2==x0, rd==x0, rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x4; op2:x0; dest:x0; op1val:0x400; op2val:0x0 -TEST_RR_OP(xor, x0, x4, x0, 0, 0x400, 0x0, x7, 8, x10) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x6, rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x8; op2:x8; dest:x6; op1val:0x2000; op2val:0x2000 -TEST_RR_OP(xor, x6, x8, x8, 0x0, 0x2000, 0x2000, x7, 12, x10) - -inst_4: -// rs1 == rs2 == rd, rs1==x12, rs2==x12, rd==x12, rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x12; op2:x12; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(xor, x12, x12, x12, 0x0, 0x1, 0x1, x7, 16, x10) - -inst_5: -// rs1==x1, rs2==x4, rd==x15, rs2_val == -134217729, -// opcode: xor ; op1:x1; op2:x4; dest:x15; op1val:0x66666667; op2val:-0x8000001 -TEST_RR_OP(xor, x15, x1, x4, 0x91999998, 0x66666667, -0x8000001, x7, 20, x10) - -inst_6: -// rs1==x9, rs2==x1, rd==x14, rs2_val == -67108865, rs1_val < 0 and rs2_val < 0, rs1_val == -65 -// opcode: xor ; op1:x9; op2:x1; dest:x14; op1val:-0x41; op2val:-0x4000001 -TEST_RR_OP(xor, x14, x9, x1, 0x4000040, -0x41, -0x4000001, x7, 24, x10) - -inst_7: -// rs1==x15, rs2==x13, rd==x2, rs2_val == -33554433, rs1_val == 32768 -// opcode: xor ; op1:x15; op2:x13; dest:x2; op1val:0x8000; op2val:-0x2000001 -TEST_RR_OP(xor, x2, x15, x13, 0xfdff7fff, 0x8000, -0x2000001, x7, 28, x10) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x10, rs2==x9, rd==x11, rs2_val == -16777217, -// opcode: xor ; op1:x10; op2:x9; dest:x11; op1val:0xb503; op2val:-0x1000001 -TEST_RR_OP(xor, x11, x10, x9, 0xfeff4afc, 0xb503, -0x1000001, x1, 0, x2) - -inst_9: -// rs1==x7, rs2==x5, rd==x10, rs2_val == -8388609, rs1_val == -17 -// opcode: xor ; op1:x7; op2:x5; dest:x10; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(xor, x10, x7, x5, 0x800010, -0x11, -0x800001, x1, 4, x2) - -inst_10: -// rs1==x6, rs2==x7, rd==x8, rs2_val == -4194305, rs1_val == 262144 -// opcode: xor ; op1:x6; op2:x7; dest:x8; op1val:0x40000; op2val:-0x400001 -TEST_RR_OP(xor, x8, x6, x7, 0xffbbffff, 0x40000, -0x400001, x1, 8, x2) - -inst_11: -// rs1==x13, rs2==x11, rd==x4, rs2_val == -2097153, rs1_val == 2097152 -// opcode: xor ; op1:x13; op2:x11; dest:x4; op1val:0x200000; op2val:-0x200001 -TEST_RR_OP(xor, x4, x13, x11, 0xffffffff, 0x200000, -0x200001, x1, 12, x2) - -inst_12: -// rs1==x14, rs2==x6, rd==x9, rs2_val == -524289, rs1_val == 524288 -// opcode: xor ; op1:x14; op2:x6; dest:x9; op1val:0x80000; op2val:-0x80001 -TEST_RR_OP(xor, x9, x14, x6, 0xffffffff, 0x80000, -0x80001, x1, 16, x2) - -inst_13: -// rs1==x0, rs2==x3, rd==x7, rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(xor, x7, x0, x3, 0xfffbffff, 0x0, -0x40001, x1, 20, x2) - -inst_14: -// rs1==x11, rs2==x10, rd==x5, rs2_val == -131073, -// opcode: xor ; op1:x11; op2:x10; dest:x5; op1val:-0x8; op2val:-0x20001 -TEST_RR_OP(xor, x5, x11, x10, 0x20007, -0x8, -0x20001, x1, 24, x3) - -inst_15: -// rs1==x2, rs2_val == -65537, rs1_val == 2 -// opcode: xor ; op1:x2; op2:x9; dest:x14; op1val:0x2; op2val:-0x10001 -TEST_RR_OP(xor, x14, x2, x9, 0xfffefffd, 0x2, -0x10001, x1, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_16: -// rs2==x2, rs2_val == -32769, rs1_val == -262145 -// opcode: xor ; op1:x10; op2:x2; dest:x14; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(xor, x14, x10, x2, 0x48000, -0x40001, -0x8001, x1, 0, x3) - -inst_17: -// rd==x13, rs2_val == -16385, -// opcode: xor ; op1:x7; op2:x11; dest:x13; op1val:0x2; op2val:-0x4001 -TEST_RR_OP(xor, x13, x7, x11, 0xffffbffd, 0x2, -0x4001, x1, 4, x3) - -inst_18: -// rs2_val == -8193, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x2001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 8, x3) - -inst_19: -// rs2_val == -4097, rs1_val == -67108865 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0x4001000, -0x4000001, -0x1001, x1, 12, x3) - -inst_20: -// rs2_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7fd, 0x2, -0x801, x1, 16, x3) - -inst_21: -// rs2_val == -1025, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbfd, 0x2, -0x401, x1, 20, x3) - -inst_22: -// rs2_val == -513, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x201 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdfc, 0x3, -0x201, x1, 24, x3) - -inst_23: -// rs2_val == -257, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x101 -TEST_RR_OP(xor, x12, x10, x11, 0xffff7eff, 0x8000, -0x101, x1, 28, x3) - -inst_24: -// rs2_val == -129, rs1_val == -1073741825 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x81 -TEST_RR_OP(xor, x12, x10, x11, 0x40000080, -0x40000001, -0x81, x1, 32, x3) - -inst_25: -// rs2_val == -65, rs1_val == -134217729 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x41 -TEST_RR_OP(xor, x12, x10, x11, 0x8000040, -0x8000001, -0x41, x1, 36, x3) - -inst_26: -// rs2_val == -33, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffd8, 0x7, -0x21, x1, 40, x3) - -inst_27: -// rs2_val == -17, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffec, 0x3, -0x11, x1, 44, x3) - -inst_28: -// rs2_val == -9, rs1_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff7, 0x0, -0x9, x1, 48, x3) - -inst_29: -// rs2_val == -5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x6, -0x5, x1, 52, x3) - -inst_30: -// rs2_val == -3, rs1_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x55555555, -0x3, x1, 56, x3) - -inst_31: -// rs2_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x0, -0x2, x1, 60, x3) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x2aaaaaaa, 0x7fffffff, 0x55555555, x1, 64, x3) - -inst_33: -// rs1_val == -536870913, rs2_val == 2097152 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x200000 -TEST_RR_OP(xor, x12, x10, x11, 0xdfdfffff, -0x20000001, 0x200000, x1, 68, x3) - -inst_34: -// rs1_val == -268435457, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1000b503, -0x10000001, -0xb504, x1, 72, x3) - -inst_35: -// rs1_val == -33554433, rs2_val == 2 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfffffd, -0x2000001, 0x2, x1, 76, x3) - -inst_36: -// rs1_val == -16777217, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x1000005, -0x1000001, -0x6, x1, 80, x3) - -inst_37: -// rs1_val == -8388609, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0x800020, -0x800001, -0x21, x1, 84, x3) - -inst_38: -// rs1_val == -4194305, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x400002, -0x400001, -0x3, x1, 88, x3) - -inst_39: -// rs1_val == -2097153, rs2_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55755555, -0x200001, -0x55555556, x1, 92, x3) - -inst_40: -// rs1_val == -524289, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x3ff7ffff, -0x80001, -0x40000000, x1, 96, x3) - -inst_41: -// rs1_val == -131073, rs2_val == 1048576 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x100000 -TEST_RR_OP(xor, x12, x10, x11, 0xffedffff, -0x20001, 0x100000, x1, 100, x3) - -inst_42: -// rs1_val == -32769, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafb, -0x8001, 0xb504, x1, 104, x3) - -inst_43: -// rs1_val == -16385, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4005, -0x4001, -0x6, x1, 108, x3) - -inst_44: -// rs1_val == -8193, rs2_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x400 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdbff, -0x2001, 0x400, x1, 112, x3) - -inst_45: -// rs1_val == -4097, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1000001 -TEST_RR_OP(xor, x12, x10, x11, 0x1001000, -0x1001, -0x1000001, x1, 116, x3) - -inst_46: -// rs1_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7f6, -0x801, 0x9, x1, 120, x3) - -inst_47: -// rs1_val == -1025, rs2_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfefffbff, -0x401, 0x1000000, x1, 124, x3) - -inst_48: -// rs1_val == -513, rs2_val == 67108864 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x4000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 128, x3) - -inst_49: -// rs1_val == -257, rs2_val == 268435456 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x10000000 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffeff, -0x101, 0x10000000, x1, 132, x3) - -inst_50: -// rs1_val == -129, rs2_val == 131072 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffdff7f, -0x81, 0x20000, x1, 136, x3) - -inst_51: -// rs1_val == -33, rs2_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffdf, -0x21, 0x0, x1, 140, x3) - -inst_52: -// rs1_val == -9, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccc4, -0x9, 0x33333333, x1, 144, x3) - -inst_53: -// rs1_val == -5, rs2_val == 536870912 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(xor, x12, x10, x11, 0xdffffffb, -0x5, 0x20000000, x1, 148, x3) - -inst_54: -// rs1_val == -3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0x3, 0xb503, x1, 152, x3) - -inst_55: -// rs1_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x400001 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, -0x2, -0x400001, x1, 156, x3) - -inst_56: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x80000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000009, 0x9, -0x80000000, x1, 160, x3) - -inst_57: -// rs2_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000000, -0x40000000, 0x40000000, x1, 164, x3) - -inst_58: -// rs2_val == 134217728, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000007, 0x7, 0x8000000, x1, 168, x3) - -inst_59: -// rs2_val == 33554432, rs1_val == 4 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2000000 -TEST_RR_OP(xor, x12, x10, x11, 0x2000004, 0x4, 0x2000000, x1, 172, x3) - -inst_60: -// rs2_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 -TEST_RR_OP(xor, x12, x10, x11, 0x33b33333, 0x33333333, 0x800000, x1, 176, x3) - -inst_61: -// rs2_val == 4194304, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x400000 -TEST_RR_OP(xor, x12, x10, x11, 0xfdbfffff, -0x2000001, 0x400000, x1, 180, x3) - -inst_62: -// rs2_val == 524288, rs1_val == 256 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x80000 -TEST_RR_OP(xor, x12, x10, x11, 0x80100, 0x100, 0x80000, x1, 184, x3) - -inst_63: -// rs2_val == 262144, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x40000 -TEST_RR_OP(xor, x12, x10, x11, 0x33373333, 0x33333333, 0x40000, x1, 188, x3) - -inst_64: -// rs2_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x10000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffeffff, -0x1, 0x10000, x1, 192, x3) - -inst_65: -// rs2_val == 32768, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x8000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafc, -0xb504, 0x8000, x1, 196, x3) - -inst_66: -// rs2_val == 16384, rs1_val == 32 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000 -TEST_RR_OP(xor, x12, x10, x11, 0x4020, 0x20, 0x4000, x1, 200, x3) - -inst_67: -// rs2_val == 8192, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdff6, -0xa, 0x2000, x1, 204, x3) - -inst_68: -// rs2_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1000 -TEST_RR_OP(xor, x12, x10, x11, 0x33332333, 0x33333333, 0x1000, x1, 208, x3) - -inst_69: -// rs2_val == 2048, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x800 -TEST_RR_OP(xor, x12, x10, x11, 0x33333b34, 0x33333334, 0x800, x1, 212, x3) - -inst_70: -// rs2_val == 512, rs1_val == 2048 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x200 -TEST_RR_OP(xor, x12, x10, x11, 0xa00, 0x800, 0x200, x1, 216, x3) - -inst_71: -// rs2_val == 256, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x100 -TEST_RR_OP(xor, x12, x10, x11, 0x100, 0x0, 0x100, x1, 220, x3) - -inst_72: -// rs2_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x80 -TEST_RR_OP(xor, x12, x10, x11, 0x20080, 0x20000, 0x80, x1, 224, x3) - -inst_73: -// rs2_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x40 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaeb, -0x55555555, 0x40, x1, 228, x3) - -inst_74: -// rs2_val == 32, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x20 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffcf, -0x11, 0x20, x1, 232, x3) - -inst_75: -// rs2_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x10 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdef, -0x201, 0x10, x1, 236, x3) - -inst_76: -// rs2_val == 8, rs1_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8 -TEST_RR_OP(xor, x12, x10, x11, 0x1000008, 0x1000000, 0x8, x1, 240, x3) - -inst_77: -// rs2_val == 4, rs1_val == 134217728 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x8000004, 0x8000000, 0x4, x1, 244, x3) - -inst_78: -// rs2_val == 1, rs1_val == 4194304 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x1 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, 0x400000, 0x1, x1, 248, x3) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7fff4afd, -0x80000000, -0xb503, x1, 252, x3) - -inst_80: -// rs1_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xafffffff, 0x40000000, -0x10000001, x1, 256, x3) - -inst_81: -// rs1_val == 536870912, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffffdf, 0x20000000, -0x21, x1, 260, x3) - -inst_82: -// rs1_val == 268435456, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0x10020000, 0x10000000, 0x20000, x1, 264, x3) - -inst_83: -// rs1_val == 67108864, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4000000, 0x4000000, 0x0, x1, 268, x3) - -inst_84: -// rs1_val == 33554432, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfff7ff, 0x2000000, -0x801, x1, 272, x3) - -inst_85: -// rs1_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x80b503, 0x800000, 0xb503, x1, 276, x3) - -inst_86: -// rs1_val == 1048576, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x100003, 0x100000, 0x3, x1, 280, x3) - -inst_87: -// rs1_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66676667, 0x10000, 0x66666667, x1, 284, x3) - -inst_88: -// rs1_val == 16384, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffafff, 0x4000, -0x1001, x1, 288, x3) - -inst_89: -// rs1_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55554555, 0x1000, 0x55555555, x1, 292, x3) - -inst_90: -// rs1_val == 512, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000200, 0x200, 0x8000000, x1, 296, x3) - -inst_91: -// rs1_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x86, 0x80, 0x6, x1, 300, x3) - -inst_92: -// rs1_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbbf, 0x40, -0x401, x1, 304, x3) - -inst_93: -// rs1_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffeb, 0x10, -0x5, x1, 308, x3) - -inst_94: -// rs1_val == 8, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffe7, 0x8, -0x11, x1, 312, x3) - -inst_95: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 316, x3) - -inst_96: -// rs1_val==46341 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 320, x3) - -inst_97: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 324, x3) - -inst_98: -// rs1_val==46341 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 328, x3) - -inst_99: -// rs1_val==46341 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb505, 0x6, x1, 332, x3) - -inst_100: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 336, x3) - -inst_101: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 340, x3) - -inst_102: -// rs1_val==46341 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 344, x3) - -inst_103: -// rs1_val==46341 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb505, 0xb503, x1, 348, x3) - -inst_104: -// rs1_val==46341 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 352, x3) - -inst_105: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 356, x3) - -inst_106: -// rs1_val==46341 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 360, x3) - -inst_107: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 364, x3) - -inst_108: -// rs1_val==46341 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 368, x3) - -inst_109: -// rs1_val==46341 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 372, x3) - -inst_110: -// rs1_val==46341 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 376, x3) - -inst_111: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 380, x3) - -inst_112: -// rs1_val==46341 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 384, x3) - -inst_113: -// rs1_val==46341 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 388, x3) - -inst_114: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 392, x3) - -inst_115: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 396, x3) - -inst_116: -// rs1_val==46341 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb505, 0x3, x1, 400, x3) - -inst_117: -// rs1_val==-46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 404, x3) - -inst_118: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 408, x3) - -inst_119: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 412, x3) - -inst_120: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 416, x3) - -inst_121: -// rs1_val==-46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 420, x3) - -inst_122: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 424, x3) - -inst_123: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 428, x3) - -inst_124: -// rs1_val==-46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 432, x3) - -inst_125: -// rs1_val==-46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 436, x3) - -inst_126: -// rs1_val==-46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 440, x3) - -inst_127: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 444, x3) - -inst_128: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 448, x3) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 452, x3) - -inst_130: -// rs1_val==-46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 456, x3) - -inst_131: -// rs1_val==-46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 460, x3) - -inst_132: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 464, x3) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 468, x3) - -inst_134: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 472, x3) - -inst_135: -// rs1_val==-46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 476, x3) - -inst_136: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 480, x3) - -inst_137: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 484, x3) - -inst_138: -// rs1_val==-46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 488, x3) - -inst_139: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 492, x3) - -inst_140: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 496, x3) - -inst_141: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 500, x3) - -inst_142: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 504, x3) - -inst_143: -// rs1_val==1717986919 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 508, x3) - -inst_144: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 512, x3) - -inst_145: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 516, x3) - -inst_146: -// rs1_val==1717986919 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 520, x3) - -inst_147: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 524, x3) - -inst_148: -// rs1_val==1717986919 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 528, x3) - -inst_149: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 532, x3) - -inst_150: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 536, x3) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 540, x3) - -inst_152: -// rs1_val==1717986919 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 544, x3) - -inst_153: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 548, x3) - -inst_154: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 552, x3) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 556, x3) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 560, x3) - -inst_157: -// rs1_val==1717986919 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 564, x3) - -inst_158: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 568, x3) - -inst_159: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 572, x3) - -inst_160: -// rs1_val==1717986919 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 576, x3) - -inst_161: -// rs1_val==858993460 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 580, x3) - -inst_162: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 584, x3) - -inst_163: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 588, x3) - -inst_164: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 592, x3) - -inst_165: -// rs1_val==858993460 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 596, x3) - -inst_166: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 600, x3) - -inst_167: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 604, x3) - -inst_168: -// rs1_val==858993460 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 608, x3) - -inst_169: -// rs1_val==858993460 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 612, x3) - -inst_170: -// rs1_val==858993460 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 616, x3) - -inst_171: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 620, x3) - -inst_172: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 624, x3) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 628, x3) - -inst_174: -// rs1_val==858993460 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 632, x3) - -inst_175: -// rs1_val==858993460 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 636, x3) - -inst_176: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 640, x3) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 644, x3) - -inst_178: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 648, x3) - -inst_179: -// rs1_val==858993460 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 652, x3) - -inst_180: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 656, x3) - -inst_181: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 660, x3) - -inst_182: -// rs1_val==858993460 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 664, x3) - -inst_183: -// rs1_val==6 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x6, 0xb505, x1, 668, x3) - -inst_184: -// rs1_val==6 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 672, x3) - -inst_185: -// rs1_val==6 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 676, x3) - -inst_186: -// rs1_val==6 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 680, x3) - -inst_187: -// rs1_val==6 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x6, 0x6, x1, 684, x3) - -inst_188: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 688, x3) - -inst_189: -// rs1_val==6 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 692, x3) - -inst_190: -// rs1_val==6 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x6, 0x4, x1, 696, x3) - -inst_191: -// rs1_val==6 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x6, 0xb503, x1, 700, x3) - -inst_192: -// rs1_val==6 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x6, 0x0, x1, 704, x3) - -inst_193: -// rs1_val==6 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 708, x3) - -inst_194: -// rs1_val==6 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 712, x3) - -inst_195: -// rs1_val==6 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 716, x3) - -inst_196: -// rs1_val==6 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x6, 0x2, x1, 720, x3) - -inst_197: -// rs1_val==6 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0x6, 0xb504, x1, 724, x3) - -inst_198: -// rs1_val==6 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 728, x3) - -inst_199: -// rs1_val==6 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 732, x3) - -inst_200: -// rs1_val==6 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 736, x3) - -inst_201: -// rs1_val==6 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x6, 0x5, x1, 740, x3) - -inst_202: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 744, x3) - -inst_203: -// rs1_val==6 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 748, x3) - -inst_204: -// rs1_val==6 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x6, 0x3, x1, 752, x3) - -inst_205: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 756, x3) - -inst_206: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 760, x3) - -inst_207: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 764, x3) - -inst_208: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 768, x3) - -inst_209: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 772, x3) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 776, x3) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 780, x3) - -inst_212: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 784, x3) - -inst_213: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 788, x3) - -inst_214: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 792, x3) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 796, x3) - -inst_216: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 800, x3) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 804, x3) - -inst_218: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 808, x3) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 812, x3) - -inst_220: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 816, x3) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 820, x3) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 824, x3) - -inst_223: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 828, x3) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 832, x3) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 836, x3) - -inst_226: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 840, x3) - -inst_227: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 844, x3) - -inst_228: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 848, x3) - -inst_229: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 852, x3) - -inst_230: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 856, x3) - -inst_231: -// rs1_val==1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 860, x3) - -inst_232: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 864, x3) - -inst_233: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 868, x3) - -inst_234: -// rs1_val==1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 872, x3) - -inst_235: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 876, x3) - -inst_236: -// rs1_val==1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 880, x3) - -inst_237: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 884, x3) - -inst_238: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 888, x3) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 892, x3) - -inst_240: -// rs1_val==1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 896, x3) - -inst_241: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 900, x3) - -inst_242: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 904, x3) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 908, x3) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 912, x3) - -inst_245: -// rs1_val==1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 916, x3) - -inst_246: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 920, x3) - -inst_247: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 924, x3) - -inst_248: -// rs1_val==1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 928, x3) - -inst_249: -// rs1_val==4 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x4, 0xb505, x1, 932, x3) - -inst_250: -// rs1_val==4 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 936, x3) - -inst_251: -// rs1_val==4 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 940, x3) - -inst_252: -// rs1_val==4 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 944, x3) - -inst_253: -// rs1_val==4 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x4, 0x6, x1, 948, x3) - -inst_254: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 952, x3) - -inst_255: -// rs1_val==4 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 956, x3) - -inst_256: -// rs1_val==4 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x4, 0x4, x1, 960, x3) - -inst_257: -// rs1_val==4 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 964, x3) - -inst_258: -// rs1_val==4 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x4, 0x0, x1, 968, x3) - -inst_259: -// rs1_val==4 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 972, x3) - -inst_260: -// rs1_val==4 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 976, x3) - -inst_261: -// rs1_val==4 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 980, x3) - -inst_262: -// rs1_val==4 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x4, 0x2, x1, 984, x3) - -inst_263: -// rs1_val==4 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x4, 0xb504, x1, 988, x3) - -inst_264: -// rs1_val==4 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 992, x3) - -inst_265: -// rs1_val==4 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 996, x3) - -inst_266: -// rs1_val==4 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1000, x3) - -inst_267: -// rs1_val==4 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x4, 0x5, x1, 1004, x3) - -inst_268: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1008, x3) - -inst_269: -// rs1_val==4 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1012, x3) - -inst_270: -// rs1_val==4 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1016, x3) - -inst_271: -// rs1_val==46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb503, 0xb505, x1, 1020, x3) - -inst_272: -// rs1_val==46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1024, x3) - -inst_273: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1028, x3) - -inst_274: -// rs1_val==46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1032, x3) - -inst_275: -// rs1_val==46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb503, 0x6, x1, 1036, x3) - -inst_276: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1040, x3) - -inst_277: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1044, x3) - -inst_278: -// rs1_val==46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1048, x3) - -inst_279: -// rs1_val==46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1052, x3) - -inst_280: -// rs1_val==46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1056, x3) - -inst_281: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1060, x3) - -inst_282: -// rs1_val==46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1064, x3) - -inst_283: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1068, x3) - -inst_284: -// rs1_val==46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1072, x3) - -inst_285: -// rs1_val==46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb503, 0xb504, x1, 1076, x3) - -inst_286: -// rs1_val==46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1080, x3) - -inst_287: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1084, x3) - -inst_288: -// rs1_val==46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1088, x3) - -inst_289: -// rs1_val==46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb503, 0x5, x1, 1092, x3) - -inst_290: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1096, x3) - -inst_291: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1100, x3) - -inst_292: -// rs1_val==46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1104, x3) - -inst_293: -// rs1_val==0 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1108, x3) - -inst_294: -// rs1_val==0 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1112, x3) - -inst_295: -// rs1_val==0 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1116, x3) - -inst_296: -// rs1_val==0 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1120, x3) - -inst_297: -// rs1_val==0 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1124, x3) - -inst_298: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1128, x3) - -inst_299: -// rs1_val==0 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1132, x3) - -inst_300: -// rs1_val==0 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1136, x3) - -inst_301: -// rs1_val==0 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1140, x3) - -inst_302: -// rs1_val==0 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1144, x3) - -inst_303: -// rs1_val==0 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1148, x3) - -inst_304: -// rs1_val==0 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1152, x3) - -inst_305: -// rs1_val==0 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1156, x3) - -inst_306: -// rs1_val==0 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1160, x3) - -inst_307: -// rs1_val==0 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1164, x3) - -inst_308: -// rs1_val==0 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1168, x3) - -inst_309: -// rs1_val==0 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1172, x3) - -inst_310: -// rs1_val==0 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1176, x3) - -inst_311: -// rs1_val==0 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1180, x3) - -inst_312: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1184, x3) - -inst_313: -// rs1_val==0 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1188, x3) - -inst_314: -// rs1_val==0 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1192, x3) - -inst_315: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1196, x3) - -inst_316: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1200, x3) - -inst_317: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1204, x3) - -inst_318: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1208, x3) - -inst_319: -// rs1_val==1717986917 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1212, x3) - -inst_320: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1216, x3) - -inst_321: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1220, x3) - -inst_322: -// rs1_val==1717986917 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1224, x3) - -inst_323: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1228, x3) - -inst_324: -// rs1_val==1717986917 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1232, x3) - -inst_325: -// rs1_val==858993459 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1236, x3) - -inst_326: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1240, x3) - -inst_327: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1244, x3) - -inst_328: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1248, x3) - -inst_329: -// rs1_val==858993459 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1252, x3) - -inst_330: -// rs1_val==858993459 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1256, x3) - -inst_331: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1260, x3) - -inst_332: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1264, x3) - -inst_333: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1268, x3) - -inst_334: -// rs1_val==858993459 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1272, x3) - -inst_335: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1276, x3) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1280, x3) - -inst_337: -// rs1_val==858993459 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1284, x3) - -inst_338: -// rs1_val==5 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x5, 0xb505, x1, 1288, x3) - -inst_339: -// rs1_val==5 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1292, x3) - -inst_340: -// rs1_val==5 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1296, x3) - -inst_341: -// rs1_val==5 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1300, x3) - -inst_342: -// rs1_val==5 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x5, 0x6, x1, 1304, x3) - -inst_343: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1308, x3) - -inst_344: -// rs1_val==5 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1312, x3) - -inst_345: -// rs1_val==5 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1316, x3) - -inst_346: -// rs1_val==5 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x5, 0xb503, x1, 1320, x3) - -inst_347: -// rs1_val==5 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1324, x3) - -inst_348: -// rs1_val==5 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1328, x3) - -inst_349: -// rs1_val==5 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1332, x3) - -inst_350: -// rs1_val==5 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1336, x3) - -inst_351: -// rs1_val==5 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1340, x3) - -inst_352: -// rs1_val==5 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x5, 0xb504, x1, 1344, x3) - -inst_353: -// rs1_val==5 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1348, x3) - -inst_354: -// rs1_val==5 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1352, x3) - -inst_355: -// rs1_val==5 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1356, x3) - -inst_356: -// rs1_val==5 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1360, x3) - -inst_357: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1364, x3) - -inst_358: -// rs1_val==5 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1368, x3) - -inst_359: -// rs1_val==5 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x5, 0x3, x1, 1372, x3) - -inst_360: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1376, x3) - -inst_361: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1380, x3) - -inst_362: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1384, x3) - -inst_363: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1388, x3) - -inst_364: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1392, x3) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1400, x3) - -inst_367: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1404, x3) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1408, x3) - -inst_369: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1412, x3) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1416, x3) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1420, x3) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1424, x3) - -inst_373: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1428, x3) - -inst_374: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1432, x3) - -inst_375: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1436, x3) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1440, x3) - -inst_377: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1444, x3) - -inst_378: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1448, x3) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1452, x3) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1456, x3) - -inst_381: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1460, x3) - -inst_382: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1464, x3) - -inst_383: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1468, x3) - -inst_384: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1472, x3) - -inst_385: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1476, x3) - -inst_386: -// rs1_val==1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1480, x3) - -inst_387: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1484, x3) - -inst_388: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1488, x3) - -inst_389: -// rs1_val==1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1492, x3) - -inst_390: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1496, x3) - -inst_391: -// rs1_val==1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1500, x3) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1504, x3) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1508, x3) - -inst_394: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) - -inst_395: -// rs1_val==1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1516, x3) - -inst_396: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1520, x3) - -inst_397: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1524, x3) - -inst_398: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1528, x3) - -inst_399: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1532, x3) - -inst_400: -// rs1_val==1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1536, x3) - -inst_401: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1540, x3) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1544, x3) - -inst_403: -// rs1_val==1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1548, x3) - -inst_404: -// rs1_val==3 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x3, 0xb505, x1, 1552, x3) - -inst_405: -// rs1_val==3 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1556, x3) - -inst_406: -// rs1_val==3 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1560, x3) - -inst_407: -// rs1_val==3 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1564, x3) - -inst_408: -// rs1_val==3 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x3, 0x6, x1, 1568, x3) - -inst_409: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1572, x3) - -inst_410: -// rs1_val==3 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1576, x3) - -inst_411: -// rs1_val==3 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1580, x3) - -inst_412: -// rs1_val==3 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x3, 0xb503, x1, 1584, x3) - -inst_413: -// rs1_val==3 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1588, x3) - -inst_414: -// rs1_val==3 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1592, x3) - -inst_415: -// rs1_val==3 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1596, x3) - -inst_416: -// rs1_val==3 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1600, x3) - -inst_417: -// rs1_val==3 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) - -inst_418: -// rs1_val==3 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1608, x3) - -inst_419: -// rs1_val==3 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1612, x3) - -inst_420: -// rs1_val==3 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1616, x3) - -inst_421: -// rs1_val==3 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1620, x3) - -inst_422: -// rs1_val==3 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x3, 0x5, x1, 1624, x3) - -inst_423: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1628, x3) - -inst_424: -// rs1_val==3 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1632, x3) - -inst_425: -// rs1_val==3 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1636, x3) - -inst_426: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1640, x3) - -inst_427: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1644, x3) - -inst_428: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1648, x3) - -inst_429: -// rs1_val==1717986917 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1652, x3) - -inst_430: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1656, x3) - -inst_431: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1660, x3) - -inst_432: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1664, x3) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1668, x3) - -inst_434: -// rs1_val==1717986917 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1672, x3) - -inst_435: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1676, x3) - -inst_436: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1680, x3) - -inst_437: -// rs1_val==1717986917 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1684, x3) - -inst_438: -// rs1_val==858993458 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1688, x3) - -inst_439: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1692, x3) - -inst_440: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1696, x3) - -inst_441: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1700, x3) - -inst_442: -// rs1_val==858993458 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1704, x3) - -inst_443: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1708, x3) - -inst_444: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1712, x3) - -inst_445: -// rs1_val==858993458 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1716, x3) - -inst_446: -// rs1_val==858993458 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1720, x3) - -inst_447: -// rs1_val==858993458 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1724, x3) - -inst_448: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1728, x3) - -inst_449: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1732, x3) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1736, x3) - -inst_451: -// rs1_val==858993458 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1740, x3) - -inst_452: -// rs1_val==858993458 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1744, x3) - -inst_453: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1748, x3) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1752, x3) - -inst_455: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1756, x3) - -inst_456: -// rs1_val==858993458 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1760, x3) - -inst_457: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1764, x3) - -inst_458: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1768, x3) - -inst_459: -// rs1_val==858993458 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1772, x3) - -inst_460: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1776, x3) - -inst_461: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1780, x3) - -inst_462: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1784, x3) - -inst_463: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1788, x3) - -inst_464: -// rs1_val==1431655764 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1792, x3) - -inst_465: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1796, x3) - -inst_466: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1800, x3) - -inst_467: -// rs1_val==1431655764 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1804, x3) - -inst_468: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1808, x3) - -inst_469: -// rs1_val==1431655764 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1812, x3) - -inst_470: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1816, x3) - -inst_471: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1820, x3) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1824, x3) - -inst_473: -// rs1_val==1431655764 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1828, x3) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1832, x3) - -inst_475: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1836, x3) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1840, x3) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1844, x3) - -inst_478: -// rs1_val==1431655764 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1848, x3) - -inst_479: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1852, x3) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1856, x3) - -inst_481: -// rs1_val==1431655764 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1860, x3) - -inst_482: -// rs1_val==2 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1864, x3) - -inst_483: -// rs1_val==2 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1868, x3) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1872, x3) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1876, x3) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x2, 0x6, x1, 1880, x3) - -inst_487: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1884, x3) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1888, x3) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1892, x3) - -inst_490: -// rs1_val==2 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x2, 0xb503, x1, 1896, x3) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1900, x3) - -inst_492: -// rs1_val==2 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1904, x3) - -inst_493: -// rs1_val==2 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1908, x3) - -inst_494: -// rs1_val==2 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1912, x3) - -inst_495: -// rs1_val==2 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1916, x3) - -inst_496: -// rs1_val==2 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1920, x3) - -inst_497: -// rs1_val==2 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1924, x3) - -inst_498: -// rs1_val==2 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1928, x3) - -inst_499: -// rs1_val==2 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1932, x3) - -inst_500: -// rs1_val==2 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1936, x3) - -inst_501: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 1940, x3) - -inst_502: -// rs1_val==2 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1944, x3) - -inst_503: -// rs1_val==2 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x2, 0x3, x1, 1948, x3) - -inst_504: -// rs1_val==46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb504, 0xb505, x1, 1952, x3) - -inst_505: -// rs1_val==46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 1956, x3) - -inst_506: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 1960, x3) - -inst_507: -// rs1_val==46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 1964, x3) - -inst_508: -// rs1_val==46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0xb504, 0x6, x1, 1968, x3) - -inst_509: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 1972, x3) - -inst_510: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 1976, x3) - -inst_511: -// rs1_val==46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1980, x3) - -inst_512: -// rs1_val==46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb504, 0xb503, x1, 1984, x3) - -inst_513: -// rs1_val==46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1988, x3) - -inst_514: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 1992, x3) - -inst_515: -// rs1_val==46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 1996, x3) - -inst_516: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 2000, x3) - -inst_517: -// rs1_val==46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2004, x3) - -inst_518: -// rs1_val==46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2008, x3) - -inst_519: -// rs1_val==46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 2012, x3) - -inst_520: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 2016, x3) - -inst_521: -// rs1_val==46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 2020, x3) - -inst_522: -// rs1_val==46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb504, 0x5, x1, 2024, x3) - -inst_523: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 2028, x3) - -inst_524: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 2032, x3) - -inst_525: -// rs1_val==46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2036, x3) - -inst_526: -// rs1_val==-46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 2040, x3) - -inst_527: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 2044, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_528: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 0, x3) - -inst_529: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 4, x3) - -inst_530: -// rs1_val==-46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 8, x3) - -inst_531: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 12, x3) - -inst_532: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 16, x3) - -inst_533: -// rs1_val==-46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 20, x3) - -inst_534: -// rs1_val==-46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 24, x3) - -inst_535: -// rs1_val==-46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 28, x3) - -inst_536: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 32, x3) - -inst_537: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 36, x3) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 40, x3) - -inst_539: -// rs1_val==-46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 44, x3) - -inst_540: -// rs1_val==-46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 48, x3) - -inst_541: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 52, x3) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 56, x3) - -inst_543: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 60, x3) - -inst_544: -// rs1_val==-46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 64, x3) - -inst_545: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 68, x3) - -inst_546: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 72, x3) - -inst_547: -// rs1_val==-46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 76, x3) - -inst_548: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 80, x3) - -inst_549: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 84, x3) - -inst_550: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 88, x3) - -inst_551: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 92, x3) - -inst_552: -// rs1_val==1717986918 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 96, x3) - -inst_553: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 100, x3) - -inst_554: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 104, x3) - -inst_555: -// rs1_val==1717986918 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 108, x3) - -inst_556: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 112, x3) - -inst_557: -// rs1_val==1717986918 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 116, x3) - -inst_558: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 120, x3) - -inst_559: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 124, x3) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 128, x3) - -inst_561: -// rs1_val==1717986918 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 132, x3) - -inst_562: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 136, x3) - -inst_563: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 140, x3) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 144, x3) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 148, x3) - -inst_566: -// rs1_val==1717986918 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 152, x3) - -inst_567: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 156, x3) - -inst_568: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 160, x3) - -inst_569: -// rs1_val==1717986918 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 164, x3) - -inst_570: -// rs1_val==858993459 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 168, x3) - -inst_571: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 172, x3) - -inst_572: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 176, x3) - -inst_573: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 180, x3) - -inst_574: -// rs1_val==858993459 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 184, x3) - -inst_575: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 188, x3) - -inst_576: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 192, x3) - -inst_577: -// rs1_val==858993459 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 196, x3) - -inst_578: -// rs1_val==858993459 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 200, x3) - -inst_579: -// rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000001 -TEST_RR_OP(xor, x12, x10, x11, 0xbffffbff, 0x400, -0x40000001, x1, 204, x3) - -inst_580: -// rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x20000001 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffdfff, 0x2000, -0x20000001, x1, 208, x3) - -inst_581: -// rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffffe, 0x1, -0x10000001, x1, 212, x3) - -inst_582: -// rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x40001 -TEST_RR_OP(xor, x12, x10, x11, 0x50000, -0x10001, -0x40001, x1, 216, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 55*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xori-01.S deleted file mode 100644 index 00d85cb19..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xori-01.S +++ /dev/null @@ -1,2880 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xori instruction of the RISC-V E extension for the xori covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x13, imm_val == (-2**(12-1)), rs1_val != imm_val, rs1_val < 0 and imm_val < 0, imm_val == -2048, rs1_val == -131073 -// opcode: xori ; op1:x12; dest:x13; op1val:-0x20001; immval:-0x800 -TEST_IMM_OP( xori, x13, x12, 0x207ff, -0x20001, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val < 0, rs1_val == (2**(xlen-1)-1), imm_val == -1366 -// opcode: xori ; op1:x10; dest:x10; op1val:0x7fffffff; immval:-0x556 -TEST_IMM_OP( xori, x10, x10, 0x80000555, 0x7fffffff, -0x556, x1, 4, x2) - -inst_2: -// rs1==x8, rd==x4, rs1_val == -1073741825, imm_val == -257 -// opcode: xori ; op1:x8; dest:x4; op1val:-0x40000001; immval:-0x101 -TEST_IMM_OP( xori, x4, x8, 0x40000100, -0x40000001, -0x101, x1, 8, x2) - -inst_3: -// rs1==x6, rd==x7, rs1_val == -536870913, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: xori ; op1:x6; dest:x7; op1val:-0x20000001; immval:0x2 -TEST_IMM_OP( xori, x7, x6, 0xdffffffd, -0x20000001, 0x2, x1, 12, x2) - -inst_4: -// rs1==x9, rd==x14, rs1_val == -268435457, -// opcode: xori ; op1:x9; dest:x14; op1val:-0x10000001; immval:0x6 -TEST_IMM_OP( xori, x14, x9, 0xeffffff9, -0x10000001, 0x6, x1, 16, x2) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, -// opcode: xori ; op1:x7; dest:x3; op1val:-0x8000001; immval:-0x2c -TEST_IMM_OP( xori, x3, x7, 0x800002b, -0x8000001, -0x2c, x1, 20, x2) - -inst_6: -// rs1==x11, rd==x15, rs1_val == -67108865, imm_val == 16 -// opcode: xori ; op1:x11; dest:x15; op1val:-0x4000001; immval:0x10 -TEST_IMM_OP( xori, x15, x11, 0xfbffffef, -0x4000001, 0x10, x1, 24, x2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_7: -// rs1==x13, rd==x1, rs1_val == -33554433, -// opcode: xori ; op1:x13; dest:x1; op1val:-0x2000001; immval:0x333 -TEST_IMM_OP( xori, x1, x13, 0xfdfffccc, -0x2000001, 0x333, x6, 0, x7) - -inst_8: -// rs1==x15, rd==x11, rs1_val == -16777217, -// opcode: xori ; op1:x15; dest:x11; op1val:-0x1000001; immval:0x5 -TEST_IMM_OP( xori, x11, x15, 0xfefffffa, -0x1000001, 0x5, x6, 4, x7) - -inst_9: -// rs1==x4, rd==x8, rs1_val == -8388609, imm_val == -65 -// opcode: xori ; op1:x4; dest:x8; op1val:-0x800001; immval:-0x41 -TEST_IMM_OP( xori, x8, x4, 0x800040, -0x800001, -0x41, x6, 8, x7) - -inst_10: -// rs1==x14, rd==x9, rs1_val == -4194305, -// opcode: xori ; op1:x14; dest:x9; op1val:-0x400001; immval:0x7 -TEST_IMM_OP( xori, x9, x14, 0xffbffff8, -0x400001, 0x7, x6, 12, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: xori ; op1:x1; dest:x2; op1val:-0x200001; immval:-0xa -TEST_IMM_OP( xori, x2, x1, 0x200009, -0x200001, -0xa, x6, 16, x7) - -inst_12: -// rs1==x3, rd==x5, rs1_val == -1048577, -// opcode: xori ; op1:x3; dest:x5; op1val:-0x100001; immval:0x665 -TEST_IMM_OP( xori, x5, x3, 0xffeff99a, -0x100001, 0x665, x6, 20, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, -// opcode: xori ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( xori, x12, x5, 0x80003, -0x80001, -0x4, x6, 24, x4) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_14: -// rs1==x2, rd==x6, rs1_val == -262145, -// opcode: xori ; op1:x2; dest:x6; op1val:-0x40001; immval:0x2e -TEST_IMM_OP( xori, x6, x2, 0xfffbffd1, -0x40001, 0x2e, x3, 0, x4) - -inst_15: -// rs1==x0, rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x0; dest:x1; op1val:0x0; immval:0x200 -TEST_IMM_OP( xori, x1, x0, 0x200, 0x0, 0x200, x3, 4, x4) - -inst_16: -// rd==x0, rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x12; dest:x0; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x0, x12, 0, -0x8001, 0x0, x3, 8, x4) - -inst_17: -// rs1_val == -16385, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x1 -TEST_IMM_OP( xori, x11, x10, 0x4000, -0x4001, -0x1, x3, 12, x4) - -inst_18: -// rs1_val == -8193, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x4 -TEST_IMM_OP( xori, x11, x10, 0x2003, -0x2001, -0x4, x3, 16, x4) - -inst_19: -// rs1_val == -4097, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffffefd1, -0x1001, 0x2e, x3, 20, x4) - -inst_20: -// rs1_val == -2049, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x801; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffff2ab, -0x801, 0x554, x3, 24, x4) - -inst_21: -// rs1_val == -1025, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xfffffbf9, -0x401, 0x6, x3, 28, x4) - -inst_22: -// rs1_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( xori, x11, x10, 0x205, -0x201, -0x6, x3, 32, x4) - -inst_23: -// rs1_val == -257, rs1_val == imm_val -// opcode: xori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x101 -TEST_IMM_OP( xori, x11, x10, 0x0, -0x101, -0x101, x3, 36, x4) - -inst_24: -// rs1_val == -129, imm_val == -1025 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x401 -TEST_IMM_OP( xori, x11, x10, 0x480, -0x81, -0x401, x3, 40, x4) - -inst_25: -// rs1_val == -65, imm_val == 1 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x41; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0xffffffbe, -0x41, 0x1, x3, 44, x4) - -inst_26: -// rs1_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x21; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffffffdc, -0x21, 0x3, x3, 48, x4) - -inst_27: -// rs1_val == -17, imm_val == -3 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0x12, -0x11, -0x3, x3, 52, x4) - -inst_28: -// rs1_val == -9, imm_val == 1365 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x9; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa2, -0x9, 0x555, x3, 56, x4) - -inst_29: -// rs1_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, -0x5, 0x554, x3, 60, x4) - -inst_30: -// rs1_val == -3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, -0x3, 0x556, x3, 64, x4) - -inst_31: -// rs1_val == -2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, -0x2, 0x2c, x3, 68, x4) - -inst_32: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val > 0 and imm_val > 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x66666199, 0x66666666, 0x7ff, x3, 72, x4) - -inst_33: -// imm_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0x1200, -0x1001, -0x201, x3, 76, x4) - -inst_34: -// imm_val == -129, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x20001; immval:-0x81 -TEST_IMM_OP( xori, x11, x10, 0x20080, -0x20001, -0x81, x3, 80, x4) - -inst_35: -// imm_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x21 -TEST_IMM_OP( xori, x11, x10, 0x100020, -0x100001, -0x21, x3, 84, x4) - -inst_36: -// imm_val == -17, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0x11, -0x2, -0x11, x3, 88, x4) - -inst_37: -// imm_val == -9, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x9 -TEST_IMM_OP( xori, x11, x10, 0x100008, -0x100001, -0x9, x3, 92, x4) - -inst_38: -// imm_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x5 -TEST_IMM_OP( xori, x11, x10, 0x2004, -0x2001, -0x5, x3, 96, x4) - -inst_39: -// imm_val == -2, rs1_val == 262144 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffbfffe, 0x40000, -0x2, x3, 100, x4) - -inst_40: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xori ; op1:x10; dest:x11; op1val:-0x80000000; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0x7ffffff8, -0x80000000, -0x8, x3, 104, x4) - -inst_41: -// rs1_val == 1073741824, imm_val == 64 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000000; immval:0x40 -TEST_IMM_OP( xori, x11, x10, 0x40000040, 0x40000000, 0x40, x3, 108, x4) - -inst_42: -// rs1_val == 536870912, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0x20000001, 0x20000000, 0x1, x3, 112, x4) - -inst_43: -// rs1_val == 268435456, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000000; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x10000006, 0x10000000, 0x6, x3, 116, x4) - -inst_44: -// rs1_val == 134217728, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000000; immval:0x3ff -TEST_IMM_OP( xori, x11, x10, 0x80003ff, 0x8000000, 0x3ff, x3, 120, x4) - -inst_45: -// rs1_val == 67108864, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x4000005, 0x4000000, 0x5, x3, 124, x4) - -inst_46: -// rs1_val == 33554432, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xfdffffd3, 0x2000000, -0x2d, x3, 128, x4) - -inst_47: -// rs1_val == 16777216, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1000003, 0x1000000, 0x3, x3, 132, x4) - -inst_48: -// rs1_val == 8388608, -// opcode: xori ; op1:x10; dest:x11; op1val:0x800000; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x80002e, 0x800000, 0x2e, x3, 136, x4) - -inst_49: -// rs1_val == 4194304, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400000; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0xffbffffd, 0x400000, -0x3, x3, 140, x4) - -inst_50: -// rs1_val == 2097152, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200000; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffdfffd4, 0x200000, -0x2c, x3, 144, x4) - -inst_51: -// rs1_val == 1048576, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100000; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x100554, 0x100000, 0x554, x3, 148, x4) - -inst_52: -// rs1_val == 524288, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0xfff7fdff, 0x80000, -0x201, x3, 152, x4) - -inst_53: -// rs1_val == 131072, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffdfffe, 0x20000, -0x2, x3, 156, x4) - -inst_54: -// rs1_val == 65536, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x10003, 0x10000, 0x3, x3, 160, x4) - -inst_55: -// rs1_val == 32768, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x87ff, 0x8000, 0x7ff, x3, 164, x4) - -inst_56: -// rs1_val == 16384, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x41 -TEST_IMM_OP( xori, x11, x10, 0xffffbfbf, 0x4000, -0x41, x3, 168, x4) - -inst_57: -// rs1_val == 8192, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7 -TEST_IMM_OP( xori, x11, x10, 0x2007, 0x2000, 0x7, x3, 172, x4) - -inst_58: -// rs1_val == 4096, imm_val == 4 -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1004, 0x1000, 0x4, x3, 176, x4) - -inst_59: -// rs1_val == 2048, imm_val == 1024 -// opcode: xori ; op1:x10; dest:x11; op1val:0x800; immval:0x400 -TEST_IMM_OP( xori, x11, x10, 0xc00, 0x800, 0x400, x3, 180, x4) - -inst_60: -// rs1_val == 1024, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x267, 0x400, 0x667, x3, 184, x4) - -inst_61: -// rs1_val == 512, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x204, 0x200, 0x4, x3, 188, x4) - -inst_62: -// rs1_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffef8, 0x100, -0x8, x3, 192, x4) - -inst_63: -// rs1_val == 128, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xffffff7e, 0x80, -0x2, x3, 196, x4) - -inst_64: -// rs1_val == 64, -// opcode: xori ; op1:x10; dest:x11; op1val:0x40; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x40, 0x40, 0x0, x3, 200, x4) - -inst_65: -// rs1_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x20, 0x20, 0x0, x3, 204, x4) - -inst_66: -// rs1_val == 16, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x15, 0x10, 0x5, x3, 208, x4) - -inst_67: -// rs1_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb, 0x8, 0x3, x3, 212, x4) - -inst_68: -// rs1_val == 4, rs1_val==4 and imm_val==-45 -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 216, x4) - -inst_69: -// rs1_val == 2, rs1_val==2 and imm_val==1364 -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x2, 0x554, x3, 220, x4) - -inst_70: -// rs1_val == 1, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0xffffffee, 0x1, -0x11, x3, 224, x4) - -inst_71: -// imm_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3fffffff; immval:0x100 -TEST_IMM_OP( xori, x11, x10, 0x3ffffeff, 0x3fffffff, 0x100, x3, 228, x4) - -inst_72: -// imm_val == 128, rs1_val == -1431655766 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x80 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa2a, -0x55555556, 0x80, x3, 232, x4) - -inst_73: -// imm_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:0x20 -TEST_IMM_OP( xori, x11, x10, 0x21, 0x1, 0x20, x3, 236, x4) - -inst_74: -// imm_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x7; immval:0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffff1, -0x7, 0x8, x3, 240, x4) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52b, 0xb505, 0x2e, x3, 244, x4) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, 0xb505, -0x2c, x3, 248, x4) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb505, 0x667, x3, 252, x4) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb505, 0x334, x3, 256, x4) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb505, 0x6, x3, 260, x4) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb505, -0x555, x3, 264, x4) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb053, 0xb505, 0x556, x3, 268, x4) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb505, 0x4, x3, 272, x4) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb505, 0x2c, x3, 276, x4) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb505, 0x0, x3, 280, x4) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb360, 0xb505, 0x665, x3, 284, x4) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb505, 0x332, x3, 288, x4) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb505, 0x554, x3, 292, x4) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb505, 0x2, x3, 296, x4) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb505, 0x2d, x3, 300, x4) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad6, 0xb505, -0x2d, x3, 304, x4) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb505, 0x666, x3, 308, x4) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb505, 0x333, x3, 312, x4) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb505, 0x5, x3, 316, x4) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb505, -0x556, x3, 320, x4) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb505, 0x555, x3, 324, x4) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb505, 0x3, x3, 328, x4) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad3, -0xb503, 0x2e, x3, 332, x4) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, -0xb503, -0x2c, x3, 336, x4) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb503, 0x667, x3, 340, x4) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c9, -0xb503, 0x334, x3, 344, x4) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afb, -0xb503, 0x6, x3, 348, x4) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb503, -0x555, x3, 352, x4) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fab, -0xb503, 0x556, x3, 356, x4) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb503, 0x4, x3, 360, x4) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb503, 0x2c, x3, 364, x4) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 368, x4) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c98, -0xb503, 0x665, x3, 372, x4) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb503, 0x332, x3, 376, x4) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb503, 0x554, x3, 380, x4) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 384, x4) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb503, 0x2d, x3, 388, x4) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, -0xb503, -0x2d, x3, 392, x4) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb503, 0x666, x3, 396, x4) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb503, 0x333, x3, 400, x4) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb503, 0x5, x3, 404, x4) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb503, -0x556, x3, 408, x4) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb503, 0x555, x3, 412, x4) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb503, 0x3, x3, 416, x4) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666667, 0x2e, x3, 420, x4) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b3, 0x66666667, -0x2c, x3, 424, x4) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666667, 0x667, x3, 428, x4) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666553, 0x66666667, 0x334, x3, 432, x4) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666667, 0x6, x3, 436, x4) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666667, -0x555, x3, 440, x4) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666667, 0x556, x3, 444, x4) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666667, 0x4, x3, 448, x4) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666667, 0x2c, x3, 452, x4) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 456, x4) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666667, 0x665, x3, 460, x4) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666667, 0x332, x3, 464, x4) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666667, 0x554, x3, 468, x4) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666667, 0x2, x3, 472, x4) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666667, 0x2d, x3, 476, x4) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b4, 0x66666667, -0x2d, x3, 480, x4) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666667, 0x666, x3, 484, x4) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666667, 0x333, x3, 488, x4) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666667, 0x5, x3, 492, x4) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666667, -0x556, x3, 496, x4) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666667, 0x555, x3, 500, x4) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666667, 0x3, x3, 504, x4) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331a, 0x33333334, 0x2e, x3, 508, x4) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333334, -0x2c, x3, 512, x4) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333553, 0x33333334, 0x667, x3, 516, x4) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333334, 0x334, x3, 520, x4) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333334, 0x6, x3, 524, x4) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc99f, 0x33333334, -0x555, x3, 528, x4) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333662, 0x33333334, 0x556, x3, 532, x4) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333334, 0x4, x3, 536, x4) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x33333318, 0x33333334, 0x2c, x3, 540, x4) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 544, x4) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333551, 0x33333334, 0x665, x3, 548, x4) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333334, 0x332, x3, 552, x4) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333660, 0x33333334, 0x554, x3, 556, x4) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 560, x4) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x33333319, 0x33333334, 0x2d, x3, 564, x4) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333334, -0x2d, x3, 568, x4) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333552, 0x33333334, 0x666, x3, 572, x4) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333334, 0x333, x3, 576, x4) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333334, 0x5, x3, 580, x4) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc99e, 0x33333334, -0x556, x3, 584, x4) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333661, 0x33333334, 0x555, x3, 588, x4) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 592, x4) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x28, 0x6, 0x2e, x3, 596, x4) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, 0x6, -0x2c, x3, 600, x4) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x6, 0x667, x3, 604, x4) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x6, 0x334, x3, 608, x4) - -inst_167: -// rs1_val==6 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x6, 0x6, x3, 612, x4) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaad, 0x6, -0x555, x3, 616, x4) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x6, 0x556, x3, 620, x4) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x6, 0x4, x3, 624, x4) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x6, 0x2c, x3, 628, x4) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x6, 0x0, x3, 632, x4) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x6, 0x665, x3, 636, x4) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x6, 0x332, x3, 640, x4) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x6, 0x554, x3, 644, x4) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x6, 0x2, x3, 648, x4) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x6, 0x2d, x3, 652, x4) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd5, 0x6, -0x2d, x3, 656, x4) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x6, 0x666, x3, 660, x4) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x335, 0x6, 0x333, x3, 664, x4) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x6, 0x5, x3, 668, x4) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaac, 0x6, -0x556, x3, 672, x4) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x6, 0x555, x3, 676, x4) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x6, 0x3, x3, 680, x4) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, -0x55555555, 0x2e, x3, 684, x4) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557f, -0x55555555, -0x2c, x3, 688, x4) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555555, 0x667, x3, 692, x4) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99f, -0x55555555, 0x334, x3, 696, x4) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaad, -0x55555555, 0x6, x3, 700, x4) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555555, -0x555, x3, 704, x4) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, -0x55555555, 0x556, x3, 708, x4) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 712, x4) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555555, 0x2c, x3, 716, x4) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 720, x4) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaacce, -0x55555555, 0x665, x3, 724, x4) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555555, 0x332, x3, 728, x4) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 732, x4) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555555, 0x2, x3, 736, x4) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555555, 0x2d, x3, 740, x4) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, -0x55555555, -0x2d, x3, 744, x4) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555555, 0x666, x3, 748, x4) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555555, 0x333, x3, 752, x4) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555555, 0x5, x3, 756, x4) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555555, -0x556, x3, 760, x4) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555555, 0x555, x3, 764, x4) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555555, 0x3, x3, 768, x4) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555556, 0x2e, x3, 772, x4) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa82, 0x55555556, -0x2c, x3, 776, x4) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555556, 0x667, x3, 780, x4) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555662, 0x55555556, 0x334, x3, 784, x4) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555556, 0x6, x3, 788, x4) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, 0x55555556, -0x555, x3, 792, x4) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555556, 0x556, x3, 796, x4) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555556, 0x4, x3, 800, x4) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555556, 0x2c, x3, 804, x4) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 808, x4) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555556, 0x665, x3, 812, x4) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555664, 0x55555556, 0x332, x3, 816, x4) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555556, 0x554, x3, 820, x4) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555556, 0x2, x3, 824, x4) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555556, 0x2d, x3, 828, x4) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, 0x55555556, -0x2d, x3, 832, x4) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555556, 0x666, x3, 836, x4) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555665, 0x55555556, 0x333, x3, 840, x4) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555556, 0x5, x3, 844, x4) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, 0x55555556, -0x556, x3, 848, x4) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555556, 0x555, x3, 852, x4) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555556, 0x3, x3, 856, x4) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x4, 0x2e, x3, 860, x4) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x4, -0x2c, x3, 864, x4) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x4, 0x667, x3, 868, x4) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x4, 0x334, x3, 872, x4) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x4, 0x6, x3, 876, x4) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 880, x4) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x4, 0x556, x3, 884, x4) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x4, 0x4, x3, 888, x4) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x28, 0x4, 0x2c, x3, 892, x4) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x4, 0x0, x3, 896, x4) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x4, 0x665, x3, 900, x4) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x4, 0x332, x3, 904, x4) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x4, 0x554, x3, 908, x4) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x4, 0x2, x3, 912, x4) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x29, 0x4, 0x2d, x3, 916, x4) - -inst_244: -// rs1_val==4 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x4, 0x666, x3, 920, x4) - -inst_245: -// rs1_val==4 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x4, 0x333, x3, 924, x4) - -inst_246: -// rs1_val==4 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x4, 0x5, x3, 928, x4) - -inst_247: -// rs1_val==4 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 932, x4) - -inst_248: -// rs1_val==4 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x4, 0x555, x3, 936, x4) - -inst_249: -// rs1_val==4 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x4, 0x3, x3, 940, x4) - -inst_250: -// rs1_val==46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52d, 0xb503, 0x2e, x3, 944, x4) - -inst_251: -// rs1_val==46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb503, -0x2c, x3, 948, x4) - -inst_252: -// rs1_val==46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb364, 0xb503, 0x667, x3, 952, x4) - -inst_253: -// rs1_val==46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb503, 0x334, x3, 956, x4) - -inst_254: -// rs1_val==46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb503, 0x6, x3, 960, x4) - -inst_255: -// rs1_val==46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, 0xb503, -0x555, x3, 964, x4) - -inst_256: -// rs1_val==46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb055, 0xb503, 0x556, x3, 968, x4) - -inst_257: -// rs1_val==46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb503, 0x4, x3, 972, x4) - -inst_258: -// rs1_val==46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 976, x4) - -inst_259: -// rs1_val==46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb503, 0x0, x3, 980, x4) - -inst_260: -// rs1_val==46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb366, 0xb503, 0x665, x3, 984, x4) - -inst_261: -// rs1_val==46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb503, 0x332, x3, 988, x4) - -inst_262: -// rs1_val==46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb057, 0xb503, 0x554, x3, 992, x4) - -inst_263: -// rs1_val==46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb503, 0x2, x3, 996, x4) - -inst_264: -// rs1_val==46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, 0xb503, 0x2d, x3, 1000, x4) - -inst_265: -// rs1_val==46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb503, -0x2d, x3, 1004, x4) - -inst_266: -// rs1_val==46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb365, 0xb503, 0x666, x3, 1008, x4) - -inst_267: -// rs1_val==46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb503, 0x333, x3, 1012, x4) - -inst_268: -// rs1_val==46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb503, 0x5, x3, 1016, x4) - -inst_269: -// rs1_val==46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, 0xb503, -0x556, x3, 1020, x4) - -inst_270: -// rs1_val==46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, 0xb503, 0x555, x3, 1024, x4) - -inst_271: -// rs1_val==46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb503, 0x3, x3, 1028, x4) - -inst_272: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1032, x4) - -inst_273: -// rs1_val==0 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1036, x4) - -inst_274: -// rs1_val==0 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x0, 0x667, x3, 1040, x4) - -inst_275: -// rs1_val==0 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x0, 0x334, x3, 1044, x4) - -inst_276: -// rs1_val==0 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x0, 0x6, x3, 1048, x4) - -inst_277: -// rs1_val==0 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1052, x4) - -inst_278: -// rs1_val==0 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x0, 0x556, x3, 1056, x4) - -inst_279: -// rs1_val==0 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x0, 0x4, x3, 1060, x4) - -inst_280: -// rs1_val==0 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1064, x4) - -inst_281: -// rs1_val==0 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x0, 0x0, x3, 1068, x4) - -inst_282: -// rs1_val==0 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x0, 0x665, x3, 1072, x4) - -inst_283: -// rs1_val==0 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x0, 0x332, x3, 1076, x4) - -inst_284: -// rs1_val==0 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x0, 0x554, x3, 1080, x4) - -inst_285: -// rs1_val==0 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x0, 0x2, x3, 1084, x4) - -inst_286: -// rs1_val==0 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1088, x4) - -inst_287: -// rs1_val==0 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1092, x4) - -inst_288: -// rs1_val==0 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x0, 0x666, x3, 1096, x4) - -inst_289: -// rs1_val==0 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x333, 0x0, 0x333, x3, 1100, x4) - -inst_290: -// rs1_val==0 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x0, 0x5, x3, 1104, x4) - -inst_291: -// rs1_val==0 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1108, x4) - -inst_292: -// rs1_val==0 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x0, 0x555, x3, 1112, x4) - -inst_293: -// rs1_val==0 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x0, 0x3, x3, 1116, x4) - -inst_294: -// rs1_val==1717986917 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666665, 0x2e, x3, 1120, x4) - -inst_295: -// rs1_val==1717986917 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b1, 0x66666665, -0x2c, x3, 1124, x4) - -inst_296: -// rs1_val==1717986917 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666665, 0x667, x3, 1128, x4) - -inst_297: -// rs1_val==1717986917 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666551, 0x66666665, 0x334, x3, 1132, x4) - -inst_298: -// rs1_val==1717986917 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666665, 0x6, x3, 1136, x4) - -inst_299: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999cce, 0x66666665, -0x555, x3, 1140, x4) - -inst_300: -// rs1_val==1717986917 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666665, 0x556, x3, 1144, x4) - -inst_301: -// rs1_val==1717986917 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666665, 0x4, x3, 1148, x4) - -inst_302: -// rs1_val==1717986917 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666665, 0x2c, x3, 1152, x4) - -inst_303: -// rs1_val==-1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555556, -0x555, x3, 1156, x4) - -inst_304: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, -0x55555556, 0x556, x3, 1160, x4) - -inst_305: -// rs1_val==-1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1164, x4) - -inst_306: -// rs1_val==-1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555556, 0x2c, x3, 1168, x4) - -inst_307: -// rs1_val==-1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1172, x4) - -inst_308: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccf, -0x55555556, 0x665, x3, 1176, x4) - -inst_309: -// rs1_val==-1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555556, 0x332, x3, 1180, x4) - -inst_310: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1184, x4) - -inst_311: -// rs1_val==-1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555556, 0x2, x3, 1188, x4) - -inst_312: -// rs1_val==-1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555556, 0x2d, x3, 1192, x4) - -inst_313: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, -0x55555556, -0x2d, x3, 1196, x4) - -inst_314: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555556, 0x666, x3, 1200, x4) - -inst_315: -// rs1_val==-1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555556, 0x333, x3, 1204, x4) - -inst_316: -// rs1_val==-1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1208, x4) - -inst_317: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555556, -0x556, x3, 1212, x4) - -inst_318: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1216, x4) - -inst_319: -// rs1_val==-1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555556, 0x3, x3, 1220, x4) - -inst_320: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555555, 0x2e, x3, 1224, x4) - -inst_321: -// rs1_val==1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa81, 0x55555555, -0x2c, x3, 1228, x4) - -inst_322: -// rs1_val==1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555555, 0x667, x3, 1232, x4) - -inst_323: -// rs1_val==1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555661, 0x55555555, 0x334, x3, 1236, x4) - -inst_324: -// rs1_val==1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555555, 0x6, x3, 1240, x4) - -inst_325: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555555, -0x555, x3, 1244, x4) - -inst_326: -// rs1_val==1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555555, 0x556, x3, 1248, x4) - -inst_327: -// rs1_val==1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555555, 0x4, x3, 1252, x4) - -inst_328: -// rs1_val==1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555555, 0x2c, x3, 1256, x4) - -inst_329: -// rs1_val==1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1260, x4) - -inst_330: -// rs1_val==1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555555, 0x665, x3, 1264, x4) - -inst_331: -// rs1_val==1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555555, 0x332, x3, 1268, x4) - -inst_332: -// rs1_val==1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555555, 0x554, x3, 1272, x4) - -inst_333: -// rs1_val==1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1276, x4) - -inst_334: -// rs1_val==1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555555, 0x2d, x3, 1280, x4) - -inst_335: -// rs1_val==1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, 0x55555555, -0x2d, x3, 1284, x4) - -inst_336: -// rs1_val==1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555555, 0x666, x3, 1288, x4) - -inst_337: -// rs1_val==1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555555, 0x333, x3, 1292, x4) - -inst_338: -// rs1_val==1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555555, 0x5, x3, 1296, x4) - -inst_339: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555555, -0x556, x3, 1300, x4) - -inst_340: -// rs1_val==1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555555, 0x555, x3, 1304, x4) - -inst_341: -// rs1_val==1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555555, 0x3, x3, 1308, x4) - -inst_342: -// rs1_val==3 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x3, 0x2e, x3, 1312, x4) - -inst_343: -// rs1_val==3 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1316, x4) - -inst_344: -// rs1_val==3 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x3, 0x667, x3, 1320, x4) - -inst_345: -// rs1_val==3 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x3, 0x334, x3, 1324, x4) - -inst_346: -// rs1_val==3 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x3, 0x6, x3, 1328, x4) - -inst_347: -// rs1_val==3 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x3, -0x555, x3, 1332, x4) - -inst_348: -// rs1_val==3 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x3, 0x556, x3, 1336, x4) - -inst_349: -// rs1_val==3 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x3, 0x4, x3, 1340, x4) - -inst_350: -// rs1_val==3 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1344, x4) - -inst_351: -// rs1_val==3 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x3, 0x0, x3, 1348, x4) - -inst_352: -// rs1_val==3 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x3, 0x665, x3, 1352, x4) - -inst_353: -// rs1_val==3 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x3, 0x332, x3, 1356, x4) - -inst_354: -// rs1_val==3 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x3, 0x554, x3, 1360, x4) - -inst_355: -// rs1_val==3 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x3, 0x2, x3, 1364, x4) - -inst_356: -// rs1_val==3 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x3, 0x2d, x3, 1368, x4) - -inst_357: -// rs1_val==3 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x3, -0x2d, x3, 1372, x4) - -inst_358: -// rs1_val==3 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x3, 0x666, x3, 1376, x4) - -inst_359: -// rs1_val==3 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x3, 0x333, x3, 1380, x4) - -inst_360: -// rs1_val==3 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x3, 0x5, x3, 1384, x4) - -inst_361: -// rs1_val==3 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x3, -0x556, x3, 1388, x4) - -inst_362: -// rs1_val==3 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x3, 0x555, x3, 1392, x4) - -inst_363: -// rs1_val==3 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x3, 0x3, x3, 1396, x4) - -inst_364: -// rs1_val==1717986917 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1400, x4) - -inst_365: -// rs1_val==1717986917 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666665, 0x665, x3, 1404, x4) - -inst_366: -// rs1_val==1717986917 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666557, 0x66666665, 0x332, x3, 1408, x4) - -inst_367: -// rs1_val==1717986917 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666665, 0x554, x3, 1412, x4) - -inst_368: -// rs1_val==1717986917 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1416, x4) - -inst_369: -// rs1_val==1717986917 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666665, 0x2d, x3, 1420, x4) - -inst_370: -// rs1_val==1717986917 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b6, 0x66666665, -0x2d, x3, 1424, x4) - -inst_371: -// rs1_val==1717986917 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666665, 0x666, x3, 1428, x4) - -inst_372: -// rs1_val==1717986917 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666556, 0x66666665, 0x333, x3, 1432, x4) - -inst_373: -// rs1_val==1717986917 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666665, 0x5, x3, 1436, x4) - -inst_374: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccf, 0x66666665, -0x556, x3, 1440, x4) - -inst_375: -// rs1_val==1717986917 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666665, 0x555, x3, 1444, x4) - -inst_376: -// rs1_val==1717986917 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666665, 0x3, x3, 1448, x4) - -inst_377: -// rs1_val==858993458 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331c, 0x33333332, 0x2e, x3, 1452, x4) - -inst_378: -// rs1_val==858993458 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce6, 0x33333332, -0x2c, x3, 1456, x4) - -inst_379: -// rs1_val==858993458 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333332, 0x667, x3, 1460, x4) - -inst_380: -// rs1_val==858993458 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333332, 0x334, x3, 1464, x4) - -inst_381: -// rs1_val==858993458 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333332, 0x6, x3, 1468, x4) - -inst_382: -// rs1_val==858993458 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333332, -0x555, x3, 1472, x4) - -inst_383: -// rs1_val==858993458 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333664, 0x33333332, 0x556, x3, 1476, x4) - -inst_384: -// rs1_val==858993458 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1480, x4) - -inst_385: -// rs1_val==858993458 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333332, 0x2c, x3, 1484, x4) - -inst_386: -// rs1_val==858993458 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1488, x4) - -inst_387: -// rs1_val==858993458 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333557, 0x33333332, 0x665, x3, 1492, x4) - -inst_388: -// rs1_val==858993458 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333332, 0x332, x3, 1496, x4) - -inst_389: -// rs1_val==858993458 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333332, 0x554, x3, 1500, x4) - -inst_390: -// rs1_val==858993458 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333332, 0x2, x3, 1504, x4) - -inst_391: -// rs1_val==858993458 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333332, 0x2d, x3, 1508, x4) - -inst_392: -// rs1_val==858993458 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce1, 0x33333332, -0x2d, x3, 1512, x4) - -inst_393: -// rs1_val==858993458 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333332, 0x666, x3, 1516, x4) - -inst_394: -// rs1_val==858993458 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333332, 0x333, x3, 1520, x4) - -inst_395: -// rs1_val==858993458 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1524, x4) - -inst_396: -// rs1_val==858993458 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333332, -0x556, x3, 1528, x4) - -inst_397: -// rs1_val==858993458 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333332, 0x555, x3, 1532, x4) - -inst_398: -// rs1_val==858993458 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333332, 0x3, x3, 1536, x4) - -inst_399: -// rs1_val==1431655764 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555554, 0x2e, x3, 1540, x4) - -inst_400: -// rs1_val==1431655764 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa80, 0x55555554, -0x2c, x3, 1544, x4) - -inst_401: -// rs1_val==1431655764 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555554, 0x667, x3, 1548, x4) - -inst_402: -// rs1_val==1431655764 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555660, 0x55555554, 0x334, x3, 1552, x4) - -inst_403: -// rs1_val==1431655764 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555554, 0x6, x3, 1556, x4) - -inst_404: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555554, -0x555, x3, 1560, x4) - -inst_405: -// rs1_val==1431655764 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555554, 0x556, x3, 1564, x4) - -inst_406: -// rs1_val==1431655764 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555554, 0x4, x3, 1568, x4) - -inst_407: -// rs1_val==1431655764 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555554, 0x2c, x3, 1572, x4) - -inst_408: -// rs1_val==1431655764 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1576, x4) - -inst_409: -// rs1_val==1431655764 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555554, 0x665, x3, 1580, x4) - -inst_410: -// rs1_val==1431655764 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555554, 0x332, x3, 1584, x4) - -inst_411: -// rs1_val==1431655764 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555554, 0x554, x3, 1588, x4) - -inst_412: -// rs1_val==1431655764 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1592, x4) - -inst_413: -// rs1_val==1431655764 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555554, 0x2d, x3, 1596, x4) - -inst_414: -// rs1_val==1431655764 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, 0x55555554, -0x2d, x3, 1600, x4) - -inst_415: -// rs1_val==1431655764 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555554, 0x666, x3, 1604, x4) - -inst_416: -// rs1_val==1431655764 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555554, 0x333, x3, 1608, x4) - -inst_417: -// rs1_val==1431655764 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555554, 0x5, x3, 1612, x4) - -inst_418: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555554, -0x556, x3, 1616, x4) - -inst_419: -// rs1_val==1431655764 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555554, 0x555, x3, 1620, x4) - -inst_420: -// rs1_val==1431655764 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1624, x4) - -inst_421: -// rs1_val==2 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x2, 0x2e, x3, 1628, x4) - -inst_422: -// rs1_val==2 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1632, x4) - -inst_423: -// rs1_val==2 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x2, 0x667, x3, 1636, x4) - -inst_424: -// rs1_val==2 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x2, 0x334, x3, 1640, x4) - -inst_425: -// rs1_val==2 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x2, 0x6, x3, 1644, x4) - -inst_426: -// rs1_val==2 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x2, -0x555, x3, 1648, x4) - -inst_427: -// rs1_val==2 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x2, 0x556, x3, 1652, x4) - -inst_428: -// rs1_val==2 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x2, 0x4, x3, 1656, x4) - -inst_429: -// rs1_val==2 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1660, x4) - -inst_430: -// rs1_val==2 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x2, 0x0, x3, 1664, x4) - -inst_431: -// rs1_val==2 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x2, 0x665, x3, 1668, x4) - -inst_432: -// rs1_val==2 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x2, 0x332, x3, 1672, x4) - -inst_433: -// rs1_val==2 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x2, 0x2, x3, 1676, x4) - -inst_434: -// rs1_val==2 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1680, x4) - -inst_435: -// rs1_val==2 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x2, -0x2d, x3, 1684, x4) - -inst_436: -// rs1_val==2 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x2, 0x666, x3, 1688, x4) - -inst_437: -// rs1_val==2 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x2, 0x333, x3, 1692, x4) - -inst_438: -// rs1_val==2 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x2, 0x5, x3, 1696, x4) - -inst_439: -// rs1_val==2 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x2, -0x556, x3, 1700, x4) - -inst_440: -// rs1_val==2 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x2, 0x555, x3, 1704, x4) - -inst_441: -// rs1_val==2 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x2, 0x3, x3, 1708, x4) - -inst_442: -// rs1_val==46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52a, 0xb504, 0x2e, x3, 1712, x4) - -inst_443: -// rs1_val==46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb504, -0x2c, x3, 1716, x4) - -inst_444: -// rs1_val==46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb504, 0x667, x3, 1720, x4) - -inst_445: -// rs1_val==46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb504, 0x334, x3, 1724, x4) - -inst_446: -// rs1_val==46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb502, 0xb504, 0x6, x3, 1728, x4) - -inst_447: -// rs1_val==46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb504, -0x555, x3, 1732, x4) - -inst_448: -// rs1_val==46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb052, 0xb504, 0x556, x3, 1736, x4) - -inst_449: -// rs1_val==46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb504, 0x4, x3, 1740, x4) - -inst_450: -// rs1_val==46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb504, 0x2c, x3, 1744, x4) - -inst_451: -// rs1_val==46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1748, x4) - -inst_452: -// rs1_val==46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb361, 0xb504, 0x665, x3, 1752, x4) - -inst_453: -// rs1_val==46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb504, 0x332, x3, 1756, x4) - -inst_454: -// rs1_val==46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb504, 0x554, x3, 1760, x4) - -inst_455: -// rs1_val==46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1764, x4) - -inst_456: -// rs1_val==46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb504, 0x2d, x3, 1768, x4) - -inst_457: -// rs1_val==46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb504, -0x2d, x3, 1772, x4) - -inst_458: -// rs1_val==46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb504, 0x666, x3, 1776, x4) - -inst_459: -// rs1_val==46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb504, 0x333, x3, 1780, x4) - -inst_460: -// rs1_val==46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb504, 0x5, x3, 1784, x4) - -inst_461: -// rs1_val==46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb504, -0x556, x3, 1788, x4) - -inst_462: -// rs1_val==46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb504, 0x555, x3, 1792, x4) - -inst_463: -// rs1_val==46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1796, x4) - -inst_464: -// rs1_val==-46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad2, -0xb504, 0x2e, x3, 1800, x4) - -inst_465: -// rs1_val==-46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, -0xb504, -0x2c, x3, 1804, x4) - -inst_466: -// rs1_val==-46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb504, 0x667, x3, 1808, x4) - -inst_467: -// rs1_val==-46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c8, -0xb504, 0x334, x3, 1812, x4) - -inst_468: -// rs1_val==-46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afa, -0xb504, 0x6, x3, 1816, x4) - -inst_469: -// rs1_val==-46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb504, -0x555, x3, 1820, x4) - -inst_470: -// rs1_val==-46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faa, -0xb504, 0x556, x3, 1824, x4) - -inst_471: -// rs1_val==-46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb504, 0x4, x3, 1828, x4) - -inst_472: -// rs1_val==-46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb504, 0x2c, x3, 1832, x4) - -inst_473: -// rs1_val==-46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1836, x4) - -inst_474: -// rs1_val==-46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c99, -0xb504, 0x665, x3, 1840, x4) - -inst_475: -// rs1_val==-46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb504, 0x332, x3, 1844, x4) - -inst_476: -// rs1_val==-46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb504, 0x554, x3, 1848, x4) - -inst_477: -// rs1_val==-46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1852, x4) - -inst_478: -// rs1_val==-46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb504, 0x2d, x3, 1856, x4) - -inst_479: -// rs1_val==-46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52f, -0xb504, -0x2d, x3, 1860, x4) - -inst_480: -// rs1_val==-46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb504, 0x666, x3, 1864, x4) - -inst_481: -// rs1_val==-46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb504, 0x333, x3, 1868, x4) - -inst_482: -// rs1_val==-46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb504, 0x5, x3, 1872, x4) - -inst_483: -// rs1_val==-46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb504, -0x556, x3, 1876, x4) - -inst_484: -// rs1_val==-46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb504, 0x555, x3, 1880, x4) - -inst_485: -// rs1_val==-46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1884, x4) - -inst_486: -// rs1_val==1717986918 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666666, 0x2e, x3, 1888, x4) - -inst_487: -// rs1_val==1717986918 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b2, 0x66666666, -0x2c, x3, 1892, x4) - -inst_488: -// rs1_val==1717986918 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666666, 0x667, x3, 1896, x4) - -inst_489: -// rs1_val==1717986918 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666552, 0x66666666, 0x334, x3, 1900, x4) - -inst_490: -// rs1_val==1717986918 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666666, 0x6, x3, 1904, x4) - -inst_491: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666666, -0x555, x3, 1908, x4) - -inst_492: -// rs1_val==1717986918 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666666, 0x556, x3, 1912, x4) - -inst_493: -// rs1_val==1717986918 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666666, 0x4, x3, 1916, x4) - -inst_494: -// rs1_val==1717986918 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666666, 0x2c, x3, 1920, x4) - -inst_495: -// rs1_val==1717986918 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1924, x4) - -inst_496: -// rs1_val==1717986918 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666666, 0x665, x3, 1928, x4) - -inst_497: -// rs1_val==1717986918 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666666, 0x332, x3, 1932, x4) - -inst_498: -// rs1_val==1717986918 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666666, 0x554, x3, 1936, x4) - -inst_499: -// rs1_val==1717986918 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666666, 0x2, x3, 1940, x4) - -inst_500: -// rs1_val==1717986918 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666666, 0x2d, x3, 1944, x4) - -inst_501: -// rs1_val==1717986918 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b5, 0x66666666, -0x2d, x3, 1948, x4) - -inst_502: -// rs1_val==1717986918 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666666, 0x666, x3, 1952, x4) - -inst_503: -// rs1_val==1717986918 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666666, 0x333, x3, 1956, x4) - -inst_504: -// rs1_val==1717986918 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666666, 0x5, x3, 1960, x4) - -inst_505: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666666, -0x556, x3, 1964, x4) - -inst_506: -// rs1_val==1717986918 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666666, 0x555, x3, 1968, x4) - -inst_507: -// rs1_val==1717986918 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666666, 0x3, x3, 1972, x4) - -inst_508: -// rs1_val==858993459 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331d, 0x33333333, 0x2e, x3, 1976, x4) - -inst_509: -// rs1_val==858993459 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333333, -0x2c, x3, 1980, x4) - -inst_510: -// rs1_val==858993459 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333333, 0x667, x3, 1984, x4) - -inst_511: -// rs1_val==858993459 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333333, 0x334, x3, 1988, x4) - -inst_512: -// rs1_val==858993459 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333335, 0x33333333, 0x6, x3, 1992, x4) - -inst_513: -// rs1_val==858993459 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333333, -0x555, x3, 1996, x4) - -inst_514: -// rs1_val==858993459 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333665, 0x33333333, 0x556, x3, 2000, x4) - -inst_515: -// rs1_val==858993459 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2004, x4) - -inst_516: -// rs1_val==858993459 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333333, 0x2c, x3, 2008, x4) - -inst_517: -// rs1_val==858993459 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2012, x4) - -inst_518: -// rs1_val==858993459 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333556, 0x33333333, 0x665, x3, 2016, x4) - -inst_519: -// rs1_val==858993459 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333333, 0x332, x3, 2020, x4) - -inst_520: -// rs1_val==858993459 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333333, 0x554, x3, 2024, x4) - -inst_521: -// rs1_val==858993459 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333333, 0x2, x3, 2028, x4) - -inst_522: -// rs1_val==858993459 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333333, 0x2d, x3, 2032, x4) - -inst_523: -// rs1_val==858993459 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333333, -0x2d, x3, 2036, x4) - -inst_524: -// rs1_val==858993459 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333333, 0x666, x3, 2040, x4) - -inst_525: -// rs1_val==858993459 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333333, 0x333, x3, 2044, x4) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_526: -// rs1_val==858993459 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333333, 0x5, x3, 0, x4) - -inst_527: -// rs1_val==858993459 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333333, -0x556, x3, 4, x4) - -inst_528: -// rs1_val==858993459 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333333, 0x555, x3, 8, x4) - -inst_529: -// rs1_val==858993459 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333333, 0x3, x3, 12, x4) - -inst_530: -// rs1_val==5 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x5, 0x2e, x3, 16, x4) - -inst_531: -// rs1_val==5 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x5, -0x2c, x3, 20, x4) - -inst_532: -// rs1_val==5 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x5, 0x667, x3, 24, x4) - -inst_533: -// rs1_val==5 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x5, 0x334, x3, 28, x4) - -inst_534: -// rs1_val==5 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x5, 0x6, x3, 32, x4) - -inst_535: -// rs1_val==5 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x5, -0x555, x3, 36, x4) - -inst_536: -// rs1_val==5 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x5, 0x556, x3, 40, x4) - -inst_537: -// rs1_val==5 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x5, 0x4, x3, 44, x4) - -inst_538: -// rs1_val==5 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x29, 0x5, 0x2c, x3, 48, x4) - -inst_539: -// rs1_val==5 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x5, 0x0, x3, 52, x4) - -inst_540: -// rs1_val==5 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x5, 0x665, x3, 56, x4) - -inst_541: -// rs1_val==5 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x5, 0x332, x3, 60, x4) - -inst_542: -// rs1_val==5 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x5, 0x554, x3, 64, x4) - -inst_543: -// rs1_val==5 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x5, 0x2, x3, 68, x4) - -inst_544: -// rs1_val==5 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x28, 0x5, 0x2d, x3, 72, x4) - -inst_545: -// rs1_val==5 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x5, -0x2d, x3, 76, x4) - -inst_546: -// rs1_val==5 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x5, 0x666, x3, 80, x4) - -inst_547: -// rs1_val==5 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x5, 0x333, x3, 84, x4) - -inst_548: -// rs1_val==5 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x5, 0x5, x3, 88, x4) - -inst_549: -// rs1_val==5 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 92, x4) - -inst_550: -// rs1_val==5 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x5, 0x555, x3, 96, x4) - -inst_551: -// rs1_val==5 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x5, 0x3, x3, 100, x4) - -inst_552: -// rs1_val==-1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa84, -0x55555556, 0x2e, x3, 104, x4) - -inst_553: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557e, -0x55555556, -0x2c, x3, 108, x4) - -inst_554: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555556, 0x667, x3, 112, x4) - -inst_555: -// rs1_val==-1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99e, -0x55555556, 0x334, x3, 116, x4) - -inst_556: -// rs1_val==-1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaac, -0x55555556, 0x6, x3, 120, x4) - -inst_557: -// rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x200 -TEST_IMM_OP( xori, x11, x10, 0xfffefdff, -0x10001, 0x200, x3, 124, x4) - -inst_558: -// rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff7fff, -0x8001, 0x0, x3, 128, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END From 1c049f1f67b6c669f8086ec2795cae88847a7943 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 23:36:41 +0000 Subject: [PATCH 029/100] renamed configs --- pipelined/config/rv32etim/BTBPredictor.txt | 1024 ----------------- pipelined/config/rv32etim/twoBitPredictor.txt | 1024 ----------------- pipelined/config/rv32etim/wally-config.vh | 129 --- pipelined/config/rv32tim/BTBPredictor.txt | 1024 ----------------- pipelined/config/rv32tim/twoBitPredictor.txt | 1024 ----------------- pipelined/config/rv32tim/wally-config.vh | 128 --- pipelined/regression/regression-wally | 10 +- synthDC/scripts/synth.tcl | 4 +- 8 files changed, 7 insertions(+), 4360 deletions(-) delete mode 100644 pipelined/config/rv32etim/BTBPredictor.txt delete mode 100644 pipelined/config/rv32etim/twoBitPredictor.txt delete mode 100644 pipelined/config/rv32etim/wally-config.vh delete mode 100644 pipelined/config/rv32tim/BTBPredictor.txt delete mode 100644 pipelined/config/rv32tim/twoBitPredictor.txt delete mode 100644 pipelined/config/rv32tim/wally-config.vh diff --git a/pipelined/config/rv32etim/BTBPredictor.txt b/pipelined/config/rv32etim/BTBPredictor.txt deleted file mode 100644 index fd3eedffb..000000000 --- a/pipelined/config/rv32etim/BTBPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 diff --git a/pipelined/config/rv32etim/twoBitPredictor.txt b/pipelined/config/rv32etim/twoBitPredictor.txt deleted file mode 100644 index ff57bd473..000000000 --- a/pipelined/config/rv32etim/twoBitPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 diff --git a/pipelined/config/rv32etim/wally-config.vh b/pipelined/config/rv32etim/wally-config.vh deleted file mode 100644 index bbeccbe85..000000000 --- a/pipelined/config/rv32etim/wally-config.vh +++ /dev/null @@ -1,129 +0,0 @@ -////////////////////////////////////////// -// wally-config.vh -// -// Written: David_Harris@hmc.edu 4 January 2021 -// Modified: -// -// Purpose: Specify which features are configured -// Macros to determine which modes are supported based on MISA -// -// A component of the Wally configurable RISC-V project. -// -// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University -// -// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation -// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, -// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software -// is furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES -// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS -// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT -// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. -/////////////////////////////////////////// - -// include shared configuration -`include "wally-shared.vh" - -`define FPGA 0 -`define QEMU 0 -`define DESIGN_COMPILER 0 - -// RV32 or RV64: XLEN = 32 or 64 -`define XLEN 32 - -// IEEE 754 compliance -`define IEEE754 0 - -// E -`define MISA (32'h00000010) -`define ZICSR_SUPPORTED 0 -`define ZIFENCEI_SUPPORTED 0 -`define COUNTERS 0 -`define ZICOUNTERS_SUPPORTED 0 - -// Microarchitectural Features -`define UARCH_PIPELINED 1 -`define UARCH_SUPERSCALR 0 -`define UARCH_SINGLECYCLE 0 -`define DMEM `MEM_BUS -`define IMEM `MEM_BUS -`define VIRTMEM_SUPPORTED 0 -`define VECTORED_INTERRUPTS_SUPPORTED 0 - -// TLB configuration. Entries should be a power of 2 -`define ITLB_ENTRIES 0 -`define DTLB_ENTRIES 0 - -// Cache configuration. Sizes should be a power of two -// typical configuration 4 ways, 4096 bytes per way, 256 bit or more lines -`define DCACHE_NUMWAYS 4 -`define DCACHE_WAYSIZEINBYTES 4096 -`define DCACHE_LINELENINBITS 256 -`define ICACHE_NUMWAYS 4 -`define ICACHE_WAYSIZEINBYTES 4096 -`define ICACHE_LINELENINBITS 256 - -// Integer Divider Configuration -// DIV_BITSPERCYCLE must be 1, 2, or 4 -`define DIV_BITSPERCYCLE 1 - -// Legal number of PMP entries are 0, 16, or 64 -`define PMP_ENTRIES 0 - -// Address space -`define RESET_VECTOR 32'h80000000 - -// Peripheral Addresses -// Peripheral memory space extends from BASE to BASE+RANGE -// Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits -`define BOOTROM_SUPPORTED 1'b1 -`define BOOTROM_BASE 34'h00001000 -`define BOOTROM_RANGE 34'h000000FF -`define RAM_SUPPORTED 1'b1 -`define RAM_BASE 34'h80000000 -`define RAM_RANGE 34'h000003FF -`define EXT_MEM_SUPPORTED 1'b0 -`define EXT_MEM_BASE 34'h80000000 -`define EXT_MEM_RANGE 34'h07FFFFFF -`define CLINT_SUPPORTED 1'b0 -`define CLINT_BASE 34'h02000000 -`define CLINT_RANGE 34'h0000FFFF -`define GPIO_SUPPORTED 1'b0 -`define GPIO_BASE 34'h10060000 -`define GPIO_RANGE 34'h000000FF -`define UART_SUPPORTED 1'b0 -`define UART_BASE 34'h10000000 -`define UART_RANGE 34'h00000007 -`define PLIC_SUPPORTED 1'b0 -`define PLIC_BASE 34'h0C000000 -`define PLIC_RANGE 34'h03FFFFFF -`define SDC_SUPPORTED 1'b0 -`define SDC_BASE 34'h00012100 -`define SDC_RANGE 34'h0000001F - -// Bus Interface width -`define AHBW 32 - -// Test modes - -// Tie GPIO outputs back to inputs -`define GPIO_LOOPBACK_TEST 1 - -// Hardware configuration -`define UART_PRESCALE 1 - -// Interrupt configuration -`define PLIC_NUM_SRC 4 -// comment out the following if >=32 sources -`define PLIC_NUM_SRC_LT_32 -`define PLIC_GPIO_ID 3 -`define PLIC_UART_ID 4 - -`define TWO_BIT_PRELOAD "../config/rv32ic/twoBitPredictor.txt" -`define BTB_PRELOAD "../config/rv32ic/BTBPredictor.txt" -`define BPRED_ENABLED 0 -`define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE -`define TESTSBP 0 diff --git a/pipelined/config/rv32tim/BTBPredictor.txt b/pipelined/config/rv32tim/BTBPredictor.txt deleted file mode 100644 index fd3eedffb..000000000 --- a/pipelined/config/rv32tim/BTBPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 diff --git a/pipelined/config/rv32tim/twoBitPredictor.txt b/pipelined/config/rv32tim/twoBitPredictor.txt deleted file mode 100644 index ff57bd473..000000000 --- a/pipelined/config/rv32tim/twoBitPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 diff --git a/pipelined/config/rv32tim/wally-config.vh b/pipelined/config/rv32tim/wally-config.vh deleted file mode 100644 index 0fb1dafa2..000000000 --- a/pipelined/config/rv32tim/wally-config.vh +++ /dev/null @@ -1,128 +0,0 @@ -////////////////////////////////////////// -// wally-config.vh -// -// Written: David_Harris@hmc.edu 4 January 2021 -// Modified: -// -// Purpose: Specify which features are configured -// Macros to determine which modes are supported based on MISA -// -// A component of the Wally configurable RISC-V project. -// -// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University -// -// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation -// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, -// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software -// is furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES -// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS -// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT -// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. -/////////////////////////////////////////// - -// include shared configuration -`include "wally-shared.vh" - -`define FPGA 0 -`define QEMU 0 -`define DESIGN_COMPILER 0 - -// RV32 or RV64: XLEN = 32 or 64 -`define XLEN 32 - -// IEEE 754 compliance -`define IEEE754 0 - -`define MISA (32'h00000104) -`define ZICSR_SUPPORTED 1 -`define ZIFENCEI_SUPPORTED 0 -`define COUNTERS 32 -`define ZICOUNTERS_SUPPORTED 0 - -// Microarchitectural Features -`define UARCH_PIPELINED 1 -`define UARCH_SUPERSCALR 0 -`define UARCH_SINGLECYCLE 0 -`define DMEM `MEM_TIM -`define IMEM `MEM_TIM -`define VIRTMEM_SUPPORTED 0 -`define VECTORED_INTERRUPTS_SUPPORTED 1 - -// TLB configuration. Entries should be a power of 2 -`define ITLB_ENTRIES 0 -`define DTLB_ENTRIES 0 - -// Cache configuration. Sizes should be a power of two -// typical configuration 4 ways, 4096 bytes per way, 256 bit or more lines -`define DCACHE_NUMWAYS 4 -`define DCACHE_WAYSIZEINBYTES 4096 -`define DCACHE_LINELENINBITS 256 -`define ICACHE_NUMWAYS 4 -`define ICACHE_WAYSIZEINBYTES 4096 -`define ICACHE_LINELENINBITS 256 - -// Integer Divider Configuration -// DIV_BITSPERCYCLE must be 1, 2, or 4 -`define DIV_BITSPERCYCLE 4 - -// Legal number of PMP entries are 0, 16, or 64 -`define PMP_ENTRIES 0 - -// Address space -`define RESET_VECTOR 32'h80000000 - -// Peripheral Addresses -// Peripheral memory space extends from BASE to BASE+RANGE -// Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits -`define BOOTROM_SUPPORTED 1'b1 -`define BOOTROM_BASE 34'h00001000 -`define BOOTROM_RANGE 34'h00000FFF -`define RAM_SUPPORTED 1'b1 -`define RAM_BASE 34'h80000000 -`define RAM_RANGE 34'h07FFFFFF -`define EXT_MEM_SUPPORTED 1'b0 -`define EXT_MEM_BASE 34'h80000000 -`define EXT_MEM_RANGE 34'h07FFFFFF -`define CLINT_SUPPORTED 1'b1 -`define CLINT_BASE 34'h02000000 -`define CLINT_RANGE 34'h0000FFFF -`define GPIO_SUPPORTED 1'b1 -`define GPIO_BASE 34'h10060000 -`define GPIO_RANGE 34'h000000FF -`define UART_SUPPORTED 1'b1 -`define UART_BASE 34'h10000000 -`define UART_RANGE 34'h00000007 -`define PLIC_SUPPORTED 1'b1 -`define PLIC_BASE 34'h0C000000 -`define PLIC_RANGE 34'h03FFFFFF -`define SDC_SUPPORTED 1'b0 -`define SDC_BASE 34'h00012100 -`define SDC_RANGE 34'h0000001F - -// Bus Interface width -`define AHBW 32 - -// Test modes - -// Tie GPIO outputs back to inputs -`define GPIO_LOOPBACK_TEST 1 - -// Hardware configuration -`define UART_PRESCALE 1 - -// Interrupt configuration -`define PLIC_NUM_SRC 4 -// comment out the following if >=32 sources -`define PLIC_NUM_SRC_LT_32 -`define PLIC_GPIO_ID 3 -`define PLIC_UART_ID 4 - -`define TWO_BIT_PRELOAD "../config/rv32ic/twoBitPredictor.txt" -`define BTB_PRELOAD "../config/rv32ic/BTBPredictor.txt" -`define BPRED_ENABLED 1 -`define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE -`define TESTSBP 0 diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index c3b4a1dc5..f24b1827b 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -88,14 +88,14 @@ for test in tests32ic: grepstr="All tests ran without failures") configs.append(tc) -tests32tim = ["arch32i", "arch32c", "imperas32i", "imperas32c"] -for test in tests32tim: +tests32e = ["arch32e"] +for test in tests32e: tc = TestCase( name=test, - variant="rv32tim", - cmd="vsim > {} -c < {} -c < Date: Thu, 3 Feb 2022 23:42:31 +0000 Subject: [PATCH 030/100] Added E tests to repo --- .../riscv-test-suite/E/Makefile | 3 + .../riscv-test-suite/E/Makefrag | 73 + .../riscv-test-suite/E/README | 6 + .../E/references/add-01.reference_output | 583 +++ .../E/references/addi-01.reference_output | 561 +++ .../E/references/and-01.reference_output | 588 +++ .../E/references/andi-01.reference_output | 554 +++ .../E/references/auipc-01.reference_output | 63 + .../E/references/beq-01.reference_output | 590 +++ .../E/references/bge-01.reference_output | 586 +++ .../E/references/bgeu-01.reference_output | 728 ++++ .../E/references/blt-01.reference_output | 586 +++ .../E/references/bltu-01.reference_output | 727 ++++ .../E/references/bne-01.reference_output | 585 +++ .../E/references/jal-01.reference_output | 16 + .../E/references/jalr-01.reference_output | 27 + .../E/references/lb-align-01.reference_output | 18 + .../references/lbu-align-01.reference_output | 17 + .../E/references/lh-align-01.reference_output | 16 + .../references/lhu-align-01.reference_output | 16 + .../E/references/lui-01.reference_output | 63 + .../E/references/lw-align-01.reference_output | 16 + .../E/references/or-01.reference_output | 589 +++ .../E/references/ori-01.reference_output | 557 +++ .../E/references/sb-align-01.reference_output | 78 + .../E/references/sh-align-01.reference_output | 71 + .../E/references/sll-01.reference_output | 88 + .../E/references/slli-01.reference_output | 89 + .../E/references/slt-01.reference_output | 581 +++ .../E/references/slti-01.reference_output | 561 +++ .../E/references/sltiu-01.reference_output | 697 +++ .../E/references/sltu-01.reference_output | 722 ++++ .../E/references/sra-01.reference_output | 90 + .../E/references/srai-01.reference_output | 87 + .../E/references/srl-01.reference_output | 89 + .../E/references/srli-01.reference_output | 88 + .../E/references/sub-01.reference_output | 584 +++ .../E/references/sw-align-01.reference_output | 68 + .../E/references/xor-01.reference_output | 583 +++ .../E/references/xori-01.reference_output | 559 +++ .../riscv-test-suite/E/src/add-01.S | 3001 +++++++++++++ .../riscv-test-suite/E/src/addi-01.S | 2890 +++++++++++++ .../riscv-test-suite/E/src/and-01.S | 3025 ++++++++++++++ .../riscv-test-suite/E/src/andi-01.S | 2850 +++++++++++++ .../riscv-test-suite/E/src/auipc-01.S | 390 ++ .../riscv-test-suite/E/src/beq-01.S | 3030 ++++++++++++++ .../riscv-test-suite/E/src/bge-01.S | 3015 +++++++++++++ .../riscv-test-suite/E/src/bgeu-01.S | 3720 +++++++++++++++++ .../riscv-test-suite/E/src/blt-01.S | 3010 +++++++++++++ .../riscv-test-suite/E/src/bltu-01.S | 3715 ++++++++++++++++ .../riscv-test-suite/E/src/bne-01.S | 3010 +++++++++++++ .../riscv-test-suite/E/src/jal-01.S | 155 + .../riscv-test-suite/E/src/jalr-01.S | 215 + .../riscv-test-suite/E/src/lb-align-01.S | 165 + .../riscv-test-suite/E/src/lbu-align-01.S | 165 + .../riscv-test-suite/E/src/lh-align-01.S | 155 + .../riscv-test-suite/E/src/lhu-align-01.S | 160 + .../riscv-test-suite/E/src/lui-01.S | 390 ++ .../riscv-test-suite/E/src/lw-align-01.S | 160 + .../riscv-test-suite/E/src/or-01.S | 3035 ++++++++++++++ .../riscv-test-suite/E/src/ori-01.S | 2865 +++++++++++++ .../riscv-test-suite/E/src/sb-align-01.S | 465 +++ .../riscv-test-suite/E/src/sh-align-01.S | 435 ++ .../riscv-test-suite/E/src/sll-01.S | 520 +++ .../riscv-test-suite/E/src/slli-01.S | 525 +++ .../riscv-test-suite/E/src/slt-01.S | 2990 +++++++++++++ .../riscv-test-suite/E/src/slti-01.S | 2890 +++++++++++++ .../riscv-test-suite/E/src/sltiu-01.S | 3565 ++++++++++++++++ .../riscv-test-suite/E/src/sltu-01.S | 3695 ++++++++++++++++ .../riscv-test-suite/E/src/sra-01.S | 530 +++ .../riscv-test-suite/E/src/srai-01.S | 515 +++ .../riscv-test-suite/E/src/srl-01.S | 525 +++ .../riscv-test-suite/E/src/srli-01.S | 520 +++ .../riscv-test-suite/E/src/sub-01.S | 3005 +++++++++++++ .../riscv-test-suite/E/src/sw-align-01.S | 415 ++ .../riscv-test-suite/E/src/xor-01.S | 3000 +++++++++++++ .../riscv-test-suite/E/src/xori-01.S | 2880 +++++++++++++ 77 files changed, 78199 insertions(+) create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/README create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile new file mode 100644 index 000000000..b9410d41f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile @@ -0,0 +1,3 @@ +include ../../Makefile.include + +$(eval $(call compile_template,-march=rv32e -mabi=ilp32e -DXLEN=$(XLEN))) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag new file mode 100644 index 000000000..b7c5692a5 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag @@ -0,0 +1,73 @@ +# RISC-V Architecture Test RV32E Makefrag +# +# Copyright (c) 2017, Codasip Ltd. +# All rights reserved. +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# * Redistributions of source code must retain the above copyright +# notice, this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright +# notice, this list of conditions and the following disclaimer in the +# documentation and/or other materials provided with the distribution. +# * Neither the name of the Codasip Ltd. nor the +# names of its contributors may be used to endorse or promote products +# derived from this software without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS +# IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +# THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +# PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL Codasip Ltd. BE LIABLE FOR ANY +# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES +# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND +# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT +# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF +# THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +# +# Description: Makefrag for RV32E architectural tests + +rv32e_sc_tests = \ + add-01 \ + addi-01 \ + and-01 \ + andi-01 \ + auipc-01 \ + beq-01 \ + bge-01 \ + bgeu-01 \ + blt-01 \ + bltu-01 \ + bne-01 \ + jal-01 \ + jalr-01 \ + lb-align-01 \ + lbu-align-01 \ + lh-align-01 \ + lhu-align-01 \ + lui-01 \ + lw-align-01 \ + or-01 \ + ori-01 \ + sb-align-01 \ + sh-align-01 \ + sll-01 \ + slli-01 \ + slt-01 \ + slti-01 \ + sltiu-01 \ + sltu-01 \ + sra-01 \ + srai-01 \ + srl-01 \ + srli-01 \ + sub-01 \ + sw-align-01 \ + xor-01 \ + xori-01 + + + +rv32e_tests = $(addsuffix .elf, $(rv32e_sc_tests)) + +target_tests += $(rv32e_tests) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/README b/tests/wally-riscv-arch-test/riscv-test-suite/E/README new file mode 100644 index 000000000..1995cbf23 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/README @@ -0,0 +1,6 @@ +This file is copied from riscv-arch-test/riscv-test-suite/rv32e_unratified/E 2/3/22. + +Add RVTEST_E macro to start of each .S file to avoid initializing registers x16-x31 +#define RVTEST_E + +*** write_tohost diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output new file mode 100644 index 000000000..4d18d6a9c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output @@ -0,0 +1,583 @@ +ffffb7fe +7ffbfffe +bfbffffe +00000040 +00000000 +f6fffffe +fc000006 +31333332 +ff0007ff +ff7fffee +ffbffffa +fddffffe +ffedfffe +ffd7fffe +fffc007f +fdfdfffe +aaa9aaa9 +3332b331 +ffffe002 +fffff008 +fff7f7fe +fffffbff +fffff5fe +55555454 +ffffff7c +ffdfffbe +ffffff5e +fffffff8 +ffffbff6 +07fffffb +ffdffffc +ffffbffd +8001ffff +26666664 +dfff7ffe +f000001f +f7ffff7e +fc0fffff +ff7ffffb +ffefffff +fffeeffe +00077fff +ffffdfff +0003efff +fffffcff +ffffddfe +ffffeefe +ffffffae +ffffffe6 +fdfffff6 +fffffff8 +80000006 +60000000 +e0000000 +baaaaaaa +08000001 +59555554 +02000040 +01000003 +007ffffa +00400010 +000fffff +00010000 +ffff7fff +ffff8afd +55557555 +00011000 +00000800 +00080400 +000001fd +fffffe7f +00000042 +ffe0000f +fffffff7 +fffffffb +ffffffc1 +40000000 +80000006 +40000005 +0fff4afc +04000006 +01fffff7 +00fffff9 +007ffffe +003ffdff +001ffff8 +c00fffff +0003ff7f +c001ffff +01008000 +c0004000 +00042000 +aaaabaaa +f80003ff +00000201 +ffff00ff +fff80007 +00016a0a +00000002 +66671b6c +3333e839 +0000b50b +aaab5fb0 +55560a5b +0000b509 +00016a08 +0000b505 +66671b6a +3333e837 +55560a59 +0000b507 +00016a09 +00000001 +66671b6b +3333e838 +0000b50a +aaab5faf +55560a5a +0000b508 +00000002 +fffe95fa +6665b164 +33327e31 +ffff4b03 +aaa9f5a8 +5554a053 +ffff4b01 +00000000 +ffff4afd +6665b162 +33327e2f +5554a051 +ffff4aff +00000001 +fffe95f9 +6665b163 +33327e30 +ffff4b02 +aaa9f5a7 +5554a052 +ffff4b00 +66671b6c +6665b164 +ccccccce +9999999b +6666666d +11111112 +bbbbbbbd +6666666b +66671b6a +66666667 +cccccccc +99999999 +bbbbbbbb +66666669 +66671b6b +6665b163 +cccccccd +9999999a +6666666c +11111111 +bbbbbbbc +6666666a +3333e839 +33327e31 +9999999b +66666668 +3333333a +dddddddf +8888888a +33333338 +3333e837 +33333334 +99999999 +66666666 +88888888 +33333336 +3333e838 +33327e30 +9999999a +66666667 +33333339 +ddddddde +88888889 +33333337 +0000b50b +ffff4b03 +6666666d +3333333a +0000000c +aaaaaab1 +5555555c +0000000a +0000b509 +00000006 +6666666b +33333338 +5555555a +00000008 +0000b50a +ffff4b02 +6666666c +33333339 +0000000b +aaaaaab0 +5555555b +00000009 +aaab5fb0 +aaa9f5a8 +11111112 +dddddddf +aaaaaab1 +55555556 +00000001 +aaaaaaaf +aaab5fae +aaaaaaab +11111110 +dddddddd +ffffffff +aaaaaaad +aaab5faf +aaa9f5a7 +11111111 +ddddddde +aaaaaab0 +55555555 +00000000 +aaaaaaae +55560a5b +5554a053 +bbbbbbbd +8888888a +5555555c +00000001 +aaaaaaac +5555555a +55560a59 +55555556 +bbbbbbbb +88888888 +aaaaaaaa +55555558 +55560a5a +5554a052 +bbbbbbbc +88888889 +5555555b +00000000 +aaaaaaab +55555559 +0000b509 +ffff4b01 +6666666b +33333338 +0000000a +aaaaaaaf +5555555a +00000008 +0000b507 +00000004 +66666669 +33333336 +55555558 +00000006 +0000b508 +ffff4b00 +6666666a +33333337 +00000009 +aaaaaaae +55555559 +00000007 +00016a08 +00000000 +66671b6a +3333e837 +0000b509 +aaab5fae +55560a59 +0000b507 +00016a06 +0000b503 +66671b68 +3333e835 +55560a57 +0000b505 +00016a07 +ffffffff +66671b69 +3333e836 +0000b508 +aaab5fad +55560a58 +0000b506 +0000b505 +ffff4afd +66666667 +33333334 +00000006 +aaaaaaab +55555556 +00000004 +0000b503 +00000000 +66666665 +33333332 +55555554 +00000002 +0000b504 +ffff4afc +66666666 +33333333 +00000005 +aaaaaaaa +55555555 +00000003 +66671b6a +6665b162 +cccccccc +99999999 +6666666b +11111110 +bbbbbbbb +66666669 +66671b68 +33333333 +99999998 +66666665 +88888887 +33333335 +3333e837 +33327e2f +99999999 +66666666 +33333338 +dddddddd +88888888 +33333336 +0000b50a +ffff4b02 +6666666c +33333339 +0000000b +aaaaaab0 +5555555b +00000009 +0000b508 +00000005 +6666666a +33333337 +55555559 +00000007 +0000b509 +ffff4b01 +6666666b +33333338 +0000000a +aaaaaaaf +5555555a +00000008 +aaab5faf +aaa9f5a7 +11111111 +ddddddde +aaaaaab0 +55555555 +00000000 +aaaaaaae +aaab5fad +aaaaaaaa +1111110f +dddddddc +fffffffe +aaaaaaac +aaab5fae +aaa9f5a6 +11111110 +dddddddd +aaaaaaaf +55555554 +ffffffff +aaaaaaad +55560a5a +5554a052 +bbbbbbbc +88888889 +5555555b +00000000 +aaaaaaab +55555559 +55560a58 +55555555 +bbbbbbba +88888887 +aaaaaaa9 +55555557 +55560a59 +5554a051 +bbbbbbbb +88888888 +5555555a +ffffffff +aaaaaaaa +55555558 +0000b508 +ffff4b00 +6666666a +33333337 +00000009 +aaaaaaae +55555559 +00000007 +0000b506 +00000003 +66666668 +33333335 +55555557 +00000005 +0000b507 +ffff4aff +66666669 +33333336 +00000008 +aaaaaaad +55555558 +00000006 +66666665 +ccccccca +99999997 +bbbbbbb9 +66666667 +66671b69 +6665b161 +cccccccb +99999998 +6666666a +1111110f +bbbbbbba +66666668 +3333e837 +33327e2f +99999999 +66666666 +33333338 +dddddddd +88888888 +33333336 +3333e835 +33333332 +99999997 +66666664 +88888886 +33333334 +3333e836 +33327e2e +99999998 +66666665 +33333337 +dddddddc +88888887 +33333335 +55560a59 +5554a051 +bbbbbbbb +88888888 +5555555a +ffffffff +aaaaaaaa +55555558 +55560a57 +55555554 +bbbbbbb9 +88888886 +aaaaaaa8 +55555556 +55560a58 +5554a050 +bbbbbbba +88888887 +55555559 +fffffffe +aaaaaaa9 +55555557 +0000b507 +ffff4aff +66666669 +33333336 +00000008 +aaaaaaad +55555558 +00000006 +0000b505 +00000002 +66666667 +33333334 +55555556 +00000004 +0000b506 +ffff4afe +66666668 +33333335 +00000007 +aaaaaaac +55555557 +00000005 +00016a09 +00000001 +66671b6b +3333e838 +0000b50a +aaab5faf +55560a5a +0000b508 +00016a07 +0000b504 +66671b69 +3333e836 +55560a58 +0000b506 +00016a08 +00000000 +66671b6a +3333e837 +0000b509 +aaab5fae +55560a59 +0000b507 +00000001 +fffe95f9 +6665b163 +33327e30 +ffff4b02 +aaa9f5a7 +5554a052 +ffff4b00 +ffffffff +ffff4afc +6665b161 +33327e2e +5554a050 +ffff4afe +00000000 +fffe95f8 +6665b162 +33327e2f +ffff4b01 +aaa9f5a6 +5554a051 +ffff4aff +66671b6b +6665b163 +cccccccd +9999999a +6666666c +11111111 +bbbbbbbc +6666666a +66671b69 +66666666 +cccccccb +99999998 +bbbbbbba +66666668 +66671b6a +6665b162 +cccccccc +99999999 +6666666b +11111110 +bbbbbbbb +66666669 +3333e838 +33327e30 +9999999a +66666667 +33333339 +ddddddde +88888889 +33333337 +3333e836 +e000001f +f0000003 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output new file mode 100644 index 000000000..752d52626 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output @@ -0,0 +1,561 @@ +1ffff800 +80000666 +00000000 +e0000555 +efffffee +f7fffffb +fbffffff +00000000 +ff000003 +ff80003f +ffbffffa +ffe00554 +ffefffee +fff7fff5 +fffbfdfe +fffe0002 +ffff0004 +ffff8005 +ffffbff7 +ffffdff9 +ffffefde +fffff9ff +fffffbf9 +fffffdde +ffffff01 +ffffffac +ffffffeb +ffffffe1 +fffffff5 +fffffff2 +00000027 +ffffffd1 +fffffff4 +0000bd02 +ffffffff +ffffeefe +07ffff7f +ffffffc3 +ffffffb6 +00000003 +fffffff9 +80000556 +3ffffc00 +10000000 +03fffff6 +0200002e +01000667 +007fffbf +003ffeff +00200555 +00100000 +00080005 +000403ff +00020000 +00010200 +00007ff9 +00004333 +00002555 +00000aaa +00000e65 +000001bf +000004ff +000006e6 +00000373 +0000081f +00000210 +00000008 +ffffffff +00000101 +000003bf +00000080 +00000020 +00008010 +00000000 +55555556 +0000b533 +0000b4d9 +0000bb6c +0000b839 +0000b50b +0000afb0 +0000ba5b +0000b509 +0000b531 +0000b505 +0000bb6a +0000b837 +0000ba59 +0000b507 +0000b532 +0000b4d8 +0000bb6b +0000b838 +0000b50a +0000afaf +0000ba5a +0000b508 +ffff4b2b +ffff4ad1 +ffff5164 +ffff4e31 +ffff4b03 +ffff45a8 +ffff5053 +ffff4b01 +ffff4b29 +ffff4afd +ffff5162 +ffff4e2f +ffff5051 +ffff4aff +ffff4b2a +ffff4ad0 +ffff5163 +ffff4e30 +ffff4b02 +ffff45a7 +ffff5052 +ffff4b00 +66666695 +6666663b +66666cce +6666699b +6666666d +66666112 +66666bbd +6666666b +66666693 +66666667 +66666ccc +66666999 +66666bbb +66666669 +66666694 +6666663a +66666ccd +6666699a +6666666c +66666111 +66666bbc +6666666a +33333362 +33333308 +3333399b +33333668 +3333333a +33332ddf +3333388a +33333338 +33333360 +33333334 +33333999 +33333666 +33333888 +33333336 +33333361 +33333307 +3333399a +33333667 +33333339 +33332dde +33333889 +33333337 +00000034 +ffffffda +0000066d +0000033a +0000000c +fffffab1 +0000055c +0000000a +00000032 +00000006 +0000066b +00000338 +0000055a +00000008 +00000033 +ffffffd9 +0000066c +00000339 +0000000b +fffffab0 +0000055b +00000009 +aaaaaad9 +aaaaaa7f +aaaab112 +aaaaaddf +aaaaaab1 +aaaaa556 +aaaab001 +aaaaaaaf +aaaaaad7 +aaaaaaab +aaaab110 +aaaaaddd +aaaaafff +aaaaaaad +aaaaaad8 +aaaaaa7e +aaaab111 +aaaaadde +aaaaaab0 +aaaaa555 +aaaab000 +aaaaaaae +55555584 +5555552a +55555bbd +5555588a +5555555c +55555001 +55555aac +5555555a +55555582 +55555556 +55555bbb +55555888 +55555aaa +55555558 +55555583 +55555529 +55555bbc +55555889 +5555555b +55555000 +55555aab +55555559 +00000032 +ffffffd8 +0000066b +00000338 +0000000a +fffffaaf +0000055a +00000008 +00000030 +00000004 +00000669 +00000336 +00000558 +00000006 +00000031 +ffffffd7 +0000066a +00000337 +00000009 +fffffaae +00000559 +00000007 +0000b531 +0000b4d7 +0000bb6a +0000b837 +0000b509 +0000afae +0000ba59 +0000b507 +0000b52f +0000b503 +0000bb68 +0000b835 +0000ba57 +0000b505 +0000b530 +0000b4d6 +0000bb69 +0000b836 +0000b508 +0000afad +0000ba58 +0000b506 +0000002e +ffffffd4 +00000667 +00000334 +00000006 +fffffaab +00000556 +00000004 +0000002c +00000000 +00000665 +00000332 +00000554 +00000002 +0000002d +ffffffd3 +00000666 +00000333 +00000005 +fffffaaa +00000555 +00000003 +66666693 +66666639 +66666ccc +66666999 +6666666b +66666110 +66666bbb +66666669 +66666691 +66666665 +aaaaa555 +aaaab000 +aaaaaaae +aaaaaad6 +aaaaaaaa +aaaab10f +aaaaaddc +aaaaaffe +aaaaaaac +aaaaaad7 +aaaaaa7d +aaaab110 +aaaaaddd +aaaaaaaf +aaaaa554 +aaaaafff +aaaaaaad +55555583 +55555529 +55555bbc +55555889 +5555555b +55555000 +55555aab +55555559 +55555581 +55555555 +55555bba +55555887 +55555aa9 +55555557 +55555582 +55555528 +55555bbb +55555888 +5555555a +55554fff +55555aaa +55555558 +00000031 +ffffffd7 +0000066a +00000337 +00000009 +fffffaae +00000559 +00000007 +0000002f +00000003 +00000668 +00000335 +00000557 +00000005 +00000030 +ffffffd6 +00000669 +00000336 +00000008 +fffffaad +00000558 +00000006 +66666cca +66666997 +66666bb9 +66666667 +66666692 +66666638 +66666ccb +66666998 +6666666a +6666610f +66666bba +66666668 +33333360 +33333306 +33333999 +33333666 +33333338 +33332ddd +33333888 +33333336 +3333335e +33333332 +33333997 +33333664 +33333886 +33333334 +3333335f +33333305 +33333998 +33333665 +33333337 +33332ddc +33333887 +33333335 +55555582 +55555528 +55555bbb +55555888 +5555555a +55554fff +55555aaa +55555558 +55555580 +55555554 +55555bb9 +55555886 +55555aa8 +55555556 +55555581 +55555527 +55555bba +55555887 +55555559 +55554ffe +55555aa9 +55555557 +00000030 +ffffffd6 +00000669 +00000336 +00000008 +fffffaad +00000558 +00000006 +0000002e +00000002 +00000667 +00000334 +00000556 +00000004 +0000002f +ffffffd5 +00000668 +00000335 +00000007 +fffffaac +00000557 +00000005 +0000b532 +0000b4d8 +0000bb6b +0000b838 +0000b50a +0000afaf +0000ba5a +0000b508 +0000b530 +0000b504 +0000bb69 +0000b836 +0000ba58 +0000b506 +0000b531 +0000b4d7 +0000bb6a +0000b837 +0000b509 +0000afae +0000ba59 +0000b507 +ffff4b2a +ffff4ad0 +ffff5163 +ffff4e30 +ffff4b02 +ffff45a7 +ffff5052 +ffff4b00 +ffff4b28 +ffff4afc +ffff5161 +ffff4e2e +ffff5050 +ffff4afe +ffff4b29 +ffff4acf +ffff5162 +ffff4e2f +ffff4b01 +ffff45a6 +ffff5051 +ffff4aff +66666694 +6666663a +66666ccd +6666699a +6666666c +66666111 +66666bbc +6666666a +66666692 +66666666 +66666ccb +66666998 +66666bba +66666668 +66666693 +66666639 +66666ccc +66666999 +6666666b +66666110 +66666bbb +66666669 +33333361 +33333307 +3333399a +33333667 +33333339 +33332dde +33333889 +33333337 +3333335f +33333333 +33333998 +33333665 +33333887 +33333335 +33333360 +33333306 +33333999 +33333666 +33333338 +33332ddd +33333888 +33333336 +00000033 +ffffffd9 +0000066c +00000339 +0000000b +fffffab0 +0000055b +00000009 +00000031 +00000005 +0000066a +00000337 +00000559 +00000007 +00000032 +ffffffd8 +0000066b +00000338 +0000000a +fffffaaf +0000055a +00000008 +aaaaaad8 +aaaaaa7e +aaaab111 +aaaaadde +aaaaaab0 +c000003f +fdffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output new file mode 100644 index 000000000..e8e6b49d4 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output @@ -0,0 +1,588 @@ +00000000 +00000003 +00000100 +00040000 +00100000 +f3ffffff +aaaaaaaa +00400000 +00000000 +ff7fffbf +01000000 +ffdfbfff +ffeffbff +00000000 +02000000 +00000000 +00000004 +55555555 +40000000 +00000004 +00000100 +aaaaa2aa +00001000 +00000001 +00000007 +00000003 +0000b504 +00000080 +0000b505 +00100000 +00004000 +33333330 +55555554 +7f7fffff +26666667 +00000003 +effffff8 +00200000 +fdfffeff +fe7fffff +ff7ffeff +ff9fffff +66466666 +ffef4afd +0000b504 +fffb7fff +55555556 +00080000 +ffff3fff +00000002 +00000020 +ffff42fc +fff7fdff +f7fffeff +55555555 +fffffddf +00000003 +00000006 +00000001 +ffff4afc +00000000 +00000000 +20000000 +10000000 +08000000 +04000000 +02000000 +01000000 +00000000 +00000000 +00100000 +00000000 +00020000 +00000000 +00000000 +00000000 +00002000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000080 +00000000 +00000010 +00000004 +00000000 +20000000 +00000000 +04000000 +00800000 +00200000 +00080000 +00020000 +00000000 +00002000 +00000400 +00000200 +00000040 +00000000 +00000000 +0000b505 +00000005 +00002405 +00003104 +00000004 +0000a001 +00001504 +00000004 +0000b501 +00000000 +00002405 +00003100 +00001504 +00000000 +0000b504 +00000004 +00002404 +00003101 +00000005 +0000a000 +00001505 +00000001 +00000005 +ffff4afd +66664265 +33330234 +00000004 +aaaa0aa9 +55554054 +00000001 +00000000 +66664265 +33330230 +55554054 +00000000 +00000004 +ffff4afc +66664264 +33330231 +00000005 +aaaa0aa8 +55554055 +00000001 +00002405 +66664265 +66666667 +22222224 +00000006 +22222223 +44444446 +00000004 +00002403 +00000000 +66666665 +22222222 +44444444 +00000002 +00002404 +66664264 +66666666 +22222223 +00000005 +22222222 +44444445 +00000003 +00003104 +33330234 +22222224 +33333334 +00000004 +22222220 +11111114 +00000004 +00003100 +00000000 +22222224 +33333330 +11111114 +00000000 +00003104 +33330234 +22222224 +33333330 +00000004 +22222220 +11111114 +00000000 +00000004 +00000004 +00000006 +00000004 +00000006 +00000002 +00000006 +00000004 +00000002 +00000000 +00000004 +00000002 +00000004 +00000002 +00000004 +00000004 +00000006 +00000002 +00000004 +00000002 +00000004 +00000002 +0000a001 +aaaa0aa9 +22222223 +22222220 +00000002 +aaaaaaab +00000002 +00000000 +0000a003 +00000000 +22222221 +22222222 +00000000 +00000002 +0000a000 +aaaa0aa8 +22222222 +22222223 +00000001 +aaaaaaaa +00000001 +00000003 +00001504 +55554054 +44444446 +11111114 +00000006 +00000002 +55555556 +00000004 +00001502 +00000000 +44444444 +11111112 +55555554 +00000002 +00001504 +55554054 +44444446 +11111112 +00000004 +00000002 +55555554 +00000002 +00000004 +00000004 +00000004 +00000004 +00000004 +00000000 +00000004 +00000004 +00000000 +00000000 +00000004 +00000000 +00000004 +00000000 +00000004 +00000004 +00000004 +00000000 +00000004 +00000000 +00000004 +00000000 +0000b501 +00000001 +00002403 +00003100 +00000002 +0000a003 +00001502 +00000000 +0000b503 +00000000 +00002401 +00003102 +00001500 +00000002 +0000b500 +00000000 +00002402 +00003103 +00000001 +0000a002 +00001501 +00000003 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00002405 +66664265 +66666665 +22222224 +00000004 +22222221 +44444444 +00000004 +00002401 +00000000 +22222221 +33333332 +11111110 +00000002 +00003100 +33330230 +22222222 +33333333 +00000001 +22222222 +11111111 +00000003 +00000005 +00000005 +00000005 +00000004 +00000004 +00000001 +00000004 +00000004 +00000001 +00000000 +00000005 +00000000 +00000004 +00000000 +00000004 +00000004 +00000004 +00000001 +00000005 +00000000 +00000005 +00000001 +0000a000 +aaaa0aa8 +22222222 +22222220 +00000002 +aaaaaaaa +00000002 +00000000 +0000a002 +00000000 +22222220 +22222222 +00000000 +00000002 +0000a000 +aaaa0aa8 +22222222 +22222222 +00000000 +aaaaaaaa +00000000 +00000002 +00001505 +55554055 +44444445 +11111114 +00000004 +00000001 +55555554 +00000004 +00001501 +00000000 +44444445 +11111110 +55555554 +00000000 +00001504 +55554054 +44444444 +11111111 +00000005 +00000000 +55555555 +00000001 +00000001 +00000001 +00000003 +00000000 +00000002 +00000003 +00000002 +00000000 +00000003 +00000000 +00000001 +00000002 +00000000 +00000002 +00000000 +00000000 +00000002 +00000003 +00000001 +00000002 +00000001 +00000003 +00000000 +66666665 +22222220 +44444444 +00000000 +00002404 +66664264 +66666664 +22222221 +00000005 +22222220 +44444445 +00000001 +00003100 +33330230 +22222222 +33333330 +00000002 +22222222 +11111112 +00000000 +00003102 +00000000 +22222220 +33333332 +11111110 +00000002 +00003100 +33330230 +22222222 +33333332 +00000000 +22222222 +11111110 +00000002 +00001504 +55554054 +44444444 +11111114 +00000004 +00000000 +55555554 +00000004 +00001500 +00000000 +44444444 +11111110 +55555554 +00000000 +00001504 +55554054 +44444444 +11111110 +00000004 +00000000 +55555554 +00000000 +00000000 +00000000 +00000002 +00000000 +00000002 +00000002 +00000002 +00000000 +00000002 +00000000 +00000000 +00000002 +00000000 +00000002 +00000000 +00000000 +00000002 +00000002 +00000000 +00000002 +00000000 +00000002 +0000b504 +00000004 +00002404 +00003104 +00000004 +0000a000 +00001504 +00000004 +0000b500 +00000000 +00002404 +00003100 +00001504 +00000000 +0000b504 +00000004 +00002404 +00003100 +00000004 +0000a000 +00001504 +00000000 +00000004 +ffff4afc +66664264 +33330234 +00000004 +aaaa0aa8 +55554054 +00000004 +00000000 +00000000 +66664264 +33330230 +55554054 +00000000 +00000004 +ffff4afc +66664264 +33330230 +00000004 +aaaa0aa8 +55554054 +00000000 +00002404 +66664264 +66666666 +22222224 +00000006 +22222222 +44444446 +00000004 +00002402 +00000000 +66666664 +22222222 +44444444 +00000002 +00002404 +66664264 +66666666 +22222222 +00000004 +22222222 +44444444 +00000002 +00003101 +33330231 +22222223 +33333330 +00000002 +22222223 +11111112 +00000000 +00003103 +00040000 +00100000 +fff7fffd +fffdfff6 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output new file mode 100644 index 000000000..1863cd1f2 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output @@ -0,0 +1,554 @@ +00000000 +0000002c +00000004 +00000007 +00000040 +f7fffffb +00000332 +00000006 +00000000 +00000002 +00000010 +ffdfffbf +00000006 +fff7fffc +000007ff +00000000 +00000334 +00000003 +00000002 +00000200 +ffffeaaa +00000008 +00000332 +00000080 +00000003 +0000002d +ffffff93 +ffffffd3 +fffffaaa +00000334 +00000001 +00000004 +fffffbfe +66666465 +02000000 +00010000 +00000008 +55555546 +00080000 +00000001 +00000004 +00000000 +00000000 +00000000 +00000000 +00000000 +04000000 +00000000 +00800000 +00000000 +00000000 +00000000 +00040000 +00020000 +00008000 +00004000 +00000000 +00000000 +00000800 +00000400 +00000200 +00000100 +00000000 +00000040 +00000000 +00000000 +00000004 +00000000 +00000001 +00000004 +0000b504 +00000405 +00000104 +00000004 +0000b001 +00000504 +00000004 +00000004 +00000000 +00000405 +00000100 +00000504 +00000000 +00000005 +0000b501 +00000404 +00000101 +00000005 +0000b000 +00000505 +00000001 +0000002c +ffff4ad4 +00000265 +00000234 +00000004 +ffff4aa9 +00000054 +00000004 +0000002c +00000000 +00000265 +00000230 +00000054 +00000000 +0000002d +ffff4ad1 +00000264 +00000231 +00000005 +ffff4aa8 +00000055 +00000001 +00000026 +66666644 +00000667 +00000224 +00000006 +66666223 +00000446 +00000004 +00000024 +00000000 +00000665 +00000222 +00000444 +00000002 +00000025 +66666643 +00000666 +00000223 +00000005 +66666222 +00000445 +00000003 +00000024 +33333314 +00000224 +00000334 +00000004 +33333220 +00000114 +00000004 +00000024 +00000000 +00000224 +00000330 +00000114 +00000000 +00000024 +33333310 +00000224 +00000330 +00000004 +33333220 +00000114 +00000000 +00000006 +00000004 +00000006 +00000004 +00000006 +00000002 +00000006 +00000004 +00000004 +00000000 +00000004 +00000002 +00000004 +00000002 +00000004 +00000002 +00000006 +00000002 +00000004 +00000002 +00000004 +00000002 +0000002a +aaaaaa80 +00000223 +00000220 +00000002 +aaaaaaab +00000002 +00000000 +00000028 +00000000 +00000221 +00000222 +00000000 +00000002 +00000029 +aaaaaa83 +00000222 +00000223 +00000001 +aaaaaaaa +00000001 +00000003 +00000006 +55555554 +00000446 +00000114 +00000006 +55555002 +00000556 +00000004 +00000004 +00000000 +00000444 +00000112 +00000554 +00000002 +00000004 +55555552 +00000446 +00000112 +00000004 +55555002 +00000554 +00000002 +00000004 +00000004 +00000004 +00000004 +00000004 +00000000 +00000004 +00000004 +00000004 +00000000 +00000004 +00000000 +00000004 +00000000 +00000004 +00000000 +00000000 +00000004 +00000000 +00000004 +00000000 +00000002 +0000b500 +00000403 +00000100 +00000002 +0000b003 +00000502 +00000000 +00000000 +00000000 +00000401 +00000102 +00000500 +00000002 +00000001 +0000b503 +00000402 +00000103 +00000001 +0000b002 +00000501 +00000003 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000024 +66666644 +00000665 +00000224 +00000004 +66666221 +00000444 +00000004 +00000024 +00000000 +00000665 +aaaaaaaa +00000002 +00000000 +00000028 +00000000 +00000220 +00000222 +00000000 +00000002 +00000028 +aaaaaa82 +00000222 +00000222 +00000000 +aaaaaaaa +00000000 +00000002 +00000004 +55555554 +00000445 +00000114 +00000004 +55555001 +00000554 +00000004 +00000004 +00000000 +00000445 +00000110 +00000554 +00000000 +00000005 +55555551 +00000444 +00000111 +00000005 +55555000 +00000555 +00000001 +00000002 +00000000 +00000003 +00000000 +00000002 +00000003 +00000002 +00000000 +00000000 +00000000 +00000001 +00000002 +00000000 +00000002 +00000001 +00000003 +00000002 +00000003 +00000001 +00000002 +00000001 +00000003 +00000220 +00000444 +00000000 +00000025 +66666641 +00000664 +00000221 +00000005 +66666220 +00000445 +00000001 +00000022 +33333310 +00000222 +00000330 +00000002 +33333222 +00000112 +00000000 +00000020 +00000000 +00000220 +00000332 +00000110 +00000002 +00000020 +33333312 +00000222 +00000332 +00000000 +33333222 +00000110 +00000002 +00000004 +55555554 +00000444 +00000114 +00000004 +55555000 +00000554 +00000004 +00000004 +00000000 +00000444 +00000110 +00000554 +00000000 +00000004 +55555550 +00000444 +00000110 +00000004 +55555000 +00000554 +00000000 +00000002 +00000000 +00000002 +00000000 +00000002 +00000002 +00000002 +00000000 +00000000 +00000000 +00000000 +00000002 +00000000 +00000002 +00000000 +00000002 +00000002 +00000002 +00000000 +00000002 +00000000 +00000002 +00000004 +0000b504 +00000404 +00000104 +00000004 +0000b000 +00000504 +00000004 +00000004 +00000000 +00000404 +00000100 +00000504 +00000000 +00000004 +0000b500 +00000404 +00000100 +00000004 +0000b000 +00000504 +00000000 +0000002c +ffff4ad4 +00000264 +00000234 +00000004 +ffff4aa8 +00000054 +00000004 +0000002c +00000000 +00000264 +00000230 +00000054 +00000000 +0000002c +ffff4ad0 +00000264 +00000230 +00000004 +ffff4aa8 +00000054 +00000000 +00000026 +66666644 +00000666 +00000224 +00000006 +66666222 +00000446 +00000004 +00000024 +00000000 +00000664 +00000222 +00000444 +00000002 +00000024 +66666642 +00000666 +00000222 +00000004 +66666222 +00000444 +00000002 +00000022 +33333310 +00000223 +00000330 +00000002 +33333223 +00000112 +00000000 +00000020 +00000000 +00000221 +00000332 +00000110 +00000002 +00000021 +33333313 +00000222 +00000333 +00000001 +33333222 +00000111 +00000003 +00000004 +00000004 +00000005 +00000004 +00000004 +00000001 +00000004 +00000004 +00000004 +00000000 +00000005 +00000000 +00000004 +00000000 +00000005 +00000001 +00000004 +00000001 +00000005 +00000000 +00000005 +00000001 +0000002a +aaaaaa80 +00000222 +00000220 +00000002 +00000002 +00000555 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output new file mode 100644 index 000000000..ebf77d350 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output @@ -0,0 +1,63 @@ +fffff000 +7ffff000 +bffff000 +dffff000 +effff000 +f7fff000 +fbfff000 +fdfff000 +fefff000 +00000000 +ffbff000 +ffdff000 +ffeff000 +fff7f000 +fffbf000 +fffdf000 +fffef000 +ffff7000 +ffffb000 +ffffd000 +ffffe000 +80000000 +40000000 +20000000 +10000000 +08000000 +04000000 +02000000 +01000000 +00800000 +00400000 +00200000 +00100000 +00080000 +00040000 +00020000 +00010000 +55555000 +00003000 +aaaaa000 +00000000 +00008000 +00004000 +00002000 +00001000 +002d5000 +66667000 +33334000 +00006000 +aaaab000 +55556000 +003fe000 +002d3000 +66665000 +33332000 +aaaa9000 +55554000 +003ff000 +002d4000 +66666000 +33333000 +00005000 +ff7ff000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output new file mode 100644 index 000000000..92f1ee6d0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output @@ -0,0 +1,590 @@ +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output new file mode 100644 index 000000000..cf462405e --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output @@ -0,0 +1,586 @@ +00000001 +00000002 +00000001 +00000002 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000001 +00000001 +00000002 +00000002 +00000002 +00000001 +00000003 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000001 +00000001 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000002 +00000003 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000002 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000001 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000001 +00000001 +00000003 +00000003 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000001 +00000001 +00000001 +00000003 +00000001 +00000001 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000001 +00000003 +00000002 +00000001 +00000001 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000002 +00000002 +00000003 +00000003 +00000001 +00000002 +00000002 +00000001 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000002 +00000003 +00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output new file mode 100644 index 000000000..14516e603 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output @@ -0,0 +1,728 @@ +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000002 +00000001 +00000002 +00000001 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000002 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000003 +00000001 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000001 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000003 +00000002 +00000001 +00000001 +00000002 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000001 +00000002 +00000003 +00000001 +00000003 +00000001 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000003 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000003 +00000001 +00000002 +00000001 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000003 +00000001 +00000002 +00000001 +00000001 +00000002 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000003 +00000001 +00000001 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000001 +00000001 +00000002 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000003 +00000001 +00000001 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000001 +00000003 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000002 +00000001 +00000001 +00000002 +00000001 +00000003 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output new file mode 100644 index 000000000..f0e22e7c0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output @@ -0,0 +1,586 @@ +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000003 +00000002 +00000002 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000003 +00000002 +00000003 +00000001 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000001 +00000001 +00000003 +00000002 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000003 +00000002 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000001 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000003 +00000001 +00000001 +00000002 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000001 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000001 +00000002 +00000001 +00000001 +00000003 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000001 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000001 +00000003 +00000001 +00000002 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000002 +00000001 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000003 +00000001 +00000001 +00000002 +00000002 +00000002 +00000001 +00000001 +00000002 +00000002 +00000003 +00000002 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000002 +00000003 +00000001 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000001 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output new file mode 100644 index 000000000..4498f93a3 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output @@ -0,0 +1,727 @@ +00000002 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000001 +00000002 +00000002 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000001 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000001 +00000003 +00000003 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000001 +00000001 +00000002 +00000001 +00000002 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000001 +00000003 +00000002 +00000001 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000002 +00000001 +00000001 +00000003 +00000002 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000001 +00000002 +00000001 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000002 +00000001 +00000002 +00000002 +00000001 +00000003 +00000001 +00000001 +00000002 +00000001 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000001 +00000003 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000001 +00000002 +00000001 +00000002 +00000001 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000001 +00000003 +00000002 +00000001 +00000002 +00000001 +00000001 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000002 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000003 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output new file mode 100644 index 000000000..7f8a8e6c6 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output @@ -0,0 +1,585 @@ +00000002 +00000001 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000002 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000001 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000001 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000002 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000002 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000002 +00000001 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000001 +00000001 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output new file mode 100644 index 000000000..e23848aac --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output @@ -0,0 +1,16 @@ +00000025 +00000027 +0008001d +0010001d +00000000 +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output new file mode 100644 index 000000000..a05f0b1d5 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output @@ -0,0 +1,27 @@ +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000000 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output new file mode 100644 index 000000000..246a44924 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output @@ -0,0 +1,18 @@ +fffffffe +fffffffe +fffffffe +fffffffe +ffffffca +ffffffca +ffffffca +ffffffca +ffffffbe +ffffffbe +fffffffe +ffffffbe +ffffffbe +00000000 +ffffffba +ffffffba +ffffffba +ffffffba diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output new file mode 100644 index 000000000..ddd4233bb --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output @@ -0,0 +1,17 @@ +00000000 +000000fe +000000fe +000000fe +000000ca +000000ca +000000ca +000000ca +000000be +000000be +000000fe +000000be +000000be +000000ba +000000ba +000000ba +000000ba diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output new file mode 100644 index 000000000..9ec95d1d4 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output @@ -0,0 +1,16 @@ +ffffcafe +ffffcafe +ffffcafe +ffffcafe +ffffbabe +ffffbabe +ffffbabe +ffffbabe +00000000 +ffffcafe +ffffcafe +ffffcafe +ffffcafe +ffffcafe +ffffcafe +ffffcafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output new file mode 100644 index 000000000..eabf3f883 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output @@ -0,0 +1,16 @@ +0000cafe +0000cafe +0000cafe +0000cafe +0000babe +0000cafe +0000babe +0000babe +0000babe +0000cafe +0000cafe +0000cafe +0000cafe +00000000 +0000cafe +0000cafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output new file mode 100644 index 000000000..def191172 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output @@ -0,0 +1,63 @@ +fffff000 +7ffff000 +bffff000 +dffff000 +effff000 +f7fff000 +fbfff000 +fdfff000 +fefff000 +ff7ff000 +ffbff000 +ffdff000 +ffeff000 +00000000 +fffbf000 +fffdf000 +fffef000 +ffff7000 +ffffb000 +ffffd000 +ffffe000 +80000000 +40000000 +20000000 +10000000 +08000000 +04000000 +02000000 +01000000 +00800000 +00400000 +00200000 +00100000 +00080000 +00040000 +00020000 +00010000 +55555000 +00003000 +aaaaa000 +00000000 +00008000 +00004000 +00002000 +00001000 +002d5000 +66667000 +33334000 +00006000 +aaaab000 +55556000 +003fe000 +002d3000 +66665000 +33332000 +aaaa9000 +55554000 +003ff000 +002d4000 +66666000 +33333000 +00005000 +fff7f000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output new file mode 100644 index 000000000..0f578dfe8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output @@ -0,0 +1,16 @@ +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe +00000000 +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output new file mode 100644 index 000000000..ea67773d1 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output @@ -0,0 +1,589 @@ +ffdfffff +7fffffff +ffffffff +c0000000 +00004000 +ffffffff +ffffffff +fdffffff +00000000 +00000006 +ffbfffff +ffdfffff +ffefffff +fff7ffff +fffbffff +ffffffff +fffeffff +ffff7fff +ffffffff +ffffdfff +ffffefff +fffff7ff +ffffffff +fffffdff +fffffeff +ffffffff +ffffffbf +ffffffdf +ffffffff +ffffffff +fffffffb +ffffffff +fffffffe +bfffffff +ffffffff +ffffffff +ffffffff +fdffffff +ffffffff +ff7fffff +ffffffff +ffefffff +ffffffff +ffffffff +ffffffff +ffffffff +ffff7fff +ffffbfff +ffffefff +ffffffff +fffffbff +fffffeff +ffffffff +ffffffff +ffffffff +ffffffff +fffffffb +80000005 +40008000 +fffff7ff +08000008 +aeaaaaaa +0200b504 +ffffdfff +fffffff9 +00400010 +ffffffff +ffff4afd +0004b503 +00020008 +fffffffe +55557556 +00001002 +00000804 +80000200 +3fffffff +00000060 +fffff7ff +00080004 +fffffdff +00000001 +ffffff7f +22000000 +10000000 +24000000 +fffffffa +01400000 +55d55555 +fffffff6 +33333334 +00100080 +00050000 +00021000 +00010006 +00002008 +fffffffd +55555d55 +00000220 +ffffff7f +02000001 +0000b505 +fffffffd +6666f767 +3333b735 +0000b507 +aaaabfaf +5555f557 +0000b505 +0000b507 +0000b505 +6666f765 +3333b737 +5555f555 +0000b507 +0000b505 +fffffffd +6666f767 +3333b737 +0000b505 +aaaabfaf +5555f555 +0000b507 +fffffffd +ffff4afd +ffff6eff +ffff7bfd +ffff4aff +ffffeaff +ffff5fff +ffff4afd +ffffffff +ffff4afd +ffff6efd +ffff7bff +ffff5ffd +ffff4aff +fffffffd +ffff4afd +ffff6eff +ffff7bff +ffff4afd +ffffeaff +ffff5ffd +ffff4aff +6666f767 +ffff6eff +66666667 +77777777 +66666667 +eeeeeeef +77777777 +66666667 +6666f767 +66666667 +66666667 +77777777 +77777777 +66666667 +6666f767 +ffff6eff +66666667 +77777777 +66666667 +eeeeeeef +77777777 +66666667 +3333b735 +ffff7bfd +77777777 +33333334 +33333336 +bbbbbbbf +77777776 +33333334 +3333b737 +33333334 +77777775 +33333336 +77777774 +33333336 +3333b734 +ffff7bfc +77777776 +33333337 +33333335 +bbbbbbbe +77777775 +33333337 +0000b507 +ffff4aff +66666667 +33333336 +00000006 +aaaaaaaf +55555556 +00000006 +0000b507 +00000006 +66666667 +33333336 +55555556 +00000006 +0000b506 +ffff4afe +66666666 +33333337 +00000007 +aaaaaaae +55555557 +00000007 +aaaabfaf +ffffeaff +eeeeeeef +bbbbbbbf +aaaaaaaf +aaaaaaab +ffffffff +aaaaaaaf +aaaabfab +aaaaaaab +eeeeeeef +bbbbbbbb +ffffffff +aaaaaaab +aaaabfaf +ffffeaff +eeeeeeef +bbbbbbbb +aaaaaaaf +aaaaaaab +ffffffff +aaaaaaab +5555f557 +ffff5fff +77777777 +77777776 +55555556 +ffffffff +55555556 +55555556 +5555f557 +55555556 +77777777 +77777776 +55555556 +55555556 +5555f556 +ffff5ffe +77777776 +77777777 +55555557 +fffffffe +55555557 +55555557 +0000b505 +ffff4afd +66666667 +33333334 +00000006 +aaaaaaaf +55555556 +00000004 +0000b507 +00000004 +66666665 +33333336 +55555554 +00000006 +0000b504 +ffff4afc +66666666 +33333337 +00000005 +aaaaaaae +55555555 +00000007 +0000b507 +ffffffff +6666f767 +3333b737 +0000b507 +aaaabfab +5555f557 +0000b507 +0000b503 +0000b503 +6666f767 +3333b733 +5555f557 +0000b503 +0000b507 +ffffffff +6666f767 +3333b733 +0000b507 +aaaabfab +5555f557 +0000b503 +0000b505 +ffff4afd +66666667 +33333334 +00000006 +aaaaaaab +55555556 +00000004 +0000b503 +00000000 +66666665 +33333332 +55555554 +00000002 +0000b504 +ffff4afc +66666666 +33333333 +00000005 +aaaaaaaa +55555555 +00000003 +6666f765 +ffff6efd +66666667 +77777775 +66666667 +eeeeeeef +77777777 +66666665 +6666f767 +66666665 +33333333 +77777777 +33333333 +77777777 +33333333 +3333b737 +ffff7bff +77777777 +33333333 +33333337 +bbbbbbbb +77777777 +33333333 +0000b505 +ffff4afd +66666667 +33333335 +00000007 +aaaaaaaf +55555557 +00000005 +0000b507 +00000005 +66666665 +33333337 +55555555 +00000007 +0000b505 +ffff4afd +66666667 +33333337 +00000005 +aaaaaaaf +55555555 +00000007 +aaaabfaf +ffffeaff +eeeeeeef +bbbbbbbe +aaaaaaae +aaaaaaab +fffffffe +aaaaaaae +aaaabfab +aaaaaaaa +eeeeeeef +bbbbbbba +fffffffe +aaaaaaaa +aaaabfae +ffffeafe +eeeeeeee +bbbbbbbb +aaaaaaaf +aaaaaaaa +ffffffff +aaaaaaab +5555f555 +ffff5ffd +77777777 +77777775 +55555557 +ffffffff +55555557 +55555555 +5555f557 +55555555 +77777775 +77777777 +55555555 +55555557 +5555f555 +ffff5ffd +77777777 +77777777 +55555555 +ffffffff +55555555 +55555557 +0000b507 +ffff4aff +66666667 +33333337 +00000007 +aaaaaaab +55555557 +00000007 +0000b503 +00000003 +66666667 +33333333 +55555557 +00000003 +0000b507 +ffff4aff +66666667 +33333333 +00000007 +aaaaaaab +55555557 +00000003 +66666665 +77777777 +77777775 +66666667 +6666f765 +ffff6efd +66666667 +77777777 +66666665 +eeeeeeef +77777775 +66666667 +3333b737 +ffff7bff +77777777 +33333336 +33333336 +bbbbbbbb +77777776 +33333336 +3333b733 +33333332 +77777777 +33333332 +77777776 +33333332 +3333b736 +ffff7bfe +77777776 +33333333 +33333337 +bbbbbbba +77777777 +33333333 +5555f555 +ffff5ffd +77777777 +77777774 +55555556 +ffffffff +55555556 +55555554 +5555f557 +55555554 +77777775 +77777776 +55555554 +55555556 +5555f554 +ffff5ffc +77777776 +77777777 +55555555 +fffffffe +55555555 +55555557 +0000b507 +ffff4aff +66666667 +33333336 +00000006 +aaaaaaab +55555556 +00000006 +0000b503 +00000002 +66666667 +33333332 +55555556 +00000002 +0000b506 +ffff4afe +66666666 +33333333 +00000007 +aaaaaaaa +55555557 +00000003 +0000b505 +fffffffd +6666f767 +3333b734 +0000b506 +aaaabfaf +5555f556 +0000b504 +0000b507 +0000b504 +6666f765 +3333b736 +5555f554 +0000b506 +0000b504 +fffffffc +6666f766 +3333b737 +0000b505 +aaaabfae +5555f555 +0000b507 +fffffffd +ffff4afd +ffff6eff +ffff7bfc +ffff4afe +ffffeaff +ffff5ffe +ffff4afc +ffffffff +ffff4afc +ffff6efd +ffff7bfe +ffff5ffc +ffff4afe +fffffffc +ffff4afc +ffff6efe +ffff7bff +ffff4afd +ffffeafe +ffff5ffd +ffff4aff +6666f767 +ffff6eff +66666667 +77777776 +66666666 +eeeeeeef +77777776 +66666666 +6666f767 +66666666 +66666667 +77777776 +77777776 +66666666 +6666f766 +ffff6efe +66666666 +77777777 +66666667 +eeeeeeee +77777777 +66666667 +3333b737 +ffff7bff +77777777 +33333337 +33333337 +bbbbbbbb +77777777 +33333337 +3333b733 +dfffffff +efffffff +feffffff +ff7fffff +ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output new file mode 100644 index 000000000..f97d23397 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output @@ -0,0 +1,557 @@ +fffff800 +00000000 +bfffffff +dfffffff +efffffff +f7ffffff +fbffffff +fdffffff +feffffff +ffffffff +ffffffff +ffdfffff +ffffffff +ffffffff +fffbffff +fffdffff +fffeffff +ffff7fff +ffffbfff +ffffffff +ffffffff +fffff7ff +ffffffff +fffffdff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +fffffff7 +fffffffb +ffffffff +ffffffff +000027ff +fffffbff +fffffdff +ffffffff +ffffffff +ffffffff +80000000 +fffffffe +20000555 +fffffaaa +ffffffff +04000332 +02000556 +fffffbff +fffffeff +00400000 +ffffffdf +fffffbff +00040556 +00010001 +00008200 +ffffffd4 +fffffff8 +fffffff8 +ffffffd3 +ffffffd4 +00000102 +00000080 +00000046 +fffffff4 +00000555 +fffffffe +000003ff +00000002 +00000001 +20000100 +00000044 +33333334 +33333333 +fffffff8 +0000b52f +ffffffd5 +0000b767 +0000b735 +0000b507 +ffffffaf +0000b557 +0000b505 +0000b52d +0000b505 +0000b765 +0000b737 +0000b555 +0000b507 +0000b52d +ffffffd7 +0000b767 +0000b737 +0000b505 +ffffffaf +0000b555 +0000b507 +ffff4aff +fffffffd +ffff4eff +ffff4bfd +ffff4aff +fffffaff +ffff4fff +ffff4afd +ffff4afd +ffff4afd +ffff4efd +ffff4bff +ffff4ffd +ffff4aff +ffff4afd +ffffffff +ffff4eff +ffff4bff +ffff4afd +fffffaff +ffff4ffd +ffff4aff +6666666f +fffffff7 +66666667 +66666777 +66666667 +fffffeef +66666777 +66666667 +6666666f +66666667 +66666667 +66666777 +66666777 +66666667 +6666666f +fffffff7 +66666667 +66666777 +66666667 +fffffeef +66666777 +66666667 +3333333e +fffffff4 +33333777 +33333334 +33333336 +fffffbbf +33333776 +33333334 +3333333c +33333334 +33333775 +33333336 +33333774 +33333336 +3333333d +fffffff7 +33333776 +33333337 +33333335 +fffffbbe +33333775 +33333337 +0000002e +ffffffd6 +00000667 +00000336 +00000006 +fffffaaf +00000556 +00000006 +0000002e +00000006 +00000667 +00000336 +00000556 +00000006 +0000002f +ffffffd7 +00000666 +00000337 +00000007 +fffffaae +00000557 +00000007 +aaaaaaaf +ffffffff +aaaaaeef +aaaaabbf +aaaaaaaf +fffffaab +aaaaafff +aaaaaaaf +aaaaaaaf +aaaaaaab +aaaaaeef +aaaaabbb +aaaaafff +aaaaaaab +aaaaaaaf +fffffffb +aaaaaeef +aaaaabbb +aaaaaaaf +fffffaab +aaaaafff +aaaaaaab +5555557e +ffffffd6 +55555777 +55555776 +55555556 +ffffffff +55555556 +55555556 +5555557e +55555556 +55555777 +55555776 +55555556 +55555556 +5555557f +ffffffd7 +55555776 +55555777 +55555557 +fffffffe +55555557 +55555557 +0000002e +ffffffd4 +00000667 +00000334 +00000006 +fffffaaf +00000556 +00000004 +0000002c +00000004 +00000665 +00000336 +00000554 +00000006 +0000002d +ffffffd7 +00000666 +00000337 +00000005 +fffffaae +00000555 +00000007 +0000b52f +ffffffd7 +0000b767 +0000b737 +0000b507 +ffffffab +0000b557 +0000b507 +0000b52f +0000b503 +0000b767 +0000b733 +0000b557 +0000b503 +0000b52f +ffffffd3 +0000b767 +0000b733 +0000b507 +ffffffab +0000b557 +0000b503 +0000002e +ffffffd4 +00000667 +00000334 +00000006 +fffffaab +00000556 +00000004 +0000002c +00000000 +00000665 +00000332 +00000554 +00000002 +0000002d +ffffffd3 +00000666 +00000333 +00000005 +fffffaaa +00000555 +00000003 +6666666f +fffffff5 +66666667 +66666775 +66666667 +fffffeef +66666777 +66666665 +6666666d +fffffaab +aaaaaffe +aaaaaaae +aaaaaaae +aaaaaaaa +aaaaaeef +aaaaabba +aaaaaffe +aaaaaaaa +aaaaaaaf +fffffffb +aaaaaeee +aaaaabbb +aaaaaaaf +fffffaaa +aaaaafff +aaaaaaab +5555557f +ffffffd5 +55555777 +55555775 +55555557 +ffffffff +55555557 +55555555 +5555557d +55555555 +55555775 +55555777 +55555555 +55555557 +5555557d +ffffffd7 +55555777 +55555777 +55555555 +ffffffff +55555555 +55555557 +0000002f +ffffffd7 +00000667 +00000337 +00000007 +fffffaab +00000557 +00000007 +0000002f +00000003 +00000667 +00000333 +00000557 +00000003 +0000002f +ffffffd3 +00000667 +00000333 +00000007 +fffffaab +00000557 +00000003 +66666665 +66666665 +66666777 +66666775 +66666667 +6666666d +fffffff7 +66666667 +66666777 +66666665 +fffffeef +66666775 +66666667 +3333333e +fffffff6 +33333777 +33333336 +33333336 +fffffbbb +33333776 +33333336 +3333333e +33333332 +33333777 +33333332 +33333776 +33333332 +3333333f +fffffff3 +33333776 +33333333 +33333337 +fffffbba +33333777 +33333333 +5555557e +ffffffd4 +55555777 +55555774 +55555556 +ffffffff +55555556 +55555554 +5555557c +55555554 +55555775 +55555776 +55555554 +55555556 +5555557d +ffffffd7 +55555776 +55555777 +55555555 +fffffffe +55555555 +55555557 +0000002e +ffffffd6 +00000667 +00000336 +00000006 +fffffaab +00000556 +00000006 +0000002e +00000002 +00000667 +00000332 +00000556 +0000002f +ffffffd3 +00000666 +00000333 +00000007 +fffffaaa +00000557 +00000003 +0000b52e +ffffffd4 +0000b767 +0000b734 +0000b506 +ffffffaf +0000b556 +0000b504 +0000b52c +0000b504 +0000b765 +0000b736 +0000b554 +0000b506 +0000b52d +ffffffd7 +0000b766 +0000b737 +0000b505 +ffffffae +0000b555 +0000b507 +ffff4afe +fffffffc +ffff4eff +ffff4bfc +ffff4afe +fffffaff +ffff4ffe +ffff4afc +ffff4afc +ffff4afc +ffff4efd +ffff4bfe +ffff4ffc +ffff4afe +ffff4afd +ffffffff +ffff4efe +ffff4bff +ffff4afd +fffffafe +ffff4ffd +ffff4aff +6666666e +fffffff6 +66666667 +66666776 +66666666 +fffffeef +66666776 +66666666 +6666666e +66666666 +66666667 +66666776 +66666776 +66666666 +6666666f +fffffff7 +66666666 +66666777 +66666667 +fffffeee +66666777 +66666667 +3333333f +fffffff7 +33333777 +33333337 +33333337 +fffffbbb +33333777 +33333337 +3333333f +33333333 +33333777 +33333333 +33333777 +33333333 +3333333f +fffffff3 +33333777 +33333333 +33333337 +fffffbbb +33333777 +33333333 +0000002f +ffffffd5 +00000667 +00000335 +00000007 +fffffaaf +00000557 +00000005 +0000002d +00000005 +00000665 +00000337 +00000555 +00000007 +0000002d +ffffffd7 +00000667 +00000337 +00000005 +fffffaaf +00000555 +00000007 +aaaaaaae +fffffffe +aaaaaeef +aaaaabbe +aaaaaaae +7fffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output new file mode 100644 index 000000000..cd1d694f9 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output @@ -0,0 +1,78 @@ +deadbe00 +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbe7f +deadbebf +deadbedf +deadbeef +deadbef7 +deadbefb +deadbefd +deadbefe +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe01 +deadbeaa +deadbe55 +deadfbef +deadffef +dead08ef +deadf8ef +de00beef +debfbeef +def8beef +de09beef +10adbeef +ffadbeef +00adbeef +deadbe40 +00adbeef +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe80 +deadbe20 +deadbe04 +deadbe02 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output new file mode 100644 index 000000000..fcfc68eca --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output @@ -0,0 +1,71 @@ +dead0001 +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +dead0000 +deadffff +deadffff +deadffff +dead7fff +deadbfff +deaddfff +deadefff +deadf7ff +deadfbff +deadfdff +deadfeff +deadff7f +deadffbf +deadffdf +deadffef +deadfff7 +deadfffb +deadfffd +deadfffe +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +deadaaaa +dead5555 +ffffbeef +0800beef +0003beef +fffabeef +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead8000 +dead4000 +dead2000 +dead1000 +dead0400 +dead0200 +dead0100 +dead0080 +dead0040 +dead0020 +dead0010 +dead0008 +dead0004 +dead0002 +deadffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output new file mode 100644 index 000000000..bce59d089 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output @@ -0,0 +1,88 @@ +c0000000 +fff78000 +7f800000 +c0000000 +00000000 +c0000000 +fffffe00 +fffe0000 +bffffffe +ffffc000 +c0000000 +ff800000 +ffffff00 +ffffe000 +ffe00000 +fffff800 +fffff800 +ffbffffc +effffe00 +ffff8000 +f7fffc00 +fffbfffc +fff7fff0 +ffffbfff +fbffe000 +c0000000 +f7ff0000 +ffff7fe0 +ffffbfe0 +ff7f0000 +ffdf0000 +fff70000 +fffd8000 +ffffffd0 +fffffff8 +00000000 +00000000 +00000000 +20000000 +00000000 +80000000 +10000000 +00000000 +08000000 +00400000 +00000000 +00000000 +04000000 +00000000 +00000000 +00000000 +08000000 +00000000 +00200000 +00400000 +00200000 +08000000 +00000000 +00008000 +00000000 +00010000 +00008000 +08000000 +00000000 +10000000 +80000000 +a8280000 +ff4afd00 +9999999c +66666680 +000c0000 +55560000 +aab00000 +0000b503 +00060000 +aaaa0000 +55540000 +00000000 +00000008 +66666500 +cccc8000 +55555554 +d4100000 +ccc00000 +ccccccc0 +00001400 +e0000000 +80000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output new file mode 100644 index 000000000..242ca0707 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output @@ -0,0 +1,89 @@ +80000000 +f8000000 +ffffff80 +bffffffe +fffc0000 +f7ffffff +00000000 +ffff8000 +fff80000 +ffff0000 +80000000 +f8000000 +fffff000 +effffe00 +fffbffff +00000000 +f7fff800 +fffe0000 +f8000000 +bffe0000 +e0000000 +fdffc000 +ff800000 +ff7fc000 +fdfe0000 +ffdfc000 +ffefc000 +ffffdf00 +fffffbc0 +ffffff70 +fffb0000 +fffffa00 +ffffff00 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00400000 +08000000 +00000000 +00000000 +00000000 +00000000 +40000000 +08000000 +00004000 +00002000 +00002000 +00000000 +00400000 +00001000 +20000000 +00000000 +00001000 +00010000 +00000010 +00400000 +00000040 +00001000 +00004000 +fffffdfc +5a828000 +7e800000 +38000000 +99a00000 +00003000 +aaac0000 +80000000 +000c0000 +aaaa0000 +aaaaaa80 +ffbffc00 +002d40c0 +cccca000 +66666664 +80000000 +6a080000 +57e00000 +c0000000 +cccccc00 +28000000 +ffffff80 +ffff0000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output new file mode 100644 index 000000000..211acb80a --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output @@ -0,0 +1,581 @@ +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output new file mode 100644 index 000000000..65bf06a2b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output @@ -0,0 +1,561 @@ +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output new file mode 100644 index 000000000..8bef9035d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output @@ -0,0 +1,697 @@ +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output new file mode 100644 index 000000000..95fdde41a --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output @@ -0,0 +1,722 @@ +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output new file mode 100644 index 000000000..76d4a21d6 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output @@ -0,0 +1,90 @@ +aaaaaaaa +ffffffef +00000000 +ffffffff +fff55555 +00000000 +000003ff +dfffffff +ffbfffff +fff7ffff +f7ffffff +fffffff7 +00000000 +fffffbff +ffffffbf +ffffffff +ffffffbf +fffbffff +fffffdff +ffffffff +fffffffe +ffffffff +ffffefff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +fffffffd +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +00000000 +fffff000 +00000008 +00100000 +00020000 +00000010 +00004000 +00000100 +00000080 +00800000 +00000800 +00001000 +00080000 +00000200 +00000000 +00000040 +00000040 +00000000 +00000000 +00000000 +00000008 +00000020 +00000000 +00000000 +00000010 +00000002 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +ffffff4a +00006666 +000ccccc +00000000 +000002aa +00000000 +00000000 +00000aaa +0000016a +0000000c +00000199 +00aaaaaa +00000001 +ffffffff +00000001 +00000001 +00000000 +fffffffd +00000000 +fffeffff +fffeffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output new file mode 100644 index 000000000..d0482c92b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output @@ -0,0 +1,87 @@ +00000000 +0007ffff +ff7fffff +efffffff +ffffffbf +fffeffff +ff7fffff +00000000 +ffffffff +ffffdfff +ffffbfff +ffffdfff +ffffefff +fffffbff +ffffffff +ffffefff +fffffeff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffff7f +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +00000000 +fffffff5 +00000000 +e0000000 +10000000 +02000000 +00004000 +00000040 +00004000 +00010000 +00000400 +00001000 +00000020 +00020000 +00000000 +00000000 +00000002 +00000100 +00000001 +00000020 +00000000 +00000100 +00000020 +00000000 +00000000 +00000000 +00000020 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000016 +fffffffe +00666666 +00033333 +00000000 +fff55555 +00000000 +00000001 +00000000 +00000002 +15555555 +00005a81 +00006666 +00000ccc +0000000a +00000000 +ffffffff +00019999 +00000ccc +ffffffff +ffffffbf diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output new file mode 100644 index 000000000..1ff02aae6 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output @@ -0,0 +1,89 @@ +fffffff7 +fffffffa +000001ff +00000001 +00000001 +00000002 +0000000f +00001bff +00000000 +00001eff +0000001f +03f7ffff +0003fdff +00ffbfff +00000000 +00000007 +0fffbfff +00fffdff +00000003 +00000007 +003fffef +0003ffff +00000001 +00000003 +00007fff +00000007 +0007ffff +007fffff +000007ff +0003ffff +fffffffb +00000001 +07ffffff +00000008 +00002d41 +08000000 +00000100 +01000000 +00080000 +00000400 +00001000 +00000080 +00004000 +00000010 +00000800 +00002000 +00000040 +00000002 +00000008 +00000000 +00000001 +00000001 +00000020 +00000040 +00000000 +00000000 +00000040 +00000000 +00000000 +00000004 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +03fffd2b +00019999 +00199999 +00000000 +0002aaaa +55555556 +00000005 +00000000 +0aaaaaaa +00aaaaaa +00000000 +0000000c +00001999 +00000aaa +00000000 +0ffff4af +0000000c +00000199 +00000000 +0000001f +00000007 +0003bfff +0ffdffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output new file mode 100644 index 000000000..8cd9ce217 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output @@ -0,0 +1,88 @@ +00000001 +007fffff +00000000 +037fffff +003bffff +3dffffff +00003eff +0000fdff +7f7fffff +00007fbf +0001ff7f +01ffbfff +00000000 +000007ff +0000fffb +00007ffe +001fffdf +00003fff +ffffbfff +00001fff +003ffffb +000007ff +03ffffef +00001fff +00fffffe +00ffffff +3ffffff7 +00003fff +3ffffffd +03ffffff +0001ffff +000fffff +00000000 +00000006 +00000000 +00200000 +40000000 +00001000 +00000800 +00010000 +00000000 +00000040 +00000800 +00010000 +00010000 +00020000 +00000800 +00000100 +00000002 +00010000 +00000000 +00000100 +00000200 +00000000 +00000008 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000005 +01fffe95 +000ccccc +0000cccc +00000000 +55555555 +00005555 +00000000 +00000000 +00000000 +2aaaaaaa +000aaaaa +00000000 +00000333 +00cccccc +01555555 +00000000 +00ffff4a +00333333 +00000000 +00000000 +0000017f +000ffeff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output new file mode 100644 index 000000000..69e62adb8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output @@ -0,0 +1,584 @@ +01c00000 +ffbfffff +3ffffffb +00000000 +00000000 +07fffffc +04000001 +01fffc00 +01000011 +00800081 +00400003 +00200005 +000ffe00 +00000000 +00040001 +ffe20000 +0000fffc +00008041 +f0004000 +33335333 +20001001 +ffc00800 +00000421 +fffc0200 +ffe00100 +ffff4b7e +55555597 +00000023 +aaaaaabc +00010009 +00040005 +0000b508 +ffff4aff +d5555555 +bbffffff +bfffffff +f8008000 +fbffffff +cbcccccb +ccbccccb +ff77ffff +fffdfff9 +ffff0080 +fffecafc +ffffbff7 +ffffe003 +fffbefff +1ffff800 +07ffff00 +fffff77f +0000ffc0 +0007ffe0 +001ffff0 +07fffff8 +ffffdffd +2aaaaaab +bfff7fff +f2000000 +a2aaaaab +fdffdfff +feffffef +ffe00010 +33233333 +fef7ffff +00060000 +07ff0000 +001f8000 +f7ffbfff +1ffff000 +3ffffc00 +ffff7dff +55555454 +333332b3 +ffffffc4 +fffffbdf +fffffff2 +ffbffffb +00000000 +1fffffff +7ffffc00 +0ffffffe +00000000 +01040001 +08800001 +99d9999a +80100000 +02020001 +00008000 +00003ffb +ffff6afb +00000f80 +80000800 +ff800400 +00000204 +e0000100 +ffffc008 +00020002 +00000000 +00016a08 +999a4e9e +cccd81d1 +0000b4ff +55560a5a +aaab5faf +0000b501 +00000002 +0000b505 +999a4ea0 +cccd81d3 +aaab5fb1 +0000b503 +00000001 +00016a09 +999a4e9f +cccd81d2 +0000b500 +55560a5b +aaab5fb0 +0000b502 +fffe95f8 +00000000 +9998e496 +cccc17c9 +ffff4af7 +5554a052 +aaa9f5a7 +ffff4af9 +fffe95fa +ffff4afd +9998e498 +cccc17cb +aaa9f5a9 +ffff4afb +fffe95f9 +00000001 +9998e497 +cccc17ca +ffff4af8 +5554a053 +aaa9f5a8 +ffff4afa +6665b162 +66671b6a +00000000 +33333333 +66666661 +bbbbbbbc +11111111 +66666663 +6665b164 +66666667 +00000002 +33333335 +11111113 +66666665 +6665b163 +66671b6b +00000001 +33333334 +66666662 +bbbbbbbd +11111112 +66666664 +33327e2f +3333e837 +cccccccd +00000000 +3333332e +88888889 +ddddddde +33333330 +33327e31 +33333334 +cccccccf +00000002 +dddddde0 +33333332 +33327e30 +3333e838 +ccccccce +00000001 +3333332f +8888888a +dddddddf +33333331 +ffff4b01 +0000b509 +9999999f +ccccccd2 +00000000 +5555555b +aaaaaab0 +00000002 +ffff4b03 +00000006 +999999a1 +ccccccd4 +aaaaaab2 +00000004 +ffff4b02 +0000b50a +999999a0 +ccccccd3 +00000001 +5555555c +aaaaaab1 +00000003 +aaa9f5a6 +aaab5fae +44444444 +77777777 +aaaaaaa5 +00000000 +55555555 +aaaaaaa7 +aaa9f5a8 +aaaaaaab +44444446 +77777779 +55555557 +aaaaaaa9 +aaa9f5a7 +aaab5faf +44444445 +77777778 +aaaaaaa6 +00000001 +55555556 +aaaaaaa8 +5554a051 +55560a59 +eeeeeeef +22222222 +55555550 +aaaaaaab +00000000 +55555552 +5554a053 +55555556 +eeeeeef1 +22222224 +00000002 +55555554 +5554a052 +55560a5a +eeeeeef0 +22222223 +55555551 +aaaaaaac +00000001 +55555553 +ffff4aff +0000b507 +9999999d +ccccccd0 +fffffffe +55555559 +aaaaaaae +00000000 +ffff4b01 +00000004 +9999999f +ccccccd2 +aaaaaab0 +00000002 +ffff4b00 +0000b508 +9999999e +ccccccd1 +ffffffff +5555555a +aaaaaaaf +00000001 +fffffffe +00016a06 +999a4e9c +cccd81cf +0000b4fd +55560a58 +aaab5fad +0000b4ff +00000000 +0000b503 +999a4e9e +cccd81d1 +aaab5faf +0000b501 +ffffffff +00016a07 +999a4e9d +cccd81d0 +0000b4fe +55560a59 +aaab5fae +0000b500 +ffff4afb +0000b503 +99999999 +cccccccc +fffffffa +55555555 +aaaaaaaa +fffffffc +ffff4afd +00000000 +9999999b +ccccccce +aaaaaaac +fffffffe +ffff4afc +0000b504 +9999999a +cccccccd +fffffffb +55555556 +aaaaaaab +fffffffd +6665b160 +66671b68 +fffffffe +33333331 +6666665f +bbbbbbba +1111110f +66666661 +6665b162 +66666665 +33333333 +ccccccce +00000001 +dddddddf +33333331 +33327e2f +3333e837 +cccccccd +00000000 +3333332e +88888889 +ddddddde +33333330 +ffff4b00 +0000b508 +9999999e +ccccccd1 +ffffffff +5555555a +aaaaaaaf +00000001 +ffff4b02 +00000005 +999999a0 +ccccccd3 +aaaaaab1 +00000003 +ffff4b01 +0000b509 +9999999f +ccccccd2 +00000000 +5555555b +aaaaaab0 +00000002 +aaa9f5a5 +aaab5fad +44444443 +77777776 +aaaaaaa4 +ffffffff +55555554 +aaaaaaa6 +aaa9f5a7 +aaaaaaaa +44444445 +77777778 +55555556 +aaaaaaa8 +aaa9f5a6 +aaab5fae +44444444 +77777777 +aaaaaaa5 +00000000 +55555555 +aaaaaaa7 +5554a050 +55560a58 +eeeeeeee +22222221 +5555554f +aaaaaaaa +ffffffff +55555551 +5554a052 +55555555 +eeeeeef0 +22222223 +00000001 +55555553 +5554a051 +55560a59 +eeeeeeef +22222222 +55555550 +aaaaaaab +00000000 +55555552 +ffff4afe +0000b506 +9999999c +cccccccf +fffffffd +55555558 +aaaaaaad +ffffffff +ffff4b00 +00000003 +9999999e +ccccccd1 +aaaaaaaf +00000001 +ffff4aff +0000b507 +9999999d +ccccccd0 +fffffffe +55555559 +aaaaaaae +00000000 +00000000 +33333333 +11111111 +66666663 +6665b161 +66671b69 +ffffffff +33333332 +66666660 +bbbbbbbb +11111110 +66666662 +33327e2d +3333e835 +cccccccb +fffffffe +3333332c +88888887 +dddddddc +3333332e +33327e2f +33333332 +cccccccd +00000000 +ddddddde +33333330 +33327e2e +3333e836 +cccccccc +ffffffff +3333332d +88888888 +dddddddd +3333332f +5554a04f +55560a57 +eeeeeeed +22222220 +5555554e +aaaaaaa9 +fffffffe +55555550 +5554a051 +55555554 +eeeeeeef +22222222 +00000000 +55555552 +5554a050 +55560a58 +eeeeeeee +22222221 +5555554f +aaaaaaaa +ffffffff +55555551 +ffff4afd +0000b505 +9999999b +ccccccce +fffffffc +55555557 +aaaaaaac +fffffffe +ffff4aff +00000002 +9999999d +ccccccd0 +aaaaaaae +ffff4afe +0000b506 +9999999c +cccccccf +fffffffd +55555558 +aaaaaaad +ffffffff +ffffffff +00016a07 +999a4e9d +cccd81d0 +0000b4fe +55560a59 +aaab5fae +0000b500 +00000001 +0000b504 +999a4e9f +cccd81d2 +aaab5fb0 +0000b502 +00000000 +00016a08 +999a4e9e +cccd81d1 +0000b4ff +55560a5a +aaab5faf +0000b501 +fffe95f7 +ffffffff +9998e495 +cccc17c8 +ffff4af6 +5554a051 +aaa9f5a6 +ffff4af8 +fffe95f9 +ffff4afc +9998e497 +cccc17ca +aaa9f5a8 +ffff4afa +fffe95f8 +00000000 +9998e496 +cccc17c9 +ffff4af7 +5554a052 +aaa9f5a7 +ffff4af9 +6665b161 +66671b69 +ffffffff +33333332 +66666660 +bbbbbbbb +11111110 +66666662 +6665b163 +66666666 +00000001 +33333334 +11111112 +66666664 +6665b162 +66671b6a +00000000 +33333333 +66666661 +bbbbbbbc +11111111 +66666663 +33327e2e +3333e836 +cccccccc +ffffffff +3333332d +88888888 +dddddddd +3333332f +33327e30 +7fc00000 +1f800000 +0e000000 +0007ffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output new file mode 100644 index 000000000..3fff83574 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output @@ -0,0 +1,68 @@ +04000000 +7fffffff +00000000 +dfffffff +efffffff +f7ffffff +fbffffff +fdffffff +feffffff +ff7fffff +ffbfffff +ffdfffff +ffefffff +fff7ffff +fffbffff +fffdffff +fffeffff +ffff7fff +ffffbfff +ffffdfff +ffffefff +fffff7ff +fffffbff +fffffdff +fffffeff +ffffff7f +ffffffbf +ffffffdf +ffffffef +fffffff7 +fffffffb +fffffffd +fffffffe +80000000 +40000000 +20000000 +00000001 +aaaaaaaa +55555555 +00000000 +10000000 +08000000 +02000000 +01000000 +00800000 +00400000 +00200000 +00100000 +00080000 +00040000 +00020000 +00010000 +00008000 +00004000 +00002000 +00001000 +00000800 +00000400 +00000200 +00000100 +00000080 +00000040 +00000020 +00000010 +00000008 +00000004 +00000002 +bfffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output new file mode 100644 index 000000000..dcd028b8d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output @@ -0,0 +1,583 @@ +ffedffff +80100000 +00000000 +00000000 +00000000 +91999998 +04000040 +fdff7fff +feff4afc +00800010 +ffbbffff +ffffffff +ffffffff +fffbffff +00020007 +fffefffd +00048000 +ffffbffd +ffffdffa +04001000 +fffff7fd +fffffbfd +fffffdfc +ffff7eff +40000080 +08000040 +ffffffd8 +ffffffec +fffffff7 +00000001 +aaaaaaa8 +fffffffe +2aaaaaaa +dfdfffff +1000b503 +fdfffffd +01000005 +00800020 +00400002 +55755555 +3ff7ffff +ffedffff +ffffcafb +00004005 +ffffdbff +01001000 +fffff7f6 +fefffbff +fbfffdff +effffeff +fffdff7f +ffffffdf +ccccccc4 +dffffffb +ffff4afe +00400001 +80000009 +80000000 +08000007 +02000004 +33b33333 +fdbfffff +00080100 +33373333 +fffeffff +ffffcafc +00004020 +ffffdff6 +33332333 +33333b34 +00000a00 +00000100 +00020080 +aaaaaaeb +ffffffcf +fffffdef +01000008 +08000004 +00400001 +7fff4afd +afffffff +dfffffdf +10020000 +04000000 +fdfff7ff +0080b503 +00100003 +66676667 +ffffafff +55554555 +08000200 +00000086 +fffffbbf +ffffffeb +ffffffe7 +00000000 +fffffff8 +6666d362 +33338631 +0000b503 +aaaa1fae +5555e053 +0000b501 +00000006 +0000b505 +6666d360 +33338637 +5555e051 +0000b507 +00000001 +fffffff9 +6666d363 +33338636 +0000b500 +aaaa1faf +5555e050 +0000b506 +fffffff8 +00000000 +99992c9a +cccc79c9 +ffff4afb +5555e056 +aaaa1fab +ffff4af9 +fffffffe +ffff4afd +99992c98 +cccc79cf +aaaa1fa9 +ffff4aff +fffffff9 +00000001 +99992c9b +cccc79ce +ffff4af8 +5555e057 +aaaa1fa8 +ffff4afe +6666d362 +99992c9a +00000000 +55555553 +66666661 +cccccccc +33333331 +66666663 +6666d364 +66666667 +00000002 +55555555 +33333333 +66666665 +6666d363 +99992c9b +00000001 +55555554 +66666662 +cccccccd +33333332 +66666664 +33338631 +cccc79c9 +55555553 +00000000 +33333332 +9999999f +66666662 +33333330 +33338637 +33333334 +55555551 +00000006 +66666660 +33333336 +33338630 +cccc79c8 +55555552 +00000007 +33333331 +9999999e +66666661 +33333337 +0000b503 +ffff4afb +66666661 +33333332 +00000000 +aaaaaaad +55555550 +00000002 +0000b505 +00000006 +66666663 +33333334 +55555552 +00000004 +0000b502 +ffff4afa +66666660 +33333335 +00000003 +aaaaaaac +55555553 +00000005 +aaaa1fae +5555e056 +cccccccc +9999999f +aaaaaaad +00000000 +fffffffd +aaaaaaaf +aaaa1fa8 +aaaaaaab +ccccccce +99999999 +ffffffff +aaaaaaa9 +aaaa1faf +5555e057 +cccccccd +99999998 +aaaaaaae +00000001 +fffffffe +aaaaaaa8 +5555e053 +aaaa1fab +33333331 +66666662 +55555550 +fffffffd +00000000 +55555552 +5555e055 +55555556 +33333333 +66666664 +00000002 +55555554 +5555e052 +aaaa1faa +33333330 +66666665 +55555553 +fffffffc +00000003 +55555555 +0000b501 +ffff4af9 +66666663 +33333330 +00000002 +aaaaaaaf +55555552 +00000000 +0000b507 +00000004 +66666661 +33333336 +55555550 +00000006 +0000b500 +ffff4af8 +66666662 +33333337 +00000001 +aaaaaaae +55555551 +00000007 +00000006 +fffffffe +6666d364 +33338637 +0000b505 +aaaa1fa8 +5555e055 +0000b507 +00000000 +0000b503 +6666d366 +33338631 +5555e057 +0000b501 +00000007 +ffffffff +6666d365 +33338630 +0000b506 +aaaa1fa9 +5555e056 +0000b500 +0000b505 +ffff4afd +66666667 +33333334 +00000006 +aaaaaaab +55555556 +00000004 +0000b503 +00000000 +66666665 +33333332 +55555554 +00000002 +0000b504 +ffff4afc +66666666 +33333333 +00000005 +aaaaaaaa +55555555 +00000003 +6666d360 +99992c98 +00000002 +55555551 +66666663 +ccccccce +33333333 +66666661 +6666d366 +66666665 +33333333 +55555556 +00000001 +66666667 +33333331 +33338637 +cccc79cf +55555555 +00000000 +33333336 +99999999 +66666666 +33333330 +0000b500 +ffff4af8 +66666662 +33333331 +00000003 +aaaaaaae +55555553 +00000001 +0000b506 +00000005 +66666660 +33333337 +55555551 +00000007 +0000b501 +ffff4af9 +66666663 +33333336 +00000000 +aaaaaaaf +55555550 +00000006 +aaaa1faf +5555e057 +cccccccd +9999999e +aaaaaaac +00000001 +fffffffc +aaaaaaae +aaaa1fa9 +aaaaaaaa +cccccccf +99999998 +fffffffe +aaaaaaa8 +aaaa1fae +5555e056 +cccccccc +99999999 +aaaaaaaf +00000000 +ffffffff +aaaaaaa9 +5555e050 +aaaa1fa8 +33333332 +66666661 +55555553 +fffffffe +00000003 +55555551 +5555e056 +55555555 +33333330 +66666667 +00000001 +55555557 +5555e051 +aaaa1fa9 +33333333 +66666666 +55555550 +ffffffff +00000000 +55555556 +0000b506 +ffff4afe +66666664 +33333337 +00000005 +aaaaaaa8 +55555555 +00000007 +0000b500 +00000003 +66666666 +33333331 +55555557 +00000001 +0000b507 +ffff4aff +66666665 +33333330 +00000006 +aaaaaaa9 +55555556 +00000000 +00000000 +55555557 +33333331 +66666667 +6666d361 +99992c99 +00000003 +55555556 +66666660 +cccccccf +33333330 +66666666 +33338637 +cccc79cf +55555555 +00000006 +33333334 +99999999 +66666664 +33333336 +33338631 +33333332 +55555557 +00000000 +66666666 +33333330 +33338636 +cccc79ce +55555554 +00000001 +33333337 +99999998 +66666667 +33333331 +5555e051 +aaaa1fa9 +33333333 +66666660 +55555552 +ffffffff +00000002 +55555550 +5555e057 +55555554 +33333331 +66666666 +00000000 +55555556 +5555e050 +aaaa1fa8 +33333332 +66666667 +55555551 +fffffffe +00000001 +55555557 +0000b507 +ffff4aff +66666665 +33333336 +00000004 +aaaaaaa9 +55555554 +00000006 +0000b501 +00000002 +66666667 +33333330 +55555556 +00000000 +0000b506 +ffff4afe +66666664 +33333331 +00000007 +aaaaaaa8 +55555557 +00000001 +00000001 +fffffff9 +6666d363 +33338630 +0000b502 +aaaa1faf +5555e052 +0000b500 +00000007 +0000b504 +6666d361 +33338636 +5555e050 +0000b506 +00000000 +fffffff8 +6666d362 +33338637 +0000b501 +aaaa1fae +5555e051 +0000b507 +fffffff9 +00000001 +99992c9b +cccc79c8 +ffff4afa +5555e057 +aaaa1faa +ffff4af8 +ffffffff +ffff4afc +99992c99 +cccc79ce +aaaa1fa8 +ffff4afe +fffffff8 +00000000 +99992c9a +cccc79cf +ffff4af9 +5555e056 +aaaa1fa9 +ffff4aff +6666d363 +99992c9b +00000001 +55555552 +66666660 +cccccccd +33333330 +66666662 +6666d365 +66666666 +00000003 +55555554 +33333332 +66666664 +6666d362 +99992c9a +00000000 +55555555 +66666663 +cccccccc +33333333 +66666665 +33338636 +cccc79ce +55555554 +00000007 +33333335 +99999998 +66666665 +33333337 +33338630 +bffffbff +dfffdfff +effffffe +00050000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output new file mode 100644 index 000000000..72862a594 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output @@ -0,0 +1,559 @@ +000207ff +80000555 +40000100 +dffffffd +effffff9 +0800002b +fbffffef +fdfffccc +fefffffa +00800040 +ffbffff8 +00200009 +ffeff99a +00080003 +fffbffd1 +00000200 +00000000 +00004000 +00002003 +ffffefd1 +fffff2ab +fffffbf9 +00000205 +00000000 +00000480 +ffffffbe +ffffffdc +00000012 +fffffaa2 +fffffaaf +fffffaab +ffffffd2 +66666199 +00001200 +00020080 +00100020 +00000011 +00100008 +00002004 +fffbfffe +7ffffff8 +40000040 +20000001 +10000006 +080003ff +04000005 +fdffffd3 +01000003 +0080002e +ffbffffd +ffdfffd4 +00100554 +fff7fdff +fffdfffe +00010003 +000087ff +ffffbfbf +00002007 +00001004 +00000c00 +00000267 +00000204 +fffffef8 +ffffff7e +00000040 +00000020 +00000015 +0000000b +ffffffd7 +00000556 +ffffffee +3ffffeff +aaaaaa2a +00000021 +fffffff1 +0000b52b +ffff4ad1 +0000b362 +0000b631 +0000b503 +ffff4fae +0000b053 +0000b501 +0000b529 +0000b505 +0000b360 +0000b637 +0000b051 +0000b507 +0000b528 +ffff4ad6 +0000b363 +0000b636 +0000b500 +ffff4faf +0000b050 +0000b506 +ffff4ad3 +0000b529 +ffff4c9a +ffff49c9 +ffff4afb +0000b056 +ffff4fab +ffff4af9 +ffff4ad1 +ffff4afd +ffff4c98 +ffff49cf +ffff4fa9 +ffff4aff +ffff4ad0 +0000b52e +ffff4c9b +ffff49ce +ffff4af8 +0000b057 +ffff4fa8 +ffff4afe +66666649 +999999b3 +66666000 +66666553 +66666661 +99999ccc +66666331 +66666663 +6666664b +66666667 +66666002 +66666555 +66666333 +66666665 +6666664a +999999b4 +66666001 +66666554 +66666662 +99999ccd +66666332 +66666664 +3333331a +cccccce0 +33333553 +33333000 +33333332 +ccccc99f +33333662 +33333330 +33333318 +33333334 +33333551 +33333006 +33333660 +33333336 +33333319 +cccccce7 +33333552 +33333007 +33333331 +ccccc99e +33333661 +33333337 +00000028 +ffffffd2 +00000661 +00000332 +00000000 +fffffaad +00000550 +00000002 +0000002a +00000006 +00000663 +00000334 +00000552 +00000004 +0000002b +ffffffd5 +00000660 +00000335 +00000003 +fffffaac +00000553 +00000005 +aaaaaa85 +5555557f +aaaaaccc +aaaaa99f +aaaaaaad +55555000 +aaaaaffd +aaaaaaaf +aaaaaa87 +aaaaaaab +aaaaacce +aaaaa999 +aaaaafff +aaaaaaa9 +aaaaaa86 +55555578 +aaaaaccd +aaaaa998 +aaaaaaae +55555001 +aaaaaffe +aaaaaaa8 +55555578 +aaaaaa82 +55555331 +55555662 +55555550 +aaaaaffd +55555000 +55555552 +5555557a +55555556 +55555333 +55555664 +55555002 +55555554 +5555557b +aaaaaa85 +55555330 +55555665 +55555553 +aaaaaffc +55555003 +55555555 +0000002a +ffffffd0 +00000663 +00000330 +00000002 +fffffaaf +00000552 +00000000 +00000028 +00000004 +00000661 +00000336 +00000550 +00000006 +00000029 +00000662 +00000337 +00000001 +fffffaae +00000551 +00000007 +0000b52d +ffff4ad7 +0000b364 +0000b637 +0000b505 +ffff4fa8 +0000b055 +0000b507 +0000b52f +0000b503 +0000b366 +0000b631 +0000b057 +0000b501 +0000b52e +ffff4ad0 +0000b365 +0000b630 +0000b506 +ffff4fa9 +0000b056 +0000b500 +0000002e +ffffffd4 +00000667 +00000334 +00000006 +fffffaab +00000556 +00000004 +0000002c +00000000 +00000665 +00000332 +00000554 +00000002 +0000002d +ffffffd3 +00000666 +00000333 +00000005 +fffffaaa +00000555 +00000003 +6666664b +999999b1 +66666002 +66666551 +66666663 +99999cce +66666333 +66666661 +66666649 +55555001 +aaaaaffc +aaaaaaae +aaaaaa86 +aaaaaaaa +aaaaaccf +aaaaa998 +aaaaaffe +aaaaaaa8 +aaaaaa87 +55555579 +aaaaaccc +aaaaa999 +aaaaaaaf +55555000 +aaaaafff +aaaaaaa9 +5555557b +aaaaaa81 +55555332 +55555661 +55555553 +aaaaaffe +55555003 +55555551 +55555579 +55555555 +55555330 +55555667 +55555001 +55555557 +55555578 +aaaaaa86 +55555333 +55555666 +55555550 +aaaaafff +55555000 +55555556 +0000002d +ffffffd7 +00000664 +00000337 +00000005 +fffffaa8 +00000555 +00000007 +0000002f +00000003 +00000666 +00000331 +00000557 +00000001 +0000002e +ffffffd0 +00000665 +00000330 +00000006 +fffffaa9 +00000556 +00000000 +66666665 +66666000 +66666557 +66666331 +66666667 +66666648 +999999b6 +66666003 +66666556 +66666660 +99999ccf +66666330 +66666666 +3333331c +cccccce6 +33333555 +33333006 +33333334 +ccccc999 +33333664 +33333336 +3333331e +33333332 +33333557 +33333000 +33333666 +33333330 +3333331f +cccccce1 +33333554 +33333001 +33333337 +ccccc998 +33333667 +33333331 +5555557a +aaaaaa80 +55555333 +55555660 +55555552 +aaaaafff +55555002 +55555550 +55555578 +55555554 +55555331 +55555666 +55555000 +55555556 +55555579 +aaaaaa87 +55555332 +55555667 +55555551 +aaaaaffe +55555001 +55555557 +0000002c +ffffffd6 +00000665 +00000336 +00000004 +fffffaa9 +00000554 +00000006 +0000002e +00000002 +00000667 +00000330 +00000000 +0000002f +ffffffd1 +00000664 +00000331 +00000007 +fffffaa8 +00000557 +00000001 +0000b52a +ffff4ad0 +0000b363 +0000b630 +0000b502 +ffff4faf +0000b052 +0000b500 +0000b528 +0000b504 +0000b361 +0000b636 +0000b050 +0000b506 +0000b529 +ffff4ad7 +0000b362 +0000b637 +0000b501 +ffff4fae +0000b051 +0000b507 +ffff4ad2 +0000b528 +ffff4c9b +ffff49c8 +ffff4afa +0000b057 +ffff4faa +ffff4af8 +ffff4ad0 +ffff4afc +ffff4c99 +ffff49ce +ffff4fa8 +ffff4afe +ffff4ad1 +0000b52f +ffff4c9a +ffff49cf +ffff4af9 +0000b056 +ffff4fa9 +ffff4aff +66666648 +999999b2 +66666001 +66666552 +66666660 +99999ccd +66666330 +66666662 +6666664a +66666666 +66666003 +66666554 +66666332 +66666664 +6666664b +999999b5 +66666000 +66666555 +66666663 +99999ccc +66666333 +66666665 +3333331d +cccccce7 +33333554 +33333007 +33333335 +ccccc998 +33333665 +33333337 +3333331f +33333333 +33333556 +33333001 +33333667 +33333331 +3333331e +cccccce0 +33333555 +33333000 +33333336 +ccccc999 +33333666 +33333330 +0000002b +ffffffd1 +00000662 +00000331 +00000003 +fffffaae +00000553 +00000001 +00000029 +00000005 +00000660 +00000337 +00000551 +00000007 +00000028 +ffffffd6 +00000663 +00000336 +00000000 +fffffaaf +00000550 +00000006 +aaaaaa84 +5555557e +aaaaaccd +aaaaa99e +aaaaaaac +fffefdff +ffff7fff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S new file mode 100644 index 000000000..4abf30fdf --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S @@ -0,0 +1,3001 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the add instruction of the RISC-V E extension for the add covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",add) + +RVTEST_SIGBASE( x10,signature_x10_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x7, rs2==x9, rd==x5, rs1_val != rs2_val, rs1_val < 0 and rs2_val < 0, rs2_val == -16385, rs1_val == -2049 +// opcode: add ; op1:x7; op2:x9; dest:x5; op1val:-0x801; op2val:-0x4001 +TEST_RR_OP(add, x5, x7, x9, 0xffffb7fe, -0x801, -0x4001, x10, 0, x11) + +inst_1: +// rs1 == rd != rs2, rs1==x12, rs2==x3, rd==x12, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -262145, rs2_val == (2**(xlen-1)-1) +// opcode: add ; op1:x12; op2:x3; dest:x12; op1val:-0x40001; op2val:0x7fffffff +TEST_RR_OP(add, x12, x12, x3, 0x7ffbfffe, -0x40001, 0x7fffffff, x10, 4, x11) + +inst_2: +// rs2 == rd != rs1, rs1==x6, rs2==x1, rd==x1, rs2_val == -1073741825, rs1_val == -4194305 +// opcode: add ; op1:x6; op2:x1; dest:x1; op1val:-0x400001; op2val:-0x40000001 +TEST_RR_OP(add, x1, x6, x1, 0xbfbffffe, -0x400001, -0x40000001, x10, 8, x11) + +inst_3: +// rs1 == rs2 != rd, rs1==x2, rs2==x2, rd==x7, rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 +// opcode: add ; op1:x2; op2:x2; dest:x7; op1val:0x20; op2val:0x20 +TEST_RR_OP(add, x7, x2, x2, 0x40, 0x20, 0x20, x10, 12, x11) + +inst_4: +// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == -268435457, rs1_val == 4 +// opcode: add ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 +TEST_RR_OP(add, x0, x0, x0, 0, 0x0, 0x0, x10, 16, x11) + +inst_5: +// rs1==x8, rs2==x4, rd==x14, rs2_val == -134217729, rs1_val == -16777217 +// opcode: add ; op1:x8; op2:x4; dest:x14; op1val:-0x1000001; op2val:-0x8000001 +TEST_RR_OP(add, x14, x8, x4, 0xf6fffffe, -0x1000001, -0x8000001, x10, 20, x11) + +inst_6: +// rs1==x4, rs2==x5, rd==x15, rs2_val == -67108865, +// opcode: add ; op1:x4; op2:x5; dest:x15; op1val:0x7; op2val:-0x4000001 +TEST_RR_OP(add, x15, x4, x5, 0xfc000006, 0x7, -0x4000001, x10, 24, x1) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x14, rs2==x11, rd==x6, rs2_val == -33554433, +// opcode: add ; op1:x14; op2:x11; dest:x6; op1val:0x33333333; op2val:-0x2000001 +TEST_RR_OP(add, x6, x14, x11, 0x31333332, 0x33333333, -0x2000001, x4, 0, x1) + +inst_8: +// rs1==x3, rs2==x10, rd==x2, rs2_val == -16777217, rs1_val == 2048 +// opcode: add ; op1:x3; op2:x10; dest:x2; op1val:0x800; op2val:-0x1000001 +TEST_RR_OP(add, x2, x3, x10, 0xff0007ff, 0x800, -0x1000001, x4, 4, x1) + +inst_9: +// rs1==x13, rs2==x6, rd==x9, rs2_val == -8388609, rs1_val == -17 +// opcode: add ; op1:x13; op2:x6; dest:x9; op1val:-0x11; op2val:-0x800001 +TEST_RR_OP(add, x9, x13, x6, 0xff7fffee, -0x11, -0x800001, x4, 8, x1) + +inst_10: +// rs1==x15, rs2==x14, rd==x13, rs2_val == -4194305, rs1_val == -5 +// opcode: add ; op1:x15; op2:x14; dest:x13; op1val:-0x5; op2val:-0x400001 +TEST_RR_OP(add, x13, x15, x14, 0xffbffffa, -0x5, -0x400001, x4, 12, x1) + +inst_11: +// rs1==x11, rs2==x12, rd==x3, rs2_val == -2097153, rs1_val == -33554433 +// opcode: add ; op1:x11; op2:x12; dest:x3; op1val:-0x2000001; op2val:-0x200001 +TEST_RR_OP(add, x3, x11, x12, 0xfddffffe, -0x2000001, -0x200001, x4, 16, x1) + +inst_12: +// rs1==x5, rs2==x15, rd==x11, rs2_val == -1048577, rs1_val == -131073 +// opcode: add ; op1:x5; op2:x15; dest:x11; op1val:-0x20001; op2val:-0x100001 +TEST_RR_OP(add, x11, x5, x15, 0xffedfffe, -0x20001, -0x100001, x4, 20, x2) + +inst_13: +// rs1==x1, rs2==x13, rd==x10, rs2_val == -524289, rs1_val == -2097153 +// opcode: add ; op1:x1; op2:x13; dest:x10; op1val:-0x200001; op2val:-0x80001 +TEST_RR_OP(add, x10, x1, x13, 0xffd7fffe, -0x200001, -0x80001, x4, 24, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_14: +// rs1==x10, rs2==x8, rd==x4, rs2_val == -262145, rs1_val == 128 +// opcode: add ; op1:x10; op2:x8; dest:x4; op1val:0x80; op2val:-0x40001 +TEST_RR_OP(add, x4, x10, x8, 0xfffc007f, 0x80, -0x40001, x1, 0, x2) + +inst_15: +// rs1==x9, rs2==x7, rd==x8, rs2_val == -131073, +// opcode: add ; op1:x9; op2:x7; dest:x8; op1val:-0x2000001; op2val:-0x20001 +TEST_RR_OP(add, x8, x9, x7, 0xfdfdfffe, -0x2000001, -0x20001, x1, 4, x2) + +inst_16: +// rs2_val == -65537, rs1_val == -1431655766 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9aaa9, -0x55555556, -0x10001, x1, 8, x2) + +inst_17: +// rs2_val == -32769, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x8001 +TEST_RR_OP(add, x12, x10, x11, 0x3332b331, 0x33333332, -0x8001, x1, 12, x2) + +inst_18: +// rs2_val == -8193, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x2001 +TEST_RR_OP(add, x12, x10, x11, 0xffffe002, 0x3, -0x2001, x1, 16, x2) + +inst_19: +// rs2_val == -4097, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xfffff008, 0x9, -0x1001, x1, 20, x2) + +inst_20: +// rs2_val == -2049, rs1_val == -524289 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x801 +TEST_RR_OP(add, x12, x10, x11, 0xfff7f7fe, -0x80001, -0x801, x1, 24, x2) + +inst_21: +// rs2_val == -1025, rs1_val == 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x401 +TEST_RR_OP(add, x12, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 28, x2) + +inst_22: +// rs2_val == -513, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x201 +TEST_RR_OP(add, x12, x10, x11, 0xfffff5fe, -0x801, -0x201, x1, 32, x2) + +inst_23: +// rs2_val == -257, rs1_val == 1431655765 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x101 +TEST_RR_OP(add, x12, x10, x11, 0x55555454, 0x55555555, -0x101, x1, 36, x2) + +inst_24: +// rs2_val == -129, rs1_val == -3 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0xffffff7c, -0x3, -0x81, x1, 40, x2) + +inst_25: +// rs2_val == -65, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x41 +TEST_RR_OP(add, x12, x10, x11, 0xffdfffbe, -0x200001, -0x41, x1, 44, x2) + +inst_26: +// rs2_val == -33, rs1_val == -129 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x21 +TEST_RR_OP(add, x12, x10, x11, 0xffffff5e, -0x81, -0x21, x1, 48, x2) + +inst_27: +// rs2_val == -17, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x11 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, 0x9, -0x11, x1, 52, x2) + +inst_28: +// rs2_val == -9, rs1_val == -16385 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x9 +TEST_RR_OP(add, x12, x10, x11, 0xffffbff6, -0x4001, -0x9, x1, 56, x2) + +inst_29: +// rs2_val == -5, rs1_val == 134217728 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x5 +TEST_RR_OP(add, x12, x10, x11, 0x7fffffb, 0x8000000, -0x5, x1, 60, x2) + +inst_30: +// rs2_val == -3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffdffffc, -0x200001, -0x3, x1, 64, x2) + +inst_31: +// rs2_val == -2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffffbffd, -0x4001, -0x2, x1, 68, x2) + +inst_32: +// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 131072 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000 +TEST_RR_OP(add, x12, x10, x11, 0x8001ffff, 0x7fffffff, 0x20000, x1, 72, x2) + +inst_33: +// rs1_val == -1073741825, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x26666664, -0x40000001, 0x66666665, x1, 76, x2) + +inst_34: +// rs1_val == -536870913, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 +TEST_RR_OP(add, x12, x10, x11, 0xdfff7ffe, -0x20000001, -0x8001, x1, 80, x2) + +inst_35: +// rs1_val == -268435457, rs2_val == 32 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x20 +TEST_RR_OP(add, x12, x10, x11, 0xf000001f, -0x10000001, 0x20, x1, 84, x2) + +inst_36: +// rs1_val == -134217729, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0xf7ffff7e, -0x8000001, -0x81, x1, 88, x2) + +inst_37: +// rs1_val == -67108865, rs2_val == 1048576 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x100000 +TEST_RR_OP(add, x12, x10, x11, 0xfc0fffff, -0x4000001, 0x100000, x1, 92, x2) + +inst_38: +// rs1_val == -8388609, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x4 +TEST_RR_OP(add, x12, x10, x11, 0xff7ffffb, -0x800001, -0x4, x1, 96, x2) + +inst_39: +// rs1_val == -1048577, rs2_val == 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 100, x2) + +inst_40: +// rs1_val == -65537, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x1, 104, x2) + +inst_41: +// rs1_val == -32769, rs2_val == 524288 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x80000 +TEST_RR_OP(add, x12, x10, x11, 0x77fff, -0x8001, 0x80000, x1, 108, x2) + +inst_42: +// rs1_val == -8193, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffffdfff, -0x2001, 0x0, x1, 112, x2) + +inst_43: +// rs1_val == -4097, rs2_val == 262144 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 +TEST_RR_OP(add, x12, x10, x11, 0x3efff, -0x1001, 0x40000, x1, 116, x2) + +inst_44: +// rs1_val == -1025, rs2_val == 256 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x100 +TEST_RR_OP(add, x12, x10, x11, 0xfffffcff, -0x401, 0x100, x1, 120, x2) + +inst_45: +// rs1_val == -513, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x2001 +TEST_RR_OP(add, x12, x10, x11, 0xffffddfe, -0x201, -0x2001, x1, 124, x2) + +inst_46: +// rs1_val == -257, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xffffeefe, -0x101, -0x1001, x1, 128, x2) + +inst_47: +// rs1_val == -65, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x11 +TEST_RR_OP(add, x12, x10, x11, 0xffffffae, -0x41, -0x11, x1, 132, x2) + +inst_48: +// rs1_val == -33, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x7 +TEST_RR_OP(add, x12, x10, x11, 0xffffffe6, -0x21, 0x7, x1, 136, x2) + +inst_49: +// rs1_val == -9, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x2000001 +TEST_RR_OP(add, x12, x10, x11, 0xfdfffff6, -0x9, -0x2000001, x1, 140, x2) + +inst_50: +// rs1_val == -2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x6 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, -0x2, -0x6, x1, 144, x2) + +inst_51: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x80000000 +TEST_RR_OP(add, x12, x10, x11, 0x80000006, 0x6, -0x80000000, x1, 148, x2) + +inst_52: +// rs2_val == 1073741824, rs1_val == 536870912 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x40000000 +TEST_RR_OP(add, x12, x10, x11, 0x60000000, 0x20000000, 0x40000000, x1, 152, x2) + +inst_53: +// rs2_val == 536870912, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x20000000 +TEST_RR_OP(add, x12, x10, x11, 0xe0000000, -0x40000000, 0x20000000, x1, 156, x2) + +inst_54: +// rs2_val == 268435456, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000000 +TEST_RR_OP(add, x12, x10, x11, 0xbaaaaaaa, -0x55555556, 0x10000000, x1, 160, x2) + +inst_55: +// rs2_val == 134217728, rs1_val == 1 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8000000 +TEST_RR_OP(add, x12, x10, x11, 0x8000001, 0x1, 0x8000000, x1, 164, x2) + +inst_56: +// rs2_val == 67108864, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4000000 +TEST_RR_OP(add, x12, x10, x11, 0x59555554, 0x55555554, 0x4000000, x1, 168, x2) + +inst_57: +// rs2_val == 33554432, rs1_val == 64 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2000000 +TEST_RR_OP(add, x12, x10, x11, 0x2000040, 0x40, 0x2000000, x1, 172, x2) + +inst_58: +// rs2_val == 16777216, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1000000 +TEST_RR_OP(add, x12, x10, x11, 0x1000003, 0x3, 0x1000000, x1, 176, x2) + +inst_59: +// rs2_val == 8388608, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:0x800000 +TEST_RR_OP(add, x12, x10, x11, 0x7ffffa, -0x6, 0x800000, x1, 180, x2) + +inst_60: +// rs2_val == 4194304, rs1_val == 16 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 +TEST_RR_OP(add, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) + +inst_61: +// rs2_val == 2097152, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x200000 +TEST_RR_OP(add, x12, x10, x11, 0xfffff, -0x100001, 0x200000, x1, 188, x2) + +inst_62: +// rs2_val == 65536, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 +TEST_RR_OP(add, x12, x10, x11, 0x10000, 0x0, 0x10000, x1, 192, x2) + +inst_63: +// rs2_val == 32768, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x8000 +TEST_RR_OP(add, x12, x10, x11, 0xffff7fff, -0x10001, 0x8000, x1, 196, x2) + +inst_64: +// rs2_val == 16384, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4000 +TEST_RR_OP(add, x12, x10, x11, 0xffff8afd, -0xb503, 0x4000, x1, 200, x2) + +inst_65: +// rs2_val == 8192, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2000 +TEST_RR_OP(add, x12, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 204, x2) + +inst_66: +// rs2_val == 4096, rs1_val == 65536 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000 +TEST_RR_OP(add, x12, x10, x11, 0x11000, 0x10000, 0x1000, x1, 208, x2) + +inst_67: +// rs2_val == 2048, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 +TEST_RR_OP(add, x12, x10, x11, 0x800, 0x0, 0x800, x1, 212, x2) + +inst_68: +// rs2_val == 1024, rs1_val == 524288 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x400 +TEST_RR_OP(add, x12, x10, x11, 0x80400, 0x80000, 0x400, x1, 216, x2) + +inst_69: +// rs2_val == 512, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x200 +TEST_RR_OP(add, x12, x10, x11, 0x1fd, -0x3, 0x200, x1, 220, x2) + +inst_70: +// rs2_val == 128, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x80 +TEST_RR_OP(add, x12, x10, x11, 0xfffffe7f, -0x201, 0x80, x1, 224, x2) + +inst_71: +// rs2_val == 64, rs1_val == 2 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40 +TEST_RR_OP(add, x12, x10, x11, 0x42, 0x2, 0x40, x1, 228, x2) + +inst_72: +// rs2_val == 16, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x10 +TEST_RR_OP(add, x12, x10, x11, 0xffe0000f, -0x200001, 0x10, x1, 232, x2) + +inst_73: +// rs2_val == 8, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x8 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff7, -0x11, 0x8, x1, 236, x2) + +inst_74: +// rs2_val == 4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffb, -0x9, 0x4, x1, 240, x2) + +inst_75: +// rs2_val == 2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffffffc1, -0x41, 0x2, x1, 244, x2) + +inst_76: +// rs2_val == 1, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 +TEST_RR_OP(add, x12, x10, x11, 0x40000000, 0x3fffffff, 0x1, x1, 248, x2) + +inst_77: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x80000006, -0x80000000, 0x6, x1, 252, x2) + +inst_78: +// rs1_val == 1073741824, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x40000005, 0x40000000, 0x5, x1, 256, x2) + +inst_79: +// rs1_val == 268435456, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfff4afc, 0x10000000, -0xb504, x1, 260, x2) + +inst_80: +// rs1_val == 67108864, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x4000006, 0x4000000, 0x6, x1, 264, x2) + +inst_81: +// rs1_val == 33554432, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x9 +TEST_RR_OP(add, x12, x10, x11, 0x1fffff7, 0x2000000, -0x9, x1, 268, x2) + +inst_82: +// rs1_val == 16777216, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x7 +TEST_RR_OP(add, x12, x10, x11, 0xfffff9, 0x1000000, -0x7, x1, 272, x2) + +inst_83: +// rs1_val == 8388608, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x2 +TEST_RR_OP(add, x12, x10, x11, 0x7ffffe, 0x800000, -0x2, x1, 276, x2) + +inst_84: +// rs1_val == 4194304, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x201 +TEST_RR_OP(add, x12, x10, x11, 0x3ffdff, 0x400000, -0x201, x1, 280, x2) + +inst_85: +// rs1_val == 2097152, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x8 +TEST_RR_OP(add, x12, x10, x11, 0x1ffff8, 0x200000, -0x8, x1, 284, x2) + +inst_86: +// rs1_val == 1048576, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x40000001 +TEST_RR_OP(add, x12, x10, x11, 0xc00fffff, 0x100000, -0x40000001, x1, 288, x2) + +inst_87: +// rs1_val == 262144, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0x3ff7f, 0x40000, -0x81, x1, 292, x2) + +inst_88: +// rs1_val == 131072, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x40000001 +TEST_RR_OP(add, x12, x10, x11, 0xc001ffff, 0x20000, -0x40000001, x1, 296, x2) + +inst_89: +// rs1_val == 32768, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x1000000 +TEST_RR_OP(add, x12, x10, x11, 0x1008000, 0x8000, 0x1000000, x1, 300, x2) + +inst_90: +// rs1_val == 16384, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000000 +TEST_RR_OP(add, x12, x10, x11, 0xc0004000, 0x4000, -0x40000000, x1, 304, x2) + +inst_91: +// rs1_val == 8192, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40000 +TEST_RR_OP(add, x12, x10, x11, 0x42000, 0x2000, 0x40000, x1, 308, x2) + +inst_92: +// rs1_val == 4096, rs2_val == -1431655766 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaabaaa, 0x1000, -0x55555556, x1, 312, x2) + +inst_93: +// rs1_val == 1024, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x8000001 +TEST_RR_OP(add, x12, x10, x11, 0xf80003ff, 0x400, -0x8000001, x1, 316, x2) + +inst_94: +// rs1_val == 512, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1 +TEST_RR_OP(add, x12, x10, x11, 0x201, 0x200, 0x1, x1, 320, x2) + +inst_95: +// rs1_val == 256, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x10001 +TEST_RR_OP(add, x12, x10, x11, 0xffff00ff, 0x100, -0x10001, x1, 324, x2) + +inst_96: +// rs1_val == 8, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80001 +TEST_RR_OP(add, x12, x10, x11, 0xfff80007, 0x8, -0x80001, x1, 328, x2) + +inst_97: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a0a, 0xb505, 0xb505, x1, 332, x2) + +inst_98: +// rs1_val==46341 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0xb505, -0xb503, x1, 336, x2) + +inst_99: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x1, 340, x2) + +inst_100: +// rs1_val==46341 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0xb505, 0x33333334, x1, 344, x2) + +inst_101: +// rs1_val==46341 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0xb505, 0x6, x1, 348, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x1, 352, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x1, 356, x2) + +inst_104: +// rs1_val==46341 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb505, 0x4, x1, 360, x2) + +inst_105: +// rs1_val==46341 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb505, 0xb503, x1, 364, x2) + +inst_106: +// rs1_val==46341 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 368, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x1, 372, x2) + +inst_108: +// rs1_val==46341 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb505, 0x33333332, x1, 376, x2) + +inst_109: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb505, 0x55555554, x1, 380, x2) + +inst_110: +// rs1_val==46341 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 384, x2) + +inst_111: +// rs1_val==46341 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb505, 0xb504, x1, 388, x2) + +inst_112: +// rs1_val==46341 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb505, -0xb504, x1, 392, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x1, 396, x2) + +inst_114: +// rs1_val==46341 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb505, 0x33333333, x1, 400, x2) + +inst_115: +// rs1_val==46341 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb505, 0x5, x1, 404, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x1, 408, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x1, 412, x2) + +inst_118: +// rs1_val==46341 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb505, 0x3, x1, 416, x2) + +inst_119: +// rs1_val==-46339 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x2, -0xb503, 0xb505, x1, 420, x2) + +inst_120: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x1, 424, x2) + +inst_121: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6665b164, -0xb503, 0x66666667, x1, 428, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33327e31, -0xb503, 0x33333334, x1, 432, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, -0xb503, 0x6, x1, 436, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x1, 440, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5554a053, -0xb503, 0x55555556, x1, 444, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb503, 0x4, x1, 448, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb503, 0xb503, x1, 452, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 456, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb503, 0x66666665, x1, 460, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x1, 464, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb503, 0x55555554, x1, 468, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 472, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb503, 0xb504, x1, 476, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x1, 480, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb503, 0x66666666, x1, 484, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb503, 0x33333333, x1, 488, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb503, 0x5, x1, 492, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x1, 496, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb503, 0x55555555, x1, 500, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb503, 0x3, x1, 504, x2) + +inst_141: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x1, 508, x2) + +inst_142: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b164, 0x66666667, -0xb503, x1, 512, x2) + +inst_143: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x1, 516, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x1, 520, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x66666667, 0x6, x1, 524, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x1, 528, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x1, 532, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666667, 0x4, x1, 536, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x1, 540, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 544, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x1, 548, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666667, 0x33333332, x1, 552, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x1, 556, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666667, 0x2, x1, 560, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x1, 564, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666667, -0xb504, x1, 568, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x1, 572, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x1, 576, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666667, 0x5, x1, 580, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x1, 584, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x1, 588, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666667, 0x3, x1, 592, x2) + +inst_163: +// rs1_val==858993460 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0x33333334, 0xb505, x1, 596, x2) + +inst_164: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e31, 0x33333334, -0xb503, x1, 600, x2) + +inst_165: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x1, 604, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x33333334, 0x33333334, x1, 608, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x33333334, 0x6, x1, 612, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x1, 616, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x1, 620, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333334, 0x4, x1, 624, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333334, 0xb503, x1, 628, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 632, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333334, 0x66666665, x1, 636, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333334, 0x33333332, x1, 640, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333334, 0x55555554, x1, 644, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 648, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333334, 0xb504, x1, 652, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333334, -0xb504, x1, 656, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x1, 660, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333334, 0x33333333, x1, 664, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333334, 0x5, x1, 668, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x1, 672, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333334, 0x55555555, x1, 676, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 680, x2) + +inst_185: +// rs1_val==6 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0x6, 0xb505, x1, 684, x2) + +inst_186: +// rs1_val==6 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, 0x6, -0xb503, x1, 688, x2) + +inst_187: +// rs1_val==6 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x6, 0x66666667, x1, 692, x2) + +inst_188: +// rs1_val==6 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x6, 0x33333334, x1, 696, x2) + +inst_189: +// rs1_val==6 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xc, 0x6, 0x6, x1, 700, x2) + +inst_190: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x1, 704, x2) + +inst_191: +// rs1_val==6 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x6, 0x55555556, x1, 708, x2) + +inst_192: +// rs1_val==6 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x6, 0x4, x1, 712, x2) + +inst_193: +// rs1_val==6 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x6, 0xb503, x1, 716, x2) + +inst_194: +// rs1_val==6 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x6, 0x0, x1, 720, x2) + +inst_195: +// rs1_val==6 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x6, 0x66666665, x1, 724, x2) + +inst_196: +// rs1_val==6 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x6, 0x33333332, x1, 728, x2) + +inst_197: +// rs1_val==6 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x6, 0x55555554, x1, 732, x2) + +inst_198: +// rs1_val==6 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x6, 0x2, x1, 736, x2) + +inst_199: +// rs1_val==6 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x6, 0xb504, x1, 740, x2) + +inst_200: +// rs1_val==6 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x6, -0xb504, x1, 744, x2) + +inst_201: +// rs1_val==6 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x6, 0x66666666, x1, 748, x2) + +inst_202: +// rs1_val==6 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x6, 0x33333333, x1, 752, x2) + +inst_203: +// rs1_val==6 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb, 0x6, 0x5, x1, 756, x2) + +inst_204: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x1, 760, x2) + +inst_205: +// rs1_val==6 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x6, 0x55555555, x1, 764, x2) + +inst_206: +// rs1_val==6 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x6, 0x3, x1, 768, x2) + +inst_207: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x1, 772, x2) + +inst_208: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x1, 776, x2) + +inst_209: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x11111112, -0x55555555, 0x66666667, x1, 780, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x1, 784, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x1, 788, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, -0x55555555, -0x55555555, x1, 792, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x1, 796, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 800, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x1, 804, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 808, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555555, 0x66666665, x1, 812, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x1, 816, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 820, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x1, 824, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x1, 828, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x1, 832, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555555, 0x66666666, x1, 836, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x1, 840, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x1, 844, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555555, -0x55555556, x1, 848, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x1, 852, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x1, 856, x2) + +inst_229: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x1, 860, x2) + +inst_230: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a053, 0x55555556, -0xb503, x1, 864, x2) + +inst_231: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x1, 868, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x1, 872, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x55555556, 0x6, x1, 876, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x1, 880, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x1, 884, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555556, 0x4, x1, 888, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555556, 0xb503, x1, 892, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 896, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x1, 900, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555556, 0x33333332, x1, 904, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x1, 908, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555556, 0x2, x1, 912, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x1, 916, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555556, -0xb504, x1, 920, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x1, 924, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555556, 0x33333333, x1, 928, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555556, 0x5, x1, 932, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x1, 936, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x1, 940, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555556, 0x3, x1, 944, x2) + +inst_251: +// rs1_val==4 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x4, 0xb505, x1, 948, x2) + +inst_252: +// rs1_val==4 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x4, -0xb503, x1, 952, x2) + +inst_253: +// rs1_val==4 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x4, 0x66666667, x1, 956, x2) + +inst_254: +// rs1_val==4 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x4, 0x33333334, x1, 960, x2) + +inst_255: +// rs1_val==4 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x4, 0x6, x1, 964, x2) + +inst_256: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 968, x2) + +inst_257: +// rs1_val==4 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x4, 0x55555556, x1, 972, x2) + +inst_258: +// rs1_val==4 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x4, 0x4, x1, 976, x2) + +inst_259: +// rs1_val==4 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 980, x2) + +inst_260: +// rs1_val==4 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x4, 0x0, x1, 984, x2) + +inst_261: +// rs1_val==4 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x4, 0x66666665, x1, 988, x2) + +inst_262: +// rs1_val==4 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 992, x2) + +inst_263: +// rs1_val==4 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x4, 0x55555554, x1, 996, x2) + +inst_264: +// rs1_val==4 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x4, 0x2, x1, 1000, x2) + +inst_265: +// rs1_val==4 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x4, 0xb504, x1, 1004, x2) + +inst_266: +// rs1_val==4 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x4, -0xb504, x1, 1008, x2) + +inst_267: +// rs1_val==4 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x4, 0x66666666, x1, 1012, x2) + +inst_268: +// rs1_val==4 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1016, x2) + +inst_269: +// rs1_val==4 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x4, 0x5, x1, 1020, x2) + +inst_270: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1024, x2) + +inst_271: +// rs1_val==4 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x4, 0x55555555, x1, 1028, x2) + +inst_272: +// rs1_val==4 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1032, x2) + +inst_273: +// rs1_val==46339 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb503, 0xb505, x1, 1036, x2) + +inst_274: +// rs1_val==46339 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb503, -0xb503, x1, 1040, x2) + +inst_275: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x1, 1044, x2) + +inst_276: +// rs1_val==46339 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb503, 0x33333334, x1, 1048, x2) + +inst_277: +// rs1_val==46339 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb503, 0x6, x1, 1052, x2) + +inst_278: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x1, 1056, x2) + +inst_279: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb503, 0x55555556, x1, 1060, x2) + +inst_280: +// rs1_val==46339 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1064, x2) + +inst_281: +// rs1_val==46339 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a06, 0xb503, 0xb503, x1, 1068, x2) + +inst_282: +// rs1_val==46339 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1072, x2) + +inst_283: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0xb503, 0x66666665, x1, 1076, x2) + +inst_284: +// rs1_val==46339 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0xb503, 0x33333332, x1, 1080, x2) + +inst_285: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0xb503, 0x55555554, x1, 1084, x2) + +inst_286: +// rs1_val==46339 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb503, 0x2, x1, 1088, x2) + +inst_287: +// rs1_val==46339 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb503, 0xb504, x1, 1092, x2) + +inst_288: +// rs1_val==46339 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1096, x2) + +inst_289: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb503, 0x66666666, x1, 1100, x2) + +inst_290: +// rs1_val==46339 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb503, 0x33333333, x1, 1104, x2) + +inst_291: +// rs1_val==46339 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb503, 0x5, x1, 1108, x2) + +inst_292: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x1, 1112, x2) + +inst_293: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb503, 0x55555555, x1, 1116, x2) + +inst_294: +// rs1_val==46339 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb503, 0x3, x1, 1120, x2) + +inst_295: +// rs1_val==0 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1124, x2) + +inst_296: +// rs1_val==0 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1128, x2) + +inst_297: +// rs1_val==0 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1132, x2) + +inst_298: +// rs1_val==0 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1136, x2) + +inst_299: +// rs1_val==0 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1140, x2) + +inst_300: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1144, x2) + +inst_301: +// rs1_val==0 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1148, x2) + +inst_302: +// rs1_val==0 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1152, x2) + +inst_303: +// rs1_val==0 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1156, x2) + +inst_304: +// rs1_val==0 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1160, x2) + +inst_305: +// rs1_val==0 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1164, x2) + +inst_306: +// rs1_val==0 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1168, x2) + +inst_307: +// rs1_val==0 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1172, x2) + +inst_308: +// rs1_val==0 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1176, x2) + +inst_309: +// rs1_val==0 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1180, x2) + +inst_310: +// rs1_val==0 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1184, x2) + +inst_311: +// rs1_val==0 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1188, x2) + +inst_312: +// rs1_val==0 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1192, x2) + +inst_313: +// rs1_val==0 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1196, x2) + +inst_314: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1200, x2) + +inst_315: +// rs1_val==0 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1204, x2) + +inst_316: +// rs1_val==0 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1208, x2) + +inst_317: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x1, 1212, x2) + +inst_318: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666665, -0xb503, x1, 1216, x2) + +inst_319: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x1, 1220, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666665, 0x33333334, x1, 1224, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666665, 0x6, x1, 1228, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x1, 1232, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x1, 1236, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666665, 0x4, x1, 1240, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0x66666665, 0xb503, x1, 1244, x2) + +inst_326: +// rs1_val==858993459 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) + +inst_327: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333333, 0x66666665, x1, 1252, x2) + +inst_328: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333333, 0x33333332, x1, 1256, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333333, 0x55555554, x1, 1260, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333333, 0x2, x1, 1264, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333333, 0xb504, x1, 1268, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x1, 1272, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333333, 0x66666666, x1, 1276, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333333, 0x33333333, x1, 1280, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333333, 0x5, x1, 1284, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x1, 1288, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333333, 0x55555555, x1, 1292, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333333, 0x3, x1, 1296, x2) + +inst_339: +// rs1_val==5 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x5, 0xb505, x1, 1300, x2) + +inst_340: +// rs1_val==5 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x5, -0xb503, x1, 1304, x2) + +inst_341: +// rs1_val==5 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x5, 0x66666667, x1, 1308, x2) + +inst_342: +// rs1_val==5 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x5, 0x33333334, x1, 1312, x2) + +inst_343: +// rs1_val==5 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb, 0x5, 0x6, x1, 1316, x2) + +inst_344: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x1, 1320, x2) + +inst_345: +// rs1_val==5 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x5, 0x55555556, x1, 1324, x2) + +inst_346: +// rs1_val==5 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x5, 0x4, x1, 1328, x2) + +inst_347: +// rs1_val==5 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x5, 0xb503, x1, 1332, x2) + +inst_348: +// rs1_val==5 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) + +inst_349: +// rs1_val==5 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x5, 0x66666665, x1, 1340, x2) + +inst_350: +// rs1_val==5 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1344, x2) + +inst_351: +// rs1_val==5 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x5, 0x55555554, x1, 1348, x2) + +inst_352: +// rs1_val==5 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1352, x2) + +inst_353: +// rs1_val==5 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x5, 0xb504, x1, 1356, x2) + +inst_354: +// rs1_val==5 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x5, -0xb504, x1, 1360, x2) + +inst_355: +// rs1_val==5 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x5, 0x66666666, x1, 1364, x2) + +inst_356: +// rs1_val==5 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x5, 0x33333333, x1, 1368, x2) + +inst_357: +// rs1_val==5 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x5, 0x5, x1, 1372, x2) + +inst_358: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1376, x2) + +inst_359: +// rs1_val==5 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x5, 0x55555555, x1, 1380, x2) + +inst_360: +// rs1_val==5 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x5, 0x3, x1, 1384, x2) + +inst_361: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x1, 1388, x2) + +inst_362: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x1, 1392, x2) + +inst_363: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555556, 0x66666667, x1, 1396, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x1, 1400, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x1, 1404, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555556, -0x55555555, x1, 1408, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x1, 1412, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1416, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x1, 1420, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x1, 1428, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x1, 1432, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1436, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x1, 1440, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x1, 1444, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x1, 1448, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555556, 0x66666666, x1, 1452, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x1, 1456, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1460, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, -0x55555556, -0x55555556, x1, 1464, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1468, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x1, 1472, x2) + +inst_383: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x1, 1476, x2) + +inst_384: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555555, -0xb503, x1, 1480, x2) + +inst_385: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x1, 1484, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555555, 0x33333334, x1, 1488, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555555, 0x6, x1, 1492, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x1, 1496, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x1, 1500, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555555, 0x4, x1, 1504, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555555, 0xb503, x1, 1508, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x1, 1516, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555555, 0x33333332, x1, 1520, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x1, 1524, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1528, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555555, 0xb504, x1, 1532, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555555, -0xb504, x1, 1536, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x1, 1540, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555555, 0x33333333, x1, 1544, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555555, 0x5, x1, 1548, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1552, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x1, 1556, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555555, 0x3, x1, 1560, x2) + +inst_405: +// rs1_val==3 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x3, 0xb505, x1, 1564, x2) + +inst_406: +// rs1_val==3 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x3, -0xb503, x1, 1568, x2) + +inst_407: +// rs1_val==3 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x3, 0x66666667, x1, 1572, x2) + +inst_408: +// rs1_val==3 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1576, x2) + +inst_409: +// rs1_val==3 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x3, 0x6, x1, 1580, x2) + +inst_410: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x1, 1584, x2) + +inst_411: +// rs1_val==3 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x3, 0x55555556, x1, 1588, x2) + +inst_412: +// rs1_val==3 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1592, x2) + +inst_413: +// rs1_val==3 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x3, 0xb503, x1, 1596, x2) + +inst_414: +// rs1_val==3 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) + +inst_415: +// rs1_val==3 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x3, 0x66666665, x1, 1604, x2) + +inst_416: +// rs1_val==3 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x3, 0x33333332, x1, 1608, x2) + +inst_417: +// rs1_val==3 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1612, x2) + +inst_418: +// rs1_val==3 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x3, 0x2, x1, 1616, x2) + +inst_419: +// rs1_val==3 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1620, x2) + +inst_420: +// rs1_val==3 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1624, x2) + +inst_421: +// rs1_val==3 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x3, 0x66666666, x1, 1628, x2) + +inst_422: +// rs1_val==3 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x3, 0x33333333, x1, 1632, x2) + +inst_423: +// rs1_val==3 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x3, 0x5, x1, 1636, x2) + +inst_424: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x1, 1640, x2) + +inst_425: +// rs1_val==3 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x3, 0x55555555, x1, 1644, x2) + +inst_426: +// rs1_val==3 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x3, 0x3, x1, 1648, x2) + +inst_427: +// rs1_val==1717986917 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1652, x2) + +inst_428: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x1, 1656, x2) + +inst_429: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x66666665, 0x33333332, x1, 1660, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x1, 1664, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1668, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666665, 0xb504, x1, 1672, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b161, 0x66666665, -0xb504, x1, 1676, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x1, 1680, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666665, 0x33333333, x1, 1684, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666665, 0x5, x1, 1688, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x1, 1692, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x1, 1696, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666665, 0x3, x1, 1700, x2) + +inst_440: +// rs1_val==858993458 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333332, 0xb505, x1, 1704, x2) + +inst_441: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x1, 1708, x2) + +inst_442: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333332, 0x66666667, x1, 1712, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333332, 0x33333334, x1, 1716, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333332, 0x6, x1, 1720, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x1, 1724, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333332, 0x55555556, x1, 1728, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1732, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0x33333332, 0xb503, x1, 1736, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1740, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x33333332, 0x66666665, x1, 1744, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666664, 0x33333332, 0x33333332, x1, 1748, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x33333332, 0x55555554, x1, 1752, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333332, 0x2, x1, 1756, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333332, 0xb504, x1, 1760, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x1, 1764, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333332, 0x66666666, x1, 1768, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333332, 0x33333333, x1, 1772, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1776, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x1, 1780, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333332, 0x55555555, x1, 1784, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333332, 0x3, x1, 1788, x2) + +inst_462: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555554, 0xb505, x1, 1792, x2) + +inst_463: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555554, -0xb503, x1, 1796, x2) + +inst_464: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x1, 1800, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555554, 0x33333334, x1, 1804, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555554, 0x6, x1, 1808, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1812, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x1, 1816, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555554, 0x4, x1, 1820, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0x55555554, 0xb503, x1, 1824, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1828, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x1, 1832, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x55555554, 0x33333332, x1, 1836, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x1, 1840, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1844, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555554, 0xb504, x1, 1848, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a050, 0x55555554, -0xb504, x1, 1852, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x1, 1856, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555554, 0x33333333, x1, 1860, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555554, 0x5, x1, 1864, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1868, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x1, 1872, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1876, x2) + +inst_484: +// rs1_val==2 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1880, x2) + +inst_485: +// rs1_val==2 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1884, x2) + +inst_486: +// rs1_val==2 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x2, 0x66666667, x1, 1888, x2) + +inst_487: +// rs1_val==2 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1892, x2) + +inst_488: +// rs1_val==2 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x2, 0x6, x1, 1896, x2) + +inst_489: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x1, 1900, x2) + +inst_490: +// rs1_val==2 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x2, 0x55555556, x1, 1904, x2) + +inst_491: +// rs1_val==2 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1908, x2) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x2, 0xb503, x1, 1912, x2) + +inst_493: +// rs1_val==2 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1916, x2) + +inst_494: +// rs1_val==2 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1920, x2) + +inst_495: +// rs1_val==2 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x2, 0x33333332, x1, 1924, x2) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1928, x2) + +inst_497: +// rs1_val==2 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x2, 0x2, x1, 1932, x2) + +inst_498: +// rs1_val==2 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1936, x2) + +inst_499: +// rs1_val==2 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1940, x2) + +inst_500: +// rs1_val==2 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x2, 0x66666666, x1, 1944, x2) + +inst_501: +// rs1_val==2 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x2, 0x33333333, x1, 1948, x2) + +inst_502: +// rs1_val==2 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1952, x2) + +inst_503: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x1, 1956, x2) + +inst_504: +// rs1_val==2 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1960, x2) + +inst_505: +// rs1_val==2 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x2, 0x3, x1, 1964, x2) + +inst_506: +// rs1_val==46340 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb504, 0xb505, x1, 1968, x2) + +inst_507: +// rs1_val==46340 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb504, -0xb503, x1, 1972, x2) + +inst_508: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x1, 1976, x2) + +inst_509: +// rs1_val==46340 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb504, 0x33333334, x1, 1980, x2) + +inst_510: +// rs1_val==46340 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb504, 0x6, x1, 1984, x2) + +inst_511: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x1, 1988, x2) + +inst_512: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x1, 1992, x2) + +inst_513: +// rs1_val==46340 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb504, 0x4, x1, 1996, x2) + +inst_514: +// rs1_val==46340 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb504, 0xb503, x1, 2000, x2) + +inst_515: +// rs1_val==46340 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 2004, x2) + +inst_516: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb504, 0x66666665, x1, 2008, x2) + +inst_517: +// rs1_val==46340 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb504, 0x33333332, x1, 2012, x2) + +inst_518: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb504, 0x55555554, x1, 2016, x2) + +inst_519: +// rs1_val==46340 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2020, x2) + +inst_520: +// rs1_val==46340 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb504, 0xb504, x1, 2024, x2) + +inst_521: +// rs1_val==46340 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb504, -0xb504, x1, 2028, x2) + +inst_522: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x1, 2032, x2) + +inst_523: +// rs1_val==46340 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb504, 0x33333333, x1, 2036, x2) + +inst_524: +// rs1_val==46340 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb504, 0x5, x1, 2040, x2) + +inst_525: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_526: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb504, 0x55555555, x1, 0, x2) + +inst_527: +// rs1_val==46340 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 4, x2) + +inst_528: +// rs1_val==-46340 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb504, 0xb505, x1, 8, x2) + +inst_529: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x1, 12, x2) + +inst_530: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb504, 0x66666667, x1, 16, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb504, 0x33333334, x1, 20, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb504, 0x6, x1, 24, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x1, 28, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb504, 0x55555556, x1, 32, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb504, 0x4, x1, 36, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 40, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 44, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6665b161, -0xb504, 0x66666665, x1, 48, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x1, 52, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5554a050, -0xb504, 0x55555554, x1, 56, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 60, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb504, 0xb504, x1, 64, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x1, 68, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb504, 0x66666666, x1, 72, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x1, 76, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb504, 0x5, x1, 80, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x1, 84, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb504, 0x55555555, x1, 88, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 92, x2) + +inst_550: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x1, 96, x2) + +inst_551: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666666, -0xb503, x1, 100, x2) + +inst_552: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x1, 104, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x1, 108, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666666, 0x6, x1, 112, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x1, 116, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x1, 120, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666666, 0x4, x1, 124, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666666, 0xb503, x1, 128, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 132, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x1, 136, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666666, 0x33333332, x1, 140, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x1, 144, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666666, 0x2, x1, 148, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x1, 152, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666666, -0xb504, x1, 156, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x1, 160, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666666, 0x33333333, x1, 164, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666666, 0x5, x1, 168, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x1, 172, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x1, 176, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666666, 0x3, x1, 180, x2) + +inst_572: +// rs1_val==858993459 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333333, 0xb505, x1, 184, x2) + +inst_573: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333333, -0xb503, x1, 188, x2) + +inst_574: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x1, 192, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333333, 0x33333334, x1, 196, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333333, 0x6, x1, 200, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x1, 204, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333333, 0x55555556, x1, 208, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 212, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333333, 0xb503, x1, 216, x2) + +inst_581: +// rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x20000001 +TEST_RR_OP(add, x12, x10, x11, 0xe000001f, 0x20, -0x20000001, x1, 220, x2) + +inst_582: +// rs2_val == -268435457, rs1_val == 4 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10000001 +TEST_RR_OP(add, x12, x10, x11, 0xf0000003, 0x4, -0x10000001, x1, 224, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x10_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x10_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S new file mode 100644 index 000000000..839748400 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S @@ -0,0 +1,2890 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the addi instruction of the RISC-V E extension for the addi covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",addi) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x6, rd==x5, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 536870912 +// opcode: addi ; op1:x6; dest:x5; op1val:0x20000000; immval:-0x800 +TEST_IMM_OP( addi, x5, x6, 0x1ffff800, 0x20000000, -0x800, x4, 0, x8) + +inst_1: +// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: addi ; op1:x10; dest:x10; op1val:0x7fffffff; immval:0x667 +TEST_IMM_OP( addi, x10, x10, 0x80000666, 0x7fffffff, 0x667, x4, 4, x8) + +inst_2: +// rs1==x14, rd==x0, rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 +// opcode: addi ; op1:x14; dest:x0; op1val:-0x40000001; immval:0x40 +TEST_IMM_OP( addi, x0, x14, 0, -0x40000001, 0x40, x4, 8, x8) + +inst_3: +// rs1==x2, rd==x11, rs1_val == -536870913, +// opcode: addi ; op1:x2; dest:x11; op1val:-0x20000001; immval:0x556 +TEST_IMM_OP( addi, x11, x2, 0xe0000555, -0x20000001, 0x556, x4, 12, x8) + +inst_4: +// rs1==x15, rd==x6, rs1_val == -268435457, imm_val == -17, rs1_val < 0 and imm_val < 0 +// opcode: addi ; op1:x15; dest:x6; op1val:-0x10000001; immval:-0x11 +TEST_IMM_OP( addi, x6, x15, 0xefffffee, -0x10000001, -0x11, x4, 16, x8) + +inst_5: +// rs1==x13, rd==x1, rs1_val == -134217729, +// opcode: addi ; op1:x13; dest:x1; op1val:-0x8000001; immval:-0x4 +TEST_IMM_OP( addi, x1, x13, 0xf7fffffb, -0x8000001, -0x4, x4, 20, x8) + +inst_6: +// rs1==x5, rd==x3, rs1_val == -67108865, imm_val == 0 +// opcode: addi ; op1:x5; dest:x3; op1val:-0x4000001; immval:0x0 +TEST_IMM_OP( addi, x3, x5, 0xfbffffff, -0x4000001, 0x0, x4, 24, x8) + +inst_7: +// rs1==x0, rd==x15, rs1_val == -33554433, +// opcode: addi ; op1:x0; dest:x15; op1val:0x0; immval:0x0 +TEST_IMM_OP( addi, x15, x0, 0x0, 0x0, 0x0, x4, 28, x8) + +inst_8: +// rs1==x12, rd==x7, rs1_val == -16777217, imm_val == 4 +// opcode: addi ; op1:x12; dest:x7; op1val:-0x1000001; immval:0x4 +TEST_IMM_OP( addi, x7, x12, 0xff000003, -0x1000001, 0x4, x4, 32, x8) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_9: +// rs1==x1, rd==x8, rs1_val == -8388609, +// opcode: addi ; op1:x1; dest:x8; op1val:-0x800001; immval:0x40 +TEST_IMM_OP( addi, x8, x1, 0xff80003f, -0x800001, 0x40, x5, 0, x6) + +inst_10: +// rs1==x11, rd==x4, rs1_val == -4194305, imm_val == -5 +// opcode: addi ; op1:x11; dest:x4; op1val:-0x400001; immval:-0x5 +TEST_IMM_OP( addi, x4, x11, 0xffbffffa, -0x400001, -0x5, x5, 4, x6) + +inst_11: +// rs1==x7, rd==x13, rs1_val == -2097153, imm_val == 1365 +// opcode: addi ; op1:x7; dest:x13; op1val:-0x200001; immval:0x555 +TEST_IMM_OP( addi, x13, x7, 0xffe00554, -0x200001, 0x555, x5, 8, x6) + +inst_12: +// rs1==x3, rd==x14, rs1_val == -1048577, +// opcode: addi ; op1:x3; dest:x14; op1val:-0x100001; immval:-0x11 +TEST_IMM_OP( addi, x14, x3, 0xffefffee, -0x100001, -0x11, x5, 12, x6) + +inst_13: +// rs1==x4, rd==x2, rs1_val == -524289, +// opcode: addi ; op1:x4; dest:x2; op1val:-0x80001; immval:-0xa +TEST_IMM_OP( addi, x2, x4, 0xfff7fff5, -0x80001, -0xa, x5, 16, x6) + +inst_14: +// rs1==x8, rd==x9, rs1_val == -262145, imm_val == -513 +// opcode: addi ; op1:x8; dest:x9; op1val:-0x40001; immval:-0x201 +TEST_IMM_OP( addi, x9, x8, 0xfffbfdfe, -0x40001, -0x201, x5, 20, x6) + +inst_15: +// rs1==x9, rd==x12, rs1_val == -131073, +// opcode: addi ; op1:x9; dest:x12; op1val:-0x20001; immval:0x3 +TEST_IMM_OP( addi, x12, x9, 0xfffe0002, -0x20001, 0x3, x5, 24, x6) + +inst_16: +// rs1_val == -65537, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff0004, -0x10001, 0x5, x5, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs1_val == -32769, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff8005, -0x8001, 0x6, x1, 0, x2) + +inst_18: +// rs1_val == -16385, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x8 +TEST_IMM_OP( addi, x11, x10, 0xffffbff7, -0x4001, -0x8, x1, 4, x2) + +inst_19: +// rs1_val == -8193, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x6 +TEST_IMM_OP( addi, x11, x10, 0xffffdff9, -0x2001, -0x6, x1, 8, x2) + +inst_20: +// rs1_val == -4097, imm_val == -33 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x21 +TEST_IMM_OP( addi, x11, x10, 0xffffefde, -0x1001, -0x21, x1, 12, x2) + +inst_21: +// rs1_val == -2049, imm_val == 512 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x801; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0xfffff9ff, -0x801, 0x200, x1, 16, x2) + +inst_22: +// rs1_val == -1025, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x401; immval:-0x6 +TEST_IMM_OP( addi, x11, x10, 0xfffffbf9, -0x401, -0x6, x1, 20, x2) + +inst_23: +// rs1_val == -513, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x201; immval:-0x21 +TEST_IMM_OP( addi, x11, x10, 0xfffffdde, -0x201, -0x21, x1, 24, x2) + +inst_24: +// rs1_val == -257, imm_val == 2 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x101; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffffff01, -0x101, 0x2, x1, 28, x2) + +inst_25: +// rs1_val == -129, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffac, -0x81, 0x2d, x1, 32, x2) + +inst_26: +// rs1_val == -65, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffeb, -0x41, 0x2c, x1, 36, x2) + +inst_27: +// rs1_val == -33, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffffffe1, -0x21, 0x2, x1, 40, x2) + +inst_28: +// rs1_val == -17, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xfffffff5, -0x11, 0x6, x1, 44, x2) + +inst_29: +// rs1_val == -9, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x9; immval:-0x5 +TEST_IMM_OP( addi, x11, x10, 0xfffffff2, -0x9, -0x5, x1, 48, x2) + +inst_30: +// rs1_val == -5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x27, -0x5, 0x2c, x1, 52, x2) + +inst_31: +// rs1_val == -3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x3; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd1, -0x3, -0x2c, x1, 56, x2) + +inst_32: +// rs1_val == -2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa +TEST_IMM_OP( addi, x11, x10, 0xfffffff4, -0x2, -0xa, x1, 60, x2) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1) +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x7ff +TEST_IMM_OP( addi, x11, x10, 0xbd02, 0xb503, 0x7ff, x1, 64, x2) + +inst_34: +// imm_val == -1025, rs1_val == 1024 +// opcode: addi ; op1:x10; dest:x11; op1val:0x400; immval:-0x401 +TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x400, -0x401, x1, 68, x2) + +inst_35: +// imm_val == -257, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x101 +TEST_IMM_OP( addi, x11, x10, 0xffffeefe, -0x1001, -0x101, x1, 72, x2) + +inst_36: +// imm_val == -129, rs1_val == 134217728 +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x81 +TEST_IMM_OP( addi, x11, x10, 0x7ffff7f, 0x8000000, -0x81, x1, 76, x2) + +inst_37: +// imm_val == -65, rs1_val == 4 +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0xffffffc3, 0x4, -0x41, x1, 80, x2) + +inst_38: +// imm_val == -9, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x9 +TEST_IMM_OP( addi, x11, x10, 0xffffffb6, -0x41, -0x9, x1, 84, x2) + +inst_39: +// imm_val == -3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x3 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x6, -0x3, x1, 88, x2) + +inst_40: +// imm_val == -2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:-0x2 +TEST_IMM_OP( addi, x11, x10, 0xfffffff9, -0x5, -0x2, x1, 92, x2) + +inst_41: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: addi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x80000556, -0x80000000, 0x556, x1, 96, x2) + +inst_42: +// rs1_val == 1073741824, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x400 +TEST_IMM_OP( addi, x11, x10, 0x3ffffc00, 0x40000000, -0x400, x1, 100, x2) + +inst_43: +// rs1_val == 268435456, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x10000000, 0x10000000, 0x0, x1, 104, x2) + +inst_44: +// rs1_val == 67108864, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0xa +TEST_IMM_OP( addi, x11, x10, 0x3fffff6, 0x4000000, -0xa, x1, 108, x2) + +inst_45: +// rs1_val == 33554432, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2000000; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x200002e, 0x2000000, 0x2e, x1, 112, x2) + +inst_46: +// rs1_val == 16777216, +// opcode: addi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x1000667, 0x1000000, 0x667, x1, 116, x2) + +inst_47: +// rs1_val == 8388608, +// opcode: addi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0x7fffbf, 0x800000, -0x41, x1, 120, x2) + +inst_48: +// rs1_val == 4194304, +// opcode: addi ; op1:x10; dest:x11; op1val:0x400000; immval:-0x101 +TEST_IMM_OP( addi, x11, x10, 0x3ffeff, 0x400000, -0x101, x1, 124, x2) + +inst_49: +// rs1_val == 2097152, +// opcode: addi ; op1:x10; dest:x11; op1val:0x200000; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x200555, 0x200000, 0x555, x1, 128, x2) + +inst_50: +// rs1_val == 1048576, +// opcode: addi ; op1:x10; dest:x11; op1val:0x100000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x100000, 0x100000, 0x0, x1, 132, x2) + +inst_51: +// rs1_val == 524288, +// opcode: addi ; op1:x10; dest:x11; op1val:0x80000; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x80005, 0x80000, 0x5, x1, 136, x2) + +inst_52: +// rs1_val == 262144, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40000; immval:0x3ff +TEST_IMM_OP( addi, x11, x10, 0x403ff, 0x40000, 0x3ff, x1, 140, x2) + +inst_53: +// rs1_val == 131072, +// opcode: addi ; op1:x10; dest:x11; op1val:0x20000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x20000, 0x20000, 0x0, x1, 144, x2) + +inst_54: +// rs1_val == 65536, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10000; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0x10200, 0x10000, 0x200, x1, 148, x2) + +inst_55: +// rs1_val == 32768, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x7 +TEST_IMM_OP( addi, x11, x10, 0x7ff9, 0x8000, -0x7, x1, 152, x2) + +inst_56: +// rs1_val == 16384, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4000; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x4333, 0x4000, 0x333, x1, 156, x2) + +inst_57: +// rs1_val == 8192, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2000; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x2555, 0x2000, 0x555, x1, 160, x2) + +inst_58: +// rs1_val == 4096, imm_val == -1366 +// opcode: addi ; op1:x10; dest:x11; op1val:0x1000; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaa, 0x1000, -0x556, x1, 164, x2) + +inst_59: +// rs1_val == 2048, +// opcode: addi ; op1:x10; dest:x11; op1val:0x800; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xe65, 0x800, 0x665, x1, 168, x2) + +inst_60: +// rs1_val == 512, +// opcode: addi ; op1:x10; dest:x11; op1val:0x200; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0x1bf, 0x200, -0x41, x1, 172, x2) + +inst_61: +// rs1_val == 256, +// opcode: addi ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff +TEST_IMM_OP( addi, x11, x10, 0x4ff, 0x100, 0x3ff, x1, 176, x2) + +inst_62: +// rs1_val == 128, +// opcode: addi ; op1:x10; dest:x11; op1val:0x80; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x6e6, 0x80, 0x666, x1, 180, x2) + +inst_63: +// rs1_val == 64, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x373, 0x40, 0x333, x1, 184, x2) + +inst_64: +// rs1_val == 32, +// opcode: addi ; op1:x10; dest:x11; op1val:0x20; immval:0x7ff +TEST_IMM_OP( addi, x11, x10, 0x81f, 0x20, 0x7ff, x1, 188, x2) + +inst_65: +// rs1_val == 16, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0x210, 0x10, 0x200, x1, 192, x2) + +inst_66: +// rs1_val == 8, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x8, 0x0, x1, 196, x2) + +inst_67: +// rs1_val == 2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x3 +TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x2, -0x3, x1, 200, x2) + +inst_68: +// rs1_val == 1, imm_val == 256 +// opcode: addi ; op1:x10; dest:x11; op1val:0x1; immval:0x100 +TEST_IMM_OP( addi, x11, x10, 0x101, 0x1, 0x100, x1, 204, x2) + +inst_69: +// imm_val == 1024, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x400 +TEST_IMM_OP( addi, x11, x10, 0x3bf, -0x41, 0x400, x1, 208, x2) + +inst_70: +// imm_val == 128, rs1_val == 0 +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x80 +TEST_IMM_OP( addi, x11, x10, 0x80, 0x0, 0x80, x1, 212, x2) + +inst_71: +// imm_val == 32, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x20 +TEST_IMM_OP( addi, x11, x10, 0x20, 0x0, 0x20, x1, 216, x2) + +inst_72: +// imm_val == 16, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 +TEST_IMM_OP( addi, x11, x10, 0x8010, 0x8000, 0x10, x1, 220, x2) + +inst_73: +// imm_val == 8, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 +TEST_IMM_OP( addi, x11, x10, 0x0, -0x8, 0x8, x1, 224, x2) + +inst_74: +// imm_val == 1, rs1_val == 1431655765 +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555555, 0x1, x1, 228, x2) + +inst_75: +// rs1_val==46341 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb533, 0xb505, 0x2e, x1, 232, x2) + +inst_76: +// rs1_val==46341 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d9, 0xb505, -0x2c, x1, 236, x2) + +inst_77: +// rs1_val==46341 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6c, 0xb505, 0x667, x1, 240, x2) + +inst_78: +// rs1_val==46341 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb839, 0xb505, 0x334, x1, 244, x2) + +inst_79: +// rs1_val==46341 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb50b, 0xb505, 0x6, x1, 248, x2) + +inst_80: +// rs1_val==46341 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafb0, 0xb505, -0x555, x1, 252, x2) + +inst_81: +// rs1_val==46341 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba5b, 0xb505, 0x556, x1, 256, x2) + +inst_82: +// rs1_val==46341 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb505, 0x4, x1, 260, x2) + +inst_83: +// rs1_val==46341 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb505, 0x2c, x1, 264, x2) + +inst_84: +// rs1_val==46341 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb505, 0x0, x1, 268, x2) + +inst_85: +// rs1_val==46341 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb505, 0x665, x1, 272, x2) + +inst_86: +// rs1_val==46341 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb505, 0x332, x1, 276, x2) + +inst_87: +// rs1_val==46341 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb505, 0x554, x1, 280, x2) + +inst_88: +// rs1_val==46341 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb505, 0x2, x1, 284, x2) + +inst_89: +// rs1_val==46341 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb505, 0x2d, x1, 288, x2) + +inst_90: +// rs1_val==46341 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb505, -0x2d, x1, 292, x2) + +inst_91: +// rs1_val==46341 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb505, 0x666, x1, 296, x2) + +inst_92: +// rs1_val==46341 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb505, 0x333, x1, 300, x2) + +inst_93: +// rs1_val==46341 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb505, 0x5, x1, 304, x2) + +inst_94: +// rs1_val==46341 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb505, -0x556, x1, 308, x2) + +inst_95: +// rs1_val==46341 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb505, 0x555, x1, 312, x2) + +inst_96: +// rs1_val==46341 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb505, 0x3, x1, 316, x2) + +inst_97: +// rs1_val==-46339 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xffff4b2b, -0xb503, 0x2e, x1, 320, x2) + +inst_98: +// rs1_val==-46339 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4ad1, -0xb503, -0x2c, x1, 324, x2) + +inst_99: +// rs1_val==-46339 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xffff5164, -0xb503, 0x667, x1, 328, x2) + +inst_100: +// rs1_val==-46339 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xffff4e31, -0xb503, 0x334, x1, 332, x2) + +inst_101: +// rs1_val==-46339 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff4b03, -0xb503, 0x6, x1, 336, x2) + +inst_102: +// rs1_val==-46339 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff45a8, -0xb503, -0x555, x1, 340, x2) + +inst_103: +// rs1_val==-46339 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff5053, -0xb503, 0x556, x1, 344, x2) + +inst_104: +// rs1_val==-46339 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb503, 0x4, x1, 348, x2) + +inst_105: +// rs1_val==-46339 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb503, 0x2c, x1, 352, x2) + +inst_106: +// rs1_val==-46339 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xffff4afd, -0xb503, 0x0, x1, 356, x2) + +inst_107: +// rs1_val==-46339 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb503, 0x665, x1, 360, x2) + +inst_108: +// rs1_val==-46339 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb503, 0x332, x1, 364, x2) + +inst_109: +// rs1_val==-46339 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb503, 0x554, x1, 368, x2) + +inst_110: +// rs1_val==-46339 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb503, 0x2, x1, 372, x2) + +inst_111: +// rs1_val==-46339 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb503, 0x2d, x1, 376, x2) + +inst_112: +// rs1_val==-46339 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb503, -0x2d, x1, 380, x2) + +inst_113: +// rs1_val==-46339 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb503, 0x666, x1, 384, x2) + +inst_114: +// rs1_val==-46339 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb503, 0x333, x1, 388, x2) + +inst_115: +// rs1_val==-46339 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb503, 0x5, x1, 392, x2) + +inst_116: +// rs1_val==-46339 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb503, -0x556, x1, 396, x2) + +inst_117: +// rs1_val==-46339 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb503, 0x555, x1, 400, x2) + +inst_118: +// rs1_val==-46339 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb503, 0x3, x1, 404, x2) + +inst_119: +// rs1_val==1717986919 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666695, 0x66666667, 0x2e, x1, 408, x2) + +inst_120: +// rs1_val==1717986919 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x6666663b, 0x66666667, -0x2c, x1, 412, x2) + +inst_121: +// rs1_val==1717986919 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666cce, 0x66666667, 0x667, x1, 416, x2) + +inst_122: +// rs1_val==1717986919 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x6666699b, 0x66666667, 0x334, x1, 420, x2) + +inst_123: +// rs1_val==1717986919 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666d, 0x66666667, 0x6, x1, 424, x2) + +inst_124: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666112, 0x66666667, -0x555, x1, 428, x2) + +inst_125: +// rs1_val==1717986919 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbd, 0x66666667, 0x556, x1, 432, x2) + +inst_126: +// rs1_val==1717986919 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666667, 0x4, x1, 436, x2) + +inst_127: +// rs1_val==1717986919 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666667, 0x2c, x1, 440, x2) + +inst_128: +// rs1_val==1717986919 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666667, 0x0, x1, 444, x2) + +inst_129: +// rs1_val==1717986919 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666667, 0x665, x1, 448, x2) + +inst_130: +// rs1_val==1717986919 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666667, 0x332, x1, 452, x2) + +inst_131: +// rs1_val==1717986919 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666667, 0x554, x1, 456, x2) + +inst_132: +// rs1_val==1717986919 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666667, 0x2, x1, 460, x2) + +inst_133: +// rs1_val==1717986919 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666667, 0x2d, x1, 464, x2) + +inst_134: +// rs1_val==1717986919 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666667, -0x2d, x1, 468, x2) + +inst_135: +// rs1_val==1717986919 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666667, 0x666, x1, 472, x2) + +inst_136: +// rs1_val==1717986919 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666667, 0x333, x1, 476, x2) + +inst_137: +// rs1_val==1717986919 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666667, 0x5, x1, 480, x2) + +inst_138: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666667, -0x556, x1, 484, x2) + +inst_139: +// rs1_val==1717986919 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666667, 0x555, x1, 488, x2) + +inst_140: +// rs1_val==1717986919 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666667, 0x3, x1, 492, x2) + +inst_141: +// rs1_val==858993460 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333362, 0x33333334, 0x2e, x1, 496, x2) + +inst_142: +// rs1_val==858993460 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333308, 0x33333334, -0x2c, x1, 500, x2) + +inst_143: +// rs1_val==858993460 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x3333399b, 0x33333334, 0x667, x1, 504, x2) + +inst_144: +// rs1_val==858993460 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333668, 0x33333334, 0x334, x1, 508, x2) + +inst_145: +// rs1_val==858993460 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x3333333a, 0x33333334, 0x6, x1, 512, x2) + +inst_146: +// rs1_val==858993460 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332ddf, 0x33333334, -0x555, x1, 516, x2) + +inst_147: +// rs1_val==858993460 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x3333388a, 0x33333334, 0x556, x1, 520, x2) + +inst_148: +// rs1_val==858993460 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333334, 0x4, x1, 524, x2) + +inst_149: +// rs1_val==858993460 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333334, 0x2c, x1, 528, x2) + +inst_150: +// rs1_val==858993460 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333334, 0x0, x1, 532, x2) + +inst_151: +// rs1_val==858993460 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333334, 0x665, x1, 536, x2) + +inst_152: +// rs1_val==858993460 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333334, 0x332, x1, 540, x2) + +inst_153: +// rs1_val==858993460 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333334, 0x554, x1, 544, x2) + +inst_154: +// rs1_val==858993460 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333334, 0x2, x1, 548, x2) + +inst_155: +// rs1_val==858993460 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333334, 0x2d, x1, 552, x2) + +inst_156: +// rs1_val==858993460 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333334, -0x2d, x1, 556, x2) + +inst_157: +// rs1_val==858993460 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333334, 0x666, x1, 560, x2) + +inst_158: +// rs1_val==858993460 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333334, 0x333, x1, 564, x2) + +inst_159: +// rs1_val==858993460 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333334, 0x5, x1, 568, x2) + +inst_160: +// rs1_val==858993460 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333334, -0x556, x1, 572, x2) + +inst_161: +// rs1_val==858993460 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333334, 0x555, x1, 576, x2) + +inst_162: +// rs1_val==858993460 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333334, 0x3, x1, 580, x2) + +inst_163: +// rs1_val==6 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x34, 0x6, 0x2e, x1, 584, x2) + +inst_164: +// rs1_val==6 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffda, 0x6, -0x2c, x1, 588, x2) + +inst_165: +// rs1_val==6 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66d, 0x6, 0x667, x1, 592, x2) + +inst_166: +// rs1_val==6 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33a, 0x6, 0x334, x1, 596, x2) + +inst_167: +// rs1_val==6 and imm_val==6, rs1_val == imm_val +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xc, 0x6, 0x6, x1, 600, x2) + +inst_168: +// rs1_val==6 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffab1, 0x6, -0x555, x1, 604, x2) + +inst_169: +// rs1_val==6 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55c, 0x6, 0x556, x1, 608, x2) + +inst_170: +// rs1_val==6 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x6, 0x4, x1, 612, x2) + +inst_171: +// rs1_val==6 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x32, 0x6, 0x2c, x1, 616, x2) + +inst_172: +// rs1_val==6 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x6, 0x0, x1, 620, x2) + +inst_173: +// rs1_val==6 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x6, 0x665, x1, 624, x2) + +inst_174: +// rs1_val==6 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x6, 0x332, x1, 628, x2) + +inst_175: +// rs1_val==6 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x6, 0x554, x1, 632, x2) + +inst_176: +// rs1_val==6 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x6, 0x2, x1, 636, x2) + +inst_177: +// rs1_val==6 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33, 0x6, 0x2d, x1, 640, x2) + +inst_178: +// rs1_val==6 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x6, -0x2d, x1, 644, x2) + +inst_179: +// rs1_val==6 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66c, 0x6, 0x666, x1, 648, x2) + +inst_180: +// rs1_val==6 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x339, 0x6, 0x333, x1, 652, x2) + +inst_181: +// rs1_val==6 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb, 0x6, 0x5, x1, 656, x2) + +inst_182: +// rs1_val==6 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x6, -0x556, x1, 660, x2) + +inst_183: +// rs1_val==6 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55b, 0x6, 0x555, x1, 664, x2) + +inst_184: +// rs1_val==6 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x6, 0x3, x1, 668, x2) + +inst_185: +// rs1_val==-1431655765 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad9, -0x55555555, 0x2e, x1, 672, x2) + +inst_186: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7f, -0x55555555, -0x2c, x1, 676, x2) + +inst_187: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xaaaab112, -0x55555555, 0x667, x1, 680, x2) + +inst_188: +// rs1_val==-1431655765 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddf, -0x55555555, 0x334, x1, 684, x2) + +inst_189: +// rs1_val==-1431655765 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 688, x2) + +inst_190: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa556, -0x55555555, -0x555, x1, 692, x2) + +inst_191: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaab001, -0x55555555, 0x556, x1, 696, x2) + +inst_192: +// rs1_val==-1431655765 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 700, x2) + +inst_193: +// rs1_val==-1431655765 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555555, 0x2c, x1, 704, x2) + +inst_194: +// rs1_val==-1431655765 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 708, x2) + +inst_195: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555555, 0x665, x1, 712, x2) + +inst_196: +// rs1_val==-1431655765 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555555, 0x332, x1, 716, x2) + +inst_197: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x1, 720, x2) + +inst_198: +// rs1_val==-1431655765 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 724, x2) + +inst_199: +// rs1_val==-1431655765 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555555, 0x2d, x1, 728, x2) + +inst_200: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555555, -0x2d, x1, 732, x2) + +inst_201: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555555, 0x666, x1, 736, x2) + +inst_202: +// rs1_val==-1431655765 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555555, 0x333, x1, 740, x2) + +inst_203: +// rs1_val==-1431655765 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 744, x2) + +inst_204: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555555, -0x556, x1, 748, x2) + +inst_205: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555555, 0x555, x1, 752, x2) + +inst_206: +// rs1_val==-1431655765 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 756, x2) + +inst_207: +// rs1_val==1431655766 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555584, 0x55555556, 0x2e, x1, 760, x2) + +inst_208: +// rs1_val==1431655766 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x5555552a, 0x55555556, -0x2c, x1, 764, x2) + +inst_209: +// rs1_val==1431655766 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbd, 0x55555556, 0x667, x1, 768, x2) + +inst_210: +// rs1_val==1431655766 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x5555588a, 0x55555556, 0x334, x1, 772, x2) + +inst_211: +// rs1_val==1431655766 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555c, 0x55555556, 0x6, x1, 776, x2) + +inst_212: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555001, 0x55555556, -0x555, x1, 780, x2) + +inst_213: +// rs1_val==1431655766 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aac, 0x55555556, 0x556, x1, 784, x2) + +inst_214: +// rs1_val==1431655766 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555556, 0x4, x1, 788, x2) + +inst_215: +// rs1_val==1431655766 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555556, 0x2c, x1, 792, x2) + +inst_216: +// rs1_val==1431655766 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555556, 0x0, x1, 796, x2) + +inst_217: +// rs1_val==1431655766 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555556, 0x665, x1, 800, x2) + +inst_218: +// rs1_val==1431655766 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555556, 0x332, x1, 804, x2) + +inst_219: +// rs1_val==1431655766 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555556, 0x554, x1, 808, x2) + +inst_220: +// rs1_val==1431655766 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555556, 0x2, x1, 812, x2) + +inst_221: +// rs1_val==1431655766 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555556, 0x2d, x1, 816, x2) + +inst_222: +// rs1_val==1431655766 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555556, -0x2d, x1, 820, x2) + +inst_223: +// rs1_val==1431655766 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555556, 0x666, x1, 824, x2) + +inst_224: +// rs1_val==1431655766 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555556, 0x333, x1, 828, x2) + +inst_225: +// rs1_val==1431655766 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555556, 0x5, x1, 832, x2) + +inst_226: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555556, -0x556, x1, 836, x2) + +inst_227: +// rs1_val==1431655766 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555556, 0x555, x1, 840, x2) + +inst_228: +// rs1_val==1431655766 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555556, 0x3, x1, 844, x2) + +inst_229: +// rs1_val==4 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x32, 0x4, 0x2e, x1, 848, x2) + +inst_230: +// rs1_val==4 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x4, -0x2c, x1, 852, x2) + +inst_231: +// rs1_val==4 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x4, 0x667, x1, 856, x2) + +inst_232: +// rs1_val==4 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x4, 0x334, x1, 860, x2) + +inst_233: +// rs1_val==4 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x4, 0x6, x1, 864, x2) + +inst_234: +// rs1_val==4 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x4, -0x555, x1, 868, x2) + +inst_235: +// rs1_val==4 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x4, 0x556, x1, 872, x2) + +inst_236: +// rs1_val==4 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x4, 0x4, x1, 876, x2) + +inst_237: +// rs1_val==4 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x30, 0x4, 0x2c, x1, 880, x2) + +inst_238: +// rs1_val==4 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x4, 0x0, x1, 884, x2) + +inst_239: +// rs1_val==4 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x4, 0x665, x1, 888, x2) + +inst_240: +// rs1_val==4 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x4, 0x332, x1, 892, x2) + +inst_241: +// rs1_val==4 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x4, 0x554, x1, 896, x2) + +inst_242: +// rs1_val==4 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x4, 0x2, x1, 900, x2) + +inst_243: +// rs1_val==4 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x31, 0x4, 0x2d, x1, 904, x2) + +inst_244: +// rs1_val==4 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x4, -0x2d, x1, 908, x2) + +inst_245: +// rs1_val==4 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x4, 0x666, x1, 912, x2) + +inst_246: +// rs1_val==4 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x4, 0x333, x1, 916, x2) + +inst_247: +// rs1_val==4 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x4, 0x5, x1, 920, x2) + +inst_248: +// rs1_val==4 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x4, -0x556, x1, 924, x2) + +inst_249: +// rs1_val==4 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x4, 0x555, x1, 928, x2) + +inst_250: +// rs1_val==4 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x4, 0x3, x1, 932, x2) + +inst_251: +// rs1_val==46339 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb503, 0x2e, x1, 936, x2) + +inst_252: +// rs1_val==46339 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb503, -0x2c, x1, 940, x2) + +inst_253: +// rs1_val==46339 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb503, 0x667, x1, 944, x2) + +inst_254: +// rs1_val==46339 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb503, 0x334, x1, 948, x2) + +inst_255: +// rs1_val==46339 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb503, 0x6, x1, 952, x2) + +inst_256: +// rs1_val==46339 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb503, -0x555, x1, 956, x2) + +inst_257: +// rs1_val==46339 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb503, 0x556, x1, 960, x2) + +inst_258: +// rs1_val==46339 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb503, 0x4, x1, 964, x2) + +inst_259: +// rs1_val==46339 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb52f, 0xb503, 0x2c, x1, 968, x2) + +inst_260: +// rs1_val==46339 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb503, 0xb503, 0x0, x1, 972, x2) + +inst_261: +// rs1_val==46339 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb68, 0xb503, 0x665, x1, 976, x2) + +inst_262: +// rs1_val==46339 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb835, 0xb503, 0x332, x1, 980, x2) + +inst_263: +// rs1_val==46339 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba57, 0xb503, 0x554, x1, 984, x2) + +inst_264: +// rs1_val==46339 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb503, 0x2, x1, 988, x2) + +inst_265: +// rs1_val==46339 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb503, 0x2d, x1, 992, x2) + +inst_266: +// rs1_val==46339 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d6, 0xb503, -0x2d, x1, 996, x2) + +inst_267: +// rs1_val==46339 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb503, 0x666, x1, 1000, x2) + +inst_268: +// rs1_val==46339 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb503, 0x333, x1, 1004, x2) + +inst_269: +// rs1_val==46339 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb503, 0x5, x1, 1008, x2) + +inst_270: +// rs1_val==46339 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafad, 0xb503, -0x556, x1, 1012, x2) + +inst_271: +// rs1_val==46339 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb503, 0x555, x1, 1016, x2) + +inst_272: +// rs1_val==46339 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb503, 0x3, x1, 1020, x2) + +inst_273: +// rs1_val==0 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x2e, 0x0, 0x2e, x1, 1024, x2) + +inst_274: +// rs1_val==0 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd4, 0x0, -0x2c, x1, 1028, x2) + +inst_275: +// rs1_val==0 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x667, 0x0, 0x667, x1, 1032, x2) + +inst_276: +// rs1_val==0 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x334, 0x0, 0x334, x1, 1036, x2) + +inst_277: +// rs1_val==0 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x0, 0x6, x1, 1040, x2) + +inst_278: +// rs1_val==0 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaab, 0x0, -0x555, x1, 1044, x2) + +inst_279: +// rs1_val==0 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x556, 0x0, 0x556, x1, 1048, x2) + +inst_280: +// rs1_val==0 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x0, 0x4, x1, 1052, x2) + +inst_281: +// rs1_val==0 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2c, 0x0, 0x2c, x1, 1056, x2) + +inst_282: +// rs1_val==0 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x0, 0x0, 0x0, x1, 1060, x2) + +inst_283: +// rs1_val==0 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x665, 0x0, 0x665, x1, 1064, x2) + +inst_284: +// rs1_val==0 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x332, 0x0, 0x332, x1, 1068, x2) + +inst_285: +// rs1_val==0 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x554, 0x0, 0x554, x1, 1072, x2) + +inst_286: +// rs1_val==0 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x2, 0x0, 0x2, x1, 1076, x2) + +inst_287: +// rs1_val==0 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x2d, 0x0, 0x2d, x1, 1080, x2) + +inst_288: +// rs1_val==0 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd3, 0x0, -0x2d, x1, 1084, x2) + +inst_289: +// rs1_val==0 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x666, 0x0, 0x666, x1, 1088, x2) + +inst_290: +// rs1_val==0 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x333, 0x0, 0x333, x1, 1092, x2) + +inst_291: +// rs1_val==0 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x0, 0x5, x1, 1096, x2) + +inst_292: +// rs1_val==0 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaa, 0x0, -0x556, x1, 1100, x2) + +inst_293: +// rs1_val==0 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x555, 0x0, 0x555, x1, 1104, x2) + +inst_294: +// rs1_val==0 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x0, 0x3, x1, 1108, x2) + +inst_295: +// rs1_val==1717986917 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666665, 0x2e, x1, 1112, x2) + +inst_296: +// rs1_val==1717986917 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666665, -0x2c, x1, 1116, x2) + +inst_297: +// rs1_val==1717986917 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666665, 0x667, x1, 1120, x2) + +inst_298: +// rs1_val==1717986917 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666665, 0x334, x1, 1124, x2) + +inst_299: +// rs1_val==1717986917 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666665, 0x6, x1, 1128, x2) + +inst_300: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666665, -0x555, x1, 1132, x2) + +inst_301: +// rs1_val==1717986917 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666665, 0x556, x1, 1136, x2) + +inst_302: +// rs1_val==1717986917 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666665, 0x4, x1, 1140, x2) + +inst_303: +// rs1_val==1717986917 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666691, 0x66666665, 0x2c, x1, 1144, x2) + +inst_304: +// rs1_val==1717986917 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666665, 0x66666665, 0x0, x1, 1148, x2) + +inst_305: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555556, -0x555, x1, 1152, x2) + +inst_306: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555556, 0x556, x1, 1156, x2) + +inst_307: +// rs1_val==-1431655766 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1160, x2) + +inst_308: +// rs1_val==-1431655766 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad6, -0x55555556, 0x2c, x1, 1164, x2) + +inst_309: +// rs1_val==-1431655766 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1168, x2) + +inst_310: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xaaaab10f, -0x55555556, 0x665, x1, 1172, x2) + +inst_311: +// rs1_val==-1431655766 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddc, -0x55555556, 0x332, x1, 1176, x2) + +inst_312: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x1, 1180, x2) + +inst_313: +// rs1_val==-1431655766 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1184, x2) + +inst_314: +// rs1_val==-1431655766 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555556, 0x2d, x1, 1188, x2) + +inst_315: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7d, -0x55555556, -0x2d, x1, 1192, x2) + +inst_316: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555556, 0x666, x1, 1196, x2) + +inst_317: +// rs1_val==-1431655766 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555556, 0x333, x1, 1200, x2) + +inst_318: +// rs1_val==-1431655766 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1204, x2) + +inst_319: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa554, -0x55555556, -0x556, x1, 1208, x2) + +inst_320: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x1, 1212, x2) + +inst_321: +// rs1_val==-1431655766 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1216, x2) + +inst_322: +// rs1_val==1431655765 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555555, 0x2e, x1, 1220, x2) + +inst_323: +// rs1_val==1431655765 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555555, -0x2c, x1, 1224, x2) + +inst_324: +// rs1_val==1431655765 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555555, 0x667, x1, 1228, x2) + +inst_325: +// rs1_val==1431655765 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555555, 0x334, x1, 1232, x2) + +inst_326: +// rs1_val==1431655765 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555555, 0x6, x1, 1236, x2) + +inst_327: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555555, -0x555, x1, 1240, x2) + +inst_328: +// rs1_val==1431655765 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555555, 0x556, x1, 1244, x2) + +inst_329: +// rs1_val==1431655765 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555555, 0x4, x1, 1248, x2) + +inst_330: +// rs1_val==1431655765 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555555, 0x2c, x1, 1252, x2) + +inst_331: +// rs1_val==1431655765 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555555, 0x55555555, 0x0, x1, 1256, x2) + +inst_332: +// rs1_val==1431655765 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555555, 0x665, x1, 1260, x2) + +inst_333: +// rs1_val==1431655765 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555555, 0x332, x1, 1264, x2) + +inst_334: +// rs1_val==1431655765 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555555, 0x554, x1, 1268, x2) + +inst_335: +// rs1_val==1431655765 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555555, 0x2, x1, 1272, x2) + +inst_336: +// rs1_val==1431655765 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555555, 0x2d, x1, 1276, x2) + +inst_337: +// rs1_val==1431655765 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555555, -0x2d, x1, 1280, x2) + +inst_338: +// rs1_val==1431655765 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555555, 0x666, x1, 1284, x2) + +inst_339: +// rs1_val==1431655765 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555555, 0x333, x1, 1288, x2) + +inst_340: +// rs1_val==1431655765 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555555, 0x5, x1, 1292, x2) + +inst_341: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555555, -0x556, x1, 1296, x2) + +inst_342: +// rs1_val==1431655765 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555555, 0x555, x1, 1300, x2) + +inst_343: +// rs1_val==1431655765 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555555, 0x3, x1, 1304, x2) + +inst_344: +// rs1_val==3 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x31, 0x3, 0x2e, x1, 1308, x2) + +inst_345: +// rs1_val==3 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x3, -0x2c, x1, 1312, x2) + +inst_346: +// rs1_val==3 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x3, 0x667, x1, 1316, x2) + +inst_347: +// rs1_val==3 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x3, 0x334, x1, 1320, x2) + +inst_348: +// rs1_val==3 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x3, 0x6, x1, 1324, x2) + +inst_349: +// rs1_val==3 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x3, -0x555, x1, 1328, x2) + +inst_350: +// rs1_val==3 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x3, 0x556, x1, 1332, x2) + +inst_351: +// rs1_val==3 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x3, 0x4, x1, 1336, x2) + +inst_352: +// rs1_val==3 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2f, 0x3, 0x2c, x1, 1340, x2) + +inst_353: +// rs1_val==3 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x3, 0x0, x1, 1344, x2) + +inst_354: +// rs1_val==3 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x668, 0x3, 0x665, x1, 1348, x2) + +inst_355: +// rs1_val==3 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x335, 0x3, 0x332, x1, 1352, x2) + +inst_356: +// rs1_val==3 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x557, 0x3, 0x554, x1, 1356, x2) + +inst_357: +// rs1_val==3 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x3, 0x2, x1, 1360, x2) + +inst_358: +// rs1_val==3 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x30, 0x3, 0x2d, x1, 1364, x2) + +inst_359: +// rs1_val==3 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x3, -0x2d, x1, 1368, x2) + +inst_360: +// rs1_val==3 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x3, 0x666, x1, 1372, x2) + +inst_361: +// rs1_val==3 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x3, 0x333, x1, 1376, x2) + +inst_362: +// rs1_val==3 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x3, 0x5, x1, 1380, x2) + +inst_363: +// rs1_val==3 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x3, -0x556, x1, 1384, x2) + +inst_364: +// rs1_val==3 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x3, 0x555, x1, 1388, x2) + +inst_365: +// rs1_val==3 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x3, 0x3, x1, 1392, x2) + +inst_366: +// rs1_val==1717986917 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666cca, 0x66666665, 0x665, x1, 1396, x2) + +inst_367: +// rs1_val==1717986917 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666997, 0x66666665, 0x332, x1, 1400, x2) + +inst_368: +// rs1_val==1717986917 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bb9, 0x66666665, 0x554, x1, 1404, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666665, 0x2, x1, 1408, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666665, 0x2d, x1, 1412, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666638, 0x66666665, -0x2d, x1, 1416, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666665, 0x666, x1, 1420, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666665, 0x333, x1, 1424, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666665, 0x5, x1, 1428, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x6666610f, 0x66666665, -0x556, x1, 1432, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666665, 0x555, x1, 1436, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666665, 0x3, x1, 1440, x2) + +inst_378: +// rs1_val==858993458 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333332, 0x2e, x1, 1444, x2) + +inst_379: +// rs1_val==858993458 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333332, -0x2c, x1, 1448, x2) + +inst_380: +// rs1_val==858993458 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333332, 0x667, x1, 1452, x2) + +inst_381: +// rs1_val==858993458 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333332, 0x334, x1, 1456, x2) + +inst_382: +// rs1_val==858993458 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333332, 0x6, x1, 1460, x2) + +inst_383: +// rs1_val==858993458 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333332, -0x555, x1, 1464, x2) + +inst_384: +// rs1_val==858993458 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333332, 0x556, x1, 1468, x2) + +inst_385: +// rs1_val==858993458 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333332, 0x4, x1, 1472, x2) + +inst_386: +// rs1_val==858993458 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x3333335e, 0x33333332, 0x2c, x1, 1476, x2) + +inst_387: +// rs1_val==858993458 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333332, 0x33333332, 0x0, x1, 1480, x2) + +inst_388: +// rs1_val==858993458 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333997, 0x33333332, 0x665, x1, 1484, x2) + +inst_389: +// rs1_val==858993458 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333664, 0x33333332, 0x332, x1, 1488, x2) + +inst_390: +// rs1_val==858993458 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333886, 0x33333332, 0x554, x1, 1492, x2) + +inst_391: +// rs1_val==858993458 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333332, 0x2, x1, 1496, x2) + +inst_392: +// rs1_val==858993458 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333332, 0x2d, x1, 1500, x2) + +inst_393: +// rs1_val==858993458 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333305, 0x33333332, -0x2d, x1, 1504, x2) + +inst_394: +// rs1_val==858993458 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333332, 0x666, x1, 1508, x2) + +inst_395: +// rs1_val==858993458 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333332, 0x333, x1, 1512, x2) + +inst_396: +// rs1_val==858993458 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333332, 0x5, x1, 1516, x2) + +inst_397: +// rs1_val==858993458 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332ddc, 0x33333332, -0x556, x1, 1520, x2) + +inst_398: +// rs1_val==858993458 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333332, 0x555, x1, 1524, x2) + +inst_399: +// rs1_val==858993458 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333332, 0x3, x1, 1528, x2) + +inst_400: +// rs1_val==1431655764 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555554, 0x2e, x1, 1532, x2) + +inst_401: +// rs1_val==1431655764 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555554, -0x2c, x1, 1536, x2) + +inst_402: +// rs1_val==1431655764 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555554, 0x667, x1, 1540, x2) + +inst_403: +// rs1_val==1431655764 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555554, 0x334, x1, 1544, x2) + +inst_404: +// rs1_val==1431655764 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555554, 0x6, x1, 1548, x2) + +inst_405: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555554, -0x555, x1, 1552, x2) + +inst_406: +// rs1_val==1431655764 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555554, 0x556, x1, 1556, x2) + +inst_407: +// rs1_val==1431655764 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555554, 0x4, x1, 1560, x2) + +inst_408: +// rs1_val==1431655764 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555580, 0x55555554, 0x2c, x1, 1564, x2) + +inst_409: +// rs1_val==1431655764 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555554, 0x55555554, 0x0, x1, 1568, x2) + +inst_410: +// rs1_val==1431655764 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bb9, 0x55555554, 0x665, x1, 1572, x2) + +inst_411: +// rs1_val==1431655764 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555886, 0x55555554, 0x332, x1, 1576, x2) + +inst_412: +// rs1_val==1431655764 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aa8, 0x55555554, 0x554, x1, 1580, x2) + +inst_413: +// rs1_val==1431655764 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555554, 0x2, x1, 1584, x2) + +inst_414: +// rs1_val==1431655764 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555554, 0x2d, x1, 1588, x2) + +inst_415: +// rs1_val==1431655764 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555527, 0x55555554, -0x2d, x1, 1592, x2) + +inst_416: +// rs1_val==1431655764 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555554, 0x666, x1, 1596, x2) + +inst_417: +// rs1_val==1431655764 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555554, 0x333, x1, 1600, x2) + +inst_418: +// rs1_val==1431655764 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555554, 0x5, x1, 1604, x2) + +inst_419: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55554ffe, 0x55555554, -0x556, x1, 1608, x2) + +inst_420: +// rs1_val==1431655764 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555554, 0x555, x1, 1612, x2) + +inst_421: +// rs1_val==1431655764 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555554, 0x3, x1, 1616, x2) + +inst_422: +// rs1_val==2 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x30, 0x2, 0x2e, x1, 1620, x2) + +inst_423: +// rs1_val==2 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x2, -0x2c, x1, 1624, x2) + +inst_424: +// rs1_val==2 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x2, 0x667, x1, 1628, x2) + +inst_425: +// rs1_val==2 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x2, 0x334, x1, 1632, x2) + +inst_426: +// rs1_val==2 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x2, 0x6, x1, 1636, x2) + +inst_427: +// rs1_val==2 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x2, -0x555, x1, 1640, x2) + +inst_428: +// rs1_val==2 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x2, 0x556, x1, 1644, x2) + +inst_429: +// rs1_val==2 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x2, 0x4, x1, 1648, x2) + +inst_430: +// rs1_val==2 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2e, 0x2, 0x2c, x1, 1652, x2) + +inst_431: +// rs1_val==2 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x2, 0x2, 0x0, x1, 1656, x2) + +inst_432: +// rs1_val==2 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x667, 0x2, 0x665, x1, 1660, x2) + +inst_433: +// rs1_val==2 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x334, 0x2, 0x332, x1, 1664, x2) + +inst_434: +// rs1_val==2 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x556, 0x2, 0x554, x1, 1668, x2) + +inst_435: +// rs1_val==2 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x2, 0x2, x1, 1672, x2) + +inst_436: +// rs1_val==2 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x2f, 0x2, 0x2d, x1, 1676, x2) + +inst_437: +// rs1_val==2 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd5, 0x2, -0x2d, x1, 1680, x2) + +inst_438: +// rs1_val==2 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x668, 0x2, 0x666, x1, 1684, x2) + +inst_439: +// rs1_val==2 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x335, 0x2, 0x333, x1, 1688, x2) + +inst_440: +// rs1_val==2 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x2, 0x5, x1, 1692, x2) + +inst_441: +// rs1_val==2 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaac, 0x2, -0x556, x1, 1696, x2) + +inst_442: +// rs1_val==2 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x557, 0x2, 0x555, x1, 1700, x2) + +inst_443: +// rs1_val==2 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x2, 0x3, x1, 1704, x2) + +inst_444: +// rs1_val==46340 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb504, 0x2e, x1, 1708, x2) + +inst_445: +// rs1_val==46340 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb504, -0x2c, x1, 1712, x2) + +inst_446: +// rs1_val==46340 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb504, 0x667, x1, 1716, x2) + +inst_447: +// rs1_val==46340 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb504, 0x334, x1, 1720, x2) + +inst_448: +// rs1_val==46340 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb504, 0x6, x1, 1724, x2) + +inst_449: +// rs1_val==46340 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb504, -0x555, x1, 1728, x2) + +inst_450: +// rs1_val==46340 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb504, 0x556, x1, 1732, x2) + +inst_451: +// rs1_val==46340 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb504, 0x4, x1, 1736, x2) + +inst_452: +// rs1_val==46340 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb504, 0x2c, x1, 1740, x2) + +inst_453: +// rs1_val==46340 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb504, 0xb504, 0x0, x1, 1744, x2) + +inst_454: +// rs1_val==46340 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb504, 0x665, x1, 1748, x2) + +inst_455: +// rs1_val==46340 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb504, 0x332, x1, 1752, x2) + +inst_456: +// rs1_val==46340 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb504, 0x554, x1, 1756, x2) + +inst_457: +// rs1_val==46340 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb504, 0x2, x1, 1760, x2) + +inst_458: +// rs1_val==46340 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb504, 0x2d, x1, 1764, x2) + +inst_459: +// rs1_val==46340 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb504, -0x2d, x1, 1768, x2) + +inst_460: +// rs1_val==46340 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb504, 0x666, x1, 1772, x2) + +inst_461: +// rs1_val==46340 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb504, 0x333, x1, 1776, x2) + +inst_462: +// rs1_val==46340 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb504, 0x5, x1, 1780, x2) + +inst_463: +// rs1_val==46340 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb504, -0x556, x1, 1784, x2) + +inst_464: +// rs1_val==46340 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb504, 0x555, x1, 1788, x2) + +inst_465: +// rs1_val==46340 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb504, 0x3, x1, 1792, x2) + +inst_466: +// rs1_val==-46340 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb504, 0x2e, x1, 1796, x2) + +inst_467: +// rs1_val==-46340 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb504, -0x2c, x1, 1800, x2) + +inst_468: +// rs1_val==-46340 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb504, 0x667, x1, 1804, x2) + +inst_469: +// rs1_val==-46340 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb504, 0x334, x1, 1808, x2) + +inst_470: +// rs1_val==-46340 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb504, 0x6, x1, 1812, x2) + +inst_471: +// rs1_val==-46340 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb504, -0x555, x1, 1816, x2) + +inst_472: +// rs1_val==-46340 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb504, 0x556, x1, 1820, x2) + +inst_473: +// rs1_val==-46340 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb504, 0x4, x1, 1824, x2) + +inst_474: +// rs1_val==-46340 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4b28, -0xb504, 0x2c, x1, 1828, x2) + +inst_475: +// rs1_val==-46340 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xffff4afc, -0xb504, 0x0, x1, 1832, x2) + +inst_476: +// rs1_val==-46340 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xffff5161, -0xb504, 0x665, x1, 1836, x2) + +inst_477: +// rs1_val==-46340 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2e, -0xb504, 0x332, x1, 1840, x2) + +inst_478: +// rs1_val==-46340 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xffff5050, -0xb504, 0x554, x1, 1844, x2) + +inst_479: +// rs1_val==-46340 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffff4afe, -0xb504, 0x2, x1, 1848, x2) + +inst_480: +// rs1_val==-46340 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb504, 0x2d, x1, 1852, x2) + +inst_481: +// rs1_val==-46340 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4acf, -0xb504, -0x2d, x1, 1856, x2) + +inst_482: +// rs1_val==-46340 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb504, 0x666, x1, 1860, x2) + +inst_483: +// rs1_val==-46340 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb504, 0x333, x1, 1864, x2) + +inst_484: +// rs1_val==-46340 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb504, 0x5, x1, 1868, x2) + +inst_485: +// rs1_val==-46340 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff45a6, -0xb504, -0x556, x1, 1872, x2) + +inst_486: +// rs1_val==-46340 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb504, 0x555, x1, 1876, x2) + +inst_487: +// rs1_val==-46340 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb504, 0x3, x1, 1880, x2) + +inst_488: +// rs1_val==1717986918 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666666, 0x2e, x1, 1884, x2) + +inst_489: +// rs1_val==1717986918 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666666, -0x2c, x1, 1888, x2) + +inst_490: +// rs1_val==1717986918 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666666, 0x667, x1, 1892, x2) + +inst_491: +// rs1_val==1717986918 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666666, 0x334, x1, 1896, x2) + +inst_492: +// rs1_val==1717986918 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666666, 0x6, x1, 1900, x2) + +inst_493: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666666, -0x555, x1, 1904, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666666, 0x556, x1, 1908, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666666, 0x4, x1, 1912, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666666, 0x2c, x1, 1916, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666666, 0x66666666, 0x0, x1, 1920, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666666, 0x665, x1, 1924, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666666, 0x332, x1, 1928, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666666, 0x554, x1, 1932, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666666, 0x2, x1, 1936, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666666, 0x2d, x1, 1940, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666666, -0x2d, x1, 1944, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666666, 0x666, x1, 1948, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666666, 0x333, x1, 1952, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666666, 0x5, x1, 1956, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666666, -0x556, x1, 1960, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666666, 0x555, x1, 1964, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666666, 0x3, x1, 1968, x2) + +inst_510: +// rs1_val==858993459 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333333, 0x2e, x1, 1972, x2) + +inst_511: +// rs1_val==858993459 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333333, -0x2c, x1, 1976, x2) + +inst_512: +// rs1_val==858993459 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333333, 0x667, x1, 1980, x2) + +inst_513: +// rs1_val==858993459 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333333, 0x334, x1, 1984, x2) + +inst_514: +// rs1_val==858993459 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333333, 0x6, x1, 1988, x2) + +inst_515: +// rs1_val==858993459 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333333, -0x555, x1, 1992, x2) + +inst_516: +// rs1_val==858993459 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333333, 0x556, x1, 1996, x2) + +inst_517: +// rs1_val==858993459 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333333, 0x4, x1, 2000, x2) + +inst_518: +// rs1_val==858993459 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333333, 0x2c, x1, 2004, x2) + +inst_519: +// rs1_val==858993459 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333333, 0x33333333, 0x0, x1, 2008, x2) + +inst_520: +// rs1_val==858993459 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333333, 0x665, x1, 2012, x2) + +inst_521: +// rs1_val==858993459 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333333, 0x332, x1, 2016, x2) + +inst_522: +// rs1_val==858993459 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333333, 0x554, x1, 2020, x2) + +inst_523: +// rs1_val==858993459 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333333, 0x2, x1, 2024, x2) + +inst_524: +// rs1_val==858993459 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333333, 0x2d, x1, 2028, x2) + +inst_525: +// rs1_val==858993459 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333333, -0x2d, x1, 2032, x2) + +inst_526: +// rs1_val==858993459 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333333, 0x666, x1, 2036, x2) + +inst_527: +// rs1_val==858993459 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333333, 0x333, x1, 2040, x2) + +inst_528: +// rs1_val==858993459 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333333, 0x5, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_529: +// rs1_val==858993459 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333333, -0x556, x1, 0, x2) + +inst_530: +// rs1_val==858993459 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333333, 0x555, x1, 4, x2) + +inst_531: +// rs1_val==858993459 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333333, 0x3, x1, 8, x2) + +inst_532: +// rs1_val==5 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33, 0x5, 0x2e, x1, 12, x2) + +inst_533: +// rs1_val==5 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x5, -0x2c, x1, 16, x2) + +inst_534: +// rs1_val==5 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66c, 0x5, 0x667, x1, 20, x2) + +inst_535: +// rs1_val==5 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x339, 0x5, 0x334, x1, 24, x2) + +inst_536: +// rs1_val==5 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb, 0x5, 0x6, x1, 28, x2) + +inst_537: +// rs1_val==5 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x5, -0x555, x1, 32, x2) + +inst_538: +// rs1_val==5 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55b, 0x5, 0x556, x1, 36, x2) + +inst_539: +// rs1_val==5 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x5, 0x4, x1, 40, x2) + +inst_540: +// rs1_val==5 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x31, 0x5, 0x2c, x1, 44, x2) + +inst_541: +// rs1_val==5 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x5, 0x0, x1, 48, x2) + +inst_542: +// rs1_val==5 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x5, 0x665, x1, 52, x2) + +inst_543: +// rs1_val==5 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x5, 0x332, x1, 56, x2) + +inst_544: +// rs1_val==5 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x5, 0x554, x1, 60, x2) + +inst_545: +// rs1_val==5 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x5, 0x2, x1, 64, x2) + +inst_546: +// rs1_val==5 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x32, 0x5, 0x2d, x1, 68, x2) + +inst_547: +// rs1_val==5 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x5, -0x2d, x1, 72, x2) + +inst_548: +// rs1_val==5 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x5, 0x666, x1, 76, x2) + +inst_549: +// rs1_val==5 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x5, 0x333, x1, 80, x2) + +inst_550: +// rs1_val==5 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x5, 0x5, x1, 84, x2) + +inst_551: +// rs1_val==5 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x5, -0x556, x1, 88, x2) + +inst_552: +// rs1_val==5 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x5, 0x555, x1, 92, x2) + +inst_553: +// rs1_val==5 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x5, 0x3, x1, 96, x2) + +inst_554: +// rs1_val==-1431655766 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555556, 0x2e, x1, 100, x2) + +inst_555: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555556, -0x2c, x1, 104, x2) + +inst_556: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555556, 0x667, x1, 108, x2) + +inst_557: +// rs1_val==-1431655766 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555556, 0x334, x1, 112, x2) + +inst_558: +// rs1_val==-1431655766 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 116, x2) + +inst_559: +// rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x40 +TEST_IMM_OP( addi, x11, x10, 0xc000003f, -0x40000001, 0x40, x1, 120, x2) + +inst_560: +// rs1_val == -33554433, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2000001; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xfdffffff, -0x2000001, 0x0, x1, 124, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 32*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S new file mode 100644 index 000000000..41d5e406f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S @@ -0,0 +1,3025 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the and instruction of the RISC-V E extension for the and covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",and) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x3, rd==x10, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs1_val == 16, rs2_val == 8 +// opcode: and ; op1:x11; op2:x3; dest:x10; op1val:0x10; op2val:0x8 +TEST_RR_OP(and, x10, x11, x3, 0x0, 0x10, 0x8, x1, 0, x7) + +inst_1: +// rs1 == rd != rs2, rs1==x13, rs2==x11, rd==x13, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) +// opcode: and ; op1:x13; op2:x11; dest:x13; op1val:0x3; op2val:0x7fffffff +TEST_RR_OP(and, x13, x13, x11, 0x3, 0x3, 0x7fffffff, x1, 4, x7) + +inst_2: +// rs2 == rd != rs1, rs1==x12, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val == 256, rs1_val > 0 and rs2_val < 0 +// opcode: and ; op1:x12; op2:x6; dest:x6; op1val:0x100; op2val:-0x40000001 +TEST_RR_OP(and, x6, x12, x6, 0x100, 0x100, -0x40000001, x1, 8, x7) + +inst_3: +// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x5, rs2_val == -536870913, rs1_val == 262144 +// opcode: and ; op1:x8; op2:x8; dest:x5; op1val:0x40000; op2val:0x40000 +TEST_RR_OP(and, x5, x8, x8, 0x40000, 0x40000, 0x40000, x1, 12, x7) + +inst_4: +// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == -268435457, rs1_val == 1048576 +// opcode: and ; op1:x4; op2:x4; dest:x4; op1val:0x100000; op2val:0x100000 +TEST_RR_OP(and, x4, x4, x4, 0x100000, 0x100000, 0x100000, x1, 16, x7) + +inst_5: +// rs1==x15, rs2==x2, rd==x12, rs2_val == -134217729, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 +// opcode: and ; op1:x15; op2:x2; dest:x12; op1val:-0x4000001; op2val:-0x8000001 +TEST_RR_OP(and, x12, x15, x2, 0xf3ffffff, -0x4000001, -0x8000001, x1, 20, x7) + +inst_6: +// rs1==x6, rs2==x15, rd==x8, rs2_val == -67108865, rs1_val == -1431655766 +// opcode: and ; op1:x6; op2:x15; dest:x8; op1val:-0x55555556; op2val:-0x4000001 +TEST_RR_OP(and, x8, x6, x15, 0xaaaaaaaa, -0x55555556, -0x4000001, x1, 24, x3) + +inst_7: +// rs1==x10, rs2==x5, rd==x9, rs2_val == -33554433, rs1_val == 4194304 +// opcode: and ; op1:x10; op2:x5; dest:x9; op1val:0x400000; op2val:-0x2000001 +TEST_RR_OP(and, x9, x10, x5, 0x400000, 0x400000, -0x2000001, x1, 28, x3) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_8: +// rs1==x2, rs2==x0, rd==x1, rs2_val == -16777217, +// opcode: and ; op1:x2; op2:x0; dest:x1; op1val:0x40000; op2val:0x0 +TEST_RR_OP(and, x1, x2, x0, 0x0, 0x40000, 0x0, x4, 0, x3) + +inst_9: +// rs1==x5, rs2==x1, rd==x15, rs2_val == -8388609, rs1_val == -65 +// opcode: and ; op1:x5; op2:x1; dest:x15; op1val:-0x41; op2val:-0x800001 +TEST_RR_OP(and, x15, x5, x1, 0xff7fffbf, -0x41, -0x800001, x4, 4, x3) + +inst_10: +// rs1==x7, rs2==x10, rd==x2, rs2_val == -4194305, rs1_val == 16777216 +// opcode: and ; op1:x7; op2:x10; dest:x2; op1val:0x1000000; op2val:-0x400001 +TEST_RR_OP(and, x2, x7, x10, 0x1000000, 0x1000000, -0x400001, x4, 8, x3) + +inst_11: +// rs1==x1, rs2==x9, rd==x14, rs2_val == -2097153, rs1_val == -16385 +// opcode: and ; op1:x1; op2:x9; dest:x14; op1val:-0x4001; op2val:-0x200001 +TEST_RR_OP(and, x14, x1, x9, 0xffdfbfff, -0x4001, -0x200001, x4, 12, x3) + +inst_12: +// rs1==x14, rs2==x13, rd==x7, rs2_val == -1048577, rs1_val == -1025 +// opcode: and ; op1:x14; op2:x13; dest:x7; op1val:-0x401; op2val:-0x100001 +TEST_RR_OP(and, x7, x14, x13, 0xffeffbff, -0x401, -0x100001, x4, 16, x3) + +inst_13: +// rs1==x3, rs2==x14, rd==x0, rs2_val == -524289, rs1_val == -3 +// opcode: and ; op1:x3; op2:x14; dest:x0; op1val:-0x3; op2val:-0x80001 +TEST_RR_OP(and, x0, x3, x14, 0, -0x3, -0x80001, x4, 20, x2) + +inst_14: +// rs1==x9, rs2==x7, rd==x11, rs2_val == -262145, rs1_val == 33554432 +// opcode: and ; op1:x9; op2:x7; dest:x11; op1val:0x2000000; op2val:-0x40001 +TEST_RR_OP(and, x11, x9, x7, 0x2000000, 0x2000000, -0x40001, x4, 24, x2) + +inst_15: +// rs1==x0, rs2==x12, rd==x3, rs2_val == -131073, +// opcode: and ; op1:x0; op2:x12; dest:x3; op1val:0x0; op2val:-0x20001 +TEST_RR_OP(and, x3, x0, x12, 0x0, 0x0, -0x20001, x4, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs2_val == -65537, rs1_val == 4 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10001 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x10001, x1, 0, x2) + +inst_17: +// rs2_val == -32769, rs1_val == 1431655765 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x8001 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, -0x8001, x1, 4, x2) + +inst_18: +// rs2_val == -16385, rs1_val == 1073741824 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 +TEST_RR_OP(and, x12, x10, x11, 0x40000000, 0x40000000, -0x4001, x1, 8, x2) + +inst_19: +// rs2_val == -8193, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x2001 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x2001, x1, 12, x2) + +inst_20: +// rs2_val == -4097, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x1001 +TEST_RR_OP(and, x12, x10, x11, 0x100, 0x100, -0x1001, x1, 16, x2) + +inst_21: +// rs2_val == -2049, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x801 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaa2aa, -0x55555556, -0x801, x1, 20, x2) + +inst_22: +// rs2_val == -1025, rs1_val == 4096 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x401 +TEST_RR_OP(and, x12, x10, x11, 0x1000, 0x1000, -0x401, x1, 24, x2) + +inst_23: +// rs2_val == -513, rs1_val == 1 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x201 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x1, -0x201, x1, 28, x2) + +inst_24: +// rs2_val == -257, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0x7, 0x7, -0x101, x1, 32, x2) + +inst_25: +// rs2_val == -129, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x81 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x81, x1, 36, x2) + +inst_26: +// rs2_val == -65, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x41 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, -0x41, x1, 40, x2) + +inst_27: +// rs2_val == -33, rs1_val == 128 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x21 +TEST_RR_OP(and, x12, x10, x11, 0x80, 0x80, -0x21, x1, 44, x2) + +inst_28: +// rs2_val == -17, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x11 +TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, -0x11, x1, 48, x2) + +inst_29: +// rs2_val == -9, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x9 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x9, x1, 52, x2) + +inst_30: +// rs2_val == -5, rs1_val == 16384 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4000, 0x4000, -0x5, x1, 56, x2) + +inst_31: +// rs2_val == -3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x3 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, -0x3, x1, 60, x2) + +inst_32: +// rs2_val == -2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x2 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, -0x2, x1, 64, x2) + +inst_33: +// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x800001 +TEST_RR_OP(and, x12, x10, x11, 0x7f7fffff, 0x7fffffff, -0x800001, x1, 68, x2) + +inst_34: +// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x26666667, -0x40000001, 0x66666667, x1, 72, x2) + +inst_35: +// rs1_val == -536870913, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x20000001, 0x3, x1, 76, x2) + +inst_36: +// rs1_val == -268435457, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x8 +TEST_RR_OP(and, x12, x10, x11, 0xeffffff8, -0x10000001, -0x8, x1, 80, x2) + +inst_37: +// rs1_val == -134217729, rs2_val == 2097152 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x200000 +TEST_RR_OP(and, x12, x10, x11, 0x200000, -0x8000001, 0x200000, x1, 84, x2) + +inst_38: +// rs1_val == -33554433, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0xfdfffeff, -0x2000001, -0x101, x1, 88, x2) + +inst_39: +// rs1_val == -16777217, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x800001 +TEST_RR_OP(and, x12, x10, x11, 0xfe7fffff, -0x1000001, -0x800001, x1, 92, x2) + +inst_40: +// rs1_val == -8388609, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0xff7ffeff, -0x800001, -0x101, x1, 96, x2) + +inst_41: +// rs1_val == -4194305, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x200001 +TEST_RR_OP(and, x12, x10, x11, 0xff9fffff, -0x400001, -0x200001, x1, 100, x2) + +inst_42: +// rs1_val == -2097153, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66466666, -0x200001, 0x66666666, x1, 104, x2) + +inst_43: +// rs1_val == -1048577, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffef4afd, -0x100001, -0xb503, x1, 108, x2) + +inst_44: +// rs1_val == -524289, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, -0x80001, 0xb504, x1, 112, x2) + +inst_45: +// rs1_val == -262145, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x8001 +TEST_RR_OP(and, x12, x10, x11, 0xfffb7fff, -0x40001, -0x8001, x1, 116, x2) + +inst_46: +// rs1_val == -131073, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555556, -0x20001, 0x55555556, x1, 120, x2) + +inst_47: +// rs1_val == -65537, rs2_val == 524288 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x80000 +TEST_RR_OP(and, x12, x10, x11, 0x80000, -0x10001, 0x80000, x1, 124, x2) + +inst_48: +// rs1_val == -32769, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x4001 +TEST_RR_OP(and, x12, x10, x11, 0xffff3fff, -0x8001, -0x4001, x1, 128, x2) + +inst_49: +// rs1_val == -8193, rs2_val == 2 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x2001, 0x2, x1, 132, x2) + +inst_50: +// rs1_val == -4097, rs2_val == 32 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x20 +TEST_RR_OP(and, x12, x10, x11, 0x20, -0x1001, 0x20, x1, 136, x2) + +inst_51: +// rs1_val == -2049, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff42fc, -0x801, -0xb504, x1, 140, x2) + +inst_52: +// rs1_val == -513, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x80001 +TEST_RR_OP(and, x12, x10, x11, 0xfff7fdff, -0x201, -0x80001, x1, 144, x2) + +inst_53: +// rs1_val == -257, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 +TEST_RR_OP(and, x12, x10, x11, 0xf7fffeff, -0x101, -0x8000001, x1, 148, x2) + +inst_54: +// rs1_val == -129, rs2_val == 1431655765 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, -0x81, 0x55555555, x1, 152, x2) + +inst_55: +// rs1_val == -33, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x201 +TEST_RR_OP(and, x12, x10, x11, 0xfffffddf, -0x21, -0x201, x1, 156, x2) + +inst_56: +// rs1_val == -17, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x11, 0x3, x1, 160, x2) + +inst_57: +// rs1_val == -9, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, -0x9, 0x6, x1, 164, x2) + +inst_58: +// rs1_val == -5, rs2_val == 1 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x5, 0x1, x1, 168, x2) + +inst_59: +// rs1_val == -2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0x2, -0xb503, x1, 172, x2) + +inst_60: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 2 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x80000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0x80000000, x1, 176, x2) + +inst_61: +// rs2_val == 1073741824, rs1_val == 2048 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x40000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x40000000, x1, 180, x2) + +inst_62: +// rs2_val == 536870912, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x20000000 +TEST_RR_OP(and, x12, x10, x11, 0x20000000, -0x4000001, 0x20000000, x1, 184, x2) + +inst_63: +// rs2_val == 268435456, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x10000000 +TEST_RR_OP(and, x12, x10, x11, 0x10000000, -0x100001, 0x10000000, x1, 188, x2) + +inst_64: +// rs2_val == 134217728, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8000000 +TEST_RR_OP(and, x12, x10, x11, 0x8000000, -0xb503, 0x8000000, x1, 192, x2) + +inst_65: +// rs2_val == 67108864, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x4000000 +TEST_RR_OP(and, x12, x10, x11, 0x4000000, -0x1, 0x4000000, x1, 196, x2) + +inst_66: +// rs2_val == 33554432, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2000000 +TEST_RR_OP(and, x12, x10, x11, 0x2000000, -0x55555555, 0x2000000, x1, 200, x2) + +inst_67: +// rs2_val == 16777216, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x1000000 +TEST_RR_OP(and, x12, x10, x11, 0x1000000, -0x10000001, 0x1000000, x1, 204, x2) + +inst_68: +// rs2_val == 8388608, rs1_val == 268435456 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000000, 0x800000, x1, 208, x2) + +inst_69: +// rs2_val == 4194304, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x400000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x400000, x1, 212, x2) + +inst_70: +// rs2_val == 1048576, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x100000 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x55555555, 0x100000, x1, 216, x2) + +inst_71: +// rs2_val == 262144, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:0x40000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x9, 0x40000, x1, 220, x2) + +inst_72: +// rs2_val == 131072, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x20000 +TEST_RR_OP(and, x12, x10, x11, 0x20000, -0x55555555, 0x20000, x1, 224, x2) + +inst_73: +// rs2_val == 65536, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 228, x2) + +inst_74: +// rs2_val == 32768, rs1_val == 65536 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000, 0x8000, x1, 232, x2) + +inst_75: +// rs2_val == 16384, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4000, x1, 236, x2) + +inst_76: +// rs2_val == 8192, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 +TEST_RR_OP(and, x12, x10, x11, 0x2000, -0xa, 0x2000, x1, 240, x2) + +inst_77: +// rs2_val == 4096, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1000 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x1000, x1, 244, x2) + +inst_78: +// rs2_val == 2048, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x800 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x800, x1, 248, x2) + +inst_79: +// rs2_val == 1024, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x400 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x400, x1, 252, x2) + +inst_80: +// rs2_val == 512, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x200, x1, 256, x2) + +inst_81: +// rs2_val == 256, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x100, x1, 260, x2) + +inst_82: +// rs2_val == 128, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 +TEST_RR_OP(and, x12, x10, x11, 0x80, -0x20000001, 0x80, x1, 264, x2) + +inst_83: +// rs2_val == 64, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x40 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x40, x1, 268, x2) + +inst_84: +// rs2_val == 16, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x10 +TEST_RR_OP(and, x12, x10, x11, 0x10, -0x7, 0x10, x1, 272, x2) + +inst_85: +// rs2_val == 4, rs1_val==-46339 and rs2_val==4 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x4, x1, 276, x2) + +inst_86: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x80000000, 0x33333334, x1, 280, x2) + +inst_87: +// rs1_val == 536870912, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x20000000, 0x20000000, -0xb504, x1, 284, x2) + +inst_88: +// rs1_val == 134217728, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000000, 0xb504, x1, 288, x2) + +inst_89: +// rs1_val == 67108864, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4000000, 0x4000000, -0xb504, x1, 292, x2) + +inst_90: +// rs1_val == 8388608, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x3fffffff +TEST_RR_OP(and, x12, x10, x11, 0x800000, 0x800000, 0x3fffffff, x1, 296, x2) + +inst_91: +// rs1_val == 2097152, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 +TEST_RR_OP(and, x12, x10, x11, 0x200000, 0x200000, -0x9, x1, 300, x2) + +inst_92: +// rs1_val == 524288, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7fffffff +TEST_RR_OP(and, x12, x10, x11, 0x80000, 0x80000, 0x7fffffff, x1, 304, x2) + +inst_93: +// rs1_val == 131072, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x4 +TEST_RR_OP(and, x12, x10, x11, 0x20000, 0x20000, -0x4, x1, 308, x2) + +inst_94: +// rs1_val == 32768, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000, 0x10000000, x1, 312, x2) + +inst_95: +// rs1_val == 8192, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x1000001 +TEST_RR_OP(and, x12, x10, x11, 0x2000, 0x2000, -0x1000001, x1, 316, x2) + +inst_96: +// rs1_val == 1024, rs1_val == rs2_val +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x400 +TEST_RR_OP(and, x12, x10, x11, 0x400, 0x400, 0x400, x1, 320, x2) + +inst_97: +// rs1_val == 512, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x20000001 +TEST_RR_OP(and, x12, x10, x11, 0x200, 0x200, -0x20000001, x1, 324, x2) + +inst_98: +// rs1_val == 64, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x40000001 +TEST_RR_OP(and, x12, x10, x11, 0x40, 0x40, -0x40000001, x1, 328, x2) + +inst_99: +// rs1_val == 32, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x2000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x20, 0x2000000, x1, 332, x2) + +inst_100: +// rs1_val == 8, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 336, x2) + +inst_101: +// rs1_val==46341 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 340, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, -0xb503, x1, 344, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 348, x2) + +inst_104: +// rs1_val==46341 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 352, x2) + +inst_105: +// rs1_val==46341 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x6, x1, 356, x2) + +inst_106: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 360, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 364, x2) + +inst_108: +// rs1_val==46341 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x4, x1, 368, x2) + +inst_109: +// rs1_val==46341 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb505, 0xb503, x1, 372, x2) + +inst_110: +// rs1_val==46341 and rs2_val==0, rs2_val == 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 376, x2) + +inst_111: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 380, x2) + +inst_112: +// rs1_val==46341 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 384, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 388, x2) + +inst_114: +// rs1_val==46341 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 392, x2) + +inst_115: +// rs1_val==46341 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb505, 0xb504, x1, 396, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, -0xb504, x1, 400, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 404, x2) + +inst_118: +// rs1_val==46341 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 408, x2) + +inst_119: +// rs1_val==46341 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, 0x5, x1, 412, x2) + +inst_120: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 416, x2) + +inst_121: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 420, x2) + +inst_122: +// rs1_val==46341 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb505, 0x3, x1, 424, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0xb505, x1, 428, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 432, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 436, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 440, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x6, x1, 444, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 448, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 452, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0xb503, x1, 456, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 460, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 464, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 468, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 472, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x2, x1, 476, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0xb504, x1, 480, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 484, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 488, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 492, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0x5, x1, 496, x2) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 500, x2) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 504, x2) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0x3, x1, 508, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 512, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 516, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 520, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 524, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666667, 0x6, x1, 528, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 532, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 536, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666667, 0x4, x1, 540, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 544, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 548, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 552, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 556, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 560, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666667, 0x2, x1, 564, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 568, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 572, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 576, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 580, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666667, 0x5, x1, 584, x2) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 588, x2) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 592, x2) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x66666667, 0x3, x1, 596, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 600, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 604, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 608, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 612, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 616, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 620, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 624, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x4, x1, 628, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 632, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 636, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 640, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 644, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 648, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 652, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 656, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 660, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 664, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 668, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x5, x1, 672, x2) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 676, x2) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 680, x2) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 684, x2) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb505, x1, 688, x2) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb503, x1, 692, x2) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 696, x2) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x33333334, x1, 700, x2) + +inst_192: +// rs1_val==6 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x6, x1, 704, x2) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555555, x1, 708, x2) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 712, x2) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x4, x1, 716, x2) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0xb503, x1, 720, x2) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x6, 0x0, x1, 724, x2) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x66666665, x1, 728, x2) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333332, x1, 732, x2) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555554, x1, 736, x2) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x2, x1, 740, x2) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb504, x1, 744, x2) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb504, x1, 748, x2) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 752, x2) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333333, x1, 756, x2) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x5, x1, 760, x2) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555556, x1, 764, x2) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555555, x1, 768, x2) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x3, x1, 772, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 776, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 780, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 784, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 788, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x6, x1, 792, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 796, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 800, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x4, x1, 804, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 808, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 812, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 816, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 820, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 824, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x2, x1, 828, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 832, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 836, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 840, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 844, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x5, x1, 848, x2) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 852, x2) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 856, x2) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x55555555, 0x3, x1, 860, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 864, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 868, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 872, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 876, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x55555556, 0x6, x1, 880, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 884, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 888, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x4, x1, 892, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 896, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 900, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 904, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 908, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 912, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x2, x1, 916, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 920, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 924, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 928, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 932, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x5, x1, 936, x2) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 940, x2) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 944, x2) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 948, x2) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 952, x2) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb503, x1, 956, x2) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 960, x2) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 964, x2) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x6, x1, 968, x2) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 972, x2) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 976, x2) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x4, x1, 980, x2) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 984, x2) + +inst_263: +// rs1_val==4 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x0, x1, 988, x2) + +inst_264: +// rs1_val==4 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 992, x2) + +inst_265: +// rs1_val==4 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 996, x2) + +inst_266: +// rs1_val==4 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1000, x2) + +inst_267: +// rs1_val==4 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1004, x2) + +inst_268: +// rs1_val==4 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1008, x2) + +inst_269: +// rs1_val==4 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb504, x1, 1012, x2) + +inst_270: +// rs1_val==4 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1016, x2) + +inst_271: +// rs1_val==4 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1020, x2) + +inst_272: +// rs1_val==4 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1024, x2) + +inst_273: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1028, x2) + +inst_274: +// rs1_val==4 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1032, x2) + +inst_275: +// rs1_val==4 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1036, x2) + +inst_276: +// rs1_val==46339 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1040, x2) + +inst_277: +// rs1_val==46339 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, -0xb503, x1, 1044, x2) + +inst_278: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1048, x2) + +inst_279: +// rs1_val==46339 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1052, x2) + +inst_280: +// rs1_val==46339 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x6, x1, 1056, x2) + +inst_281: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1060, x2) + +inst_282: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1064, x2) + +inst_283: +// rs1_val==46339 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1068, x2) + +inst_284: +// rs1_val==46339 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1072, x2) + +inst_285: +// rs1_val==46339 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1076, x2) + +inst_286: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1080, x2) + +inst_287: +// rs1_val==46339 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1084, x2) + +inst_288: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1088, x2) + +inst_289: +// rs1_val==46339 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x2, x1, 1092, x2) + +inst_290: +// rs1_val==46339 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1096, x2) + +inst_291: +// rs1_val==46339 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1100, x2) + +inst_292: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1104, x2) + +inst_293: +// rs1_val==46339 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1108, x2) + +inst_294: +// rs1_val==46339 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, 0x5, x1, 1112, x2) + +inst_295: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1116, x2) + +inst_296: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1120, x2) + +inst_297: +// rs1_val==46339 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0xb503, 0x3, x1, 1124, x2) + +inst_298: +// rs1_val==0 and rs2_val==46341, rs1_val == 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1128, x2) + +inst_299: +// rs1_val==0 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1132, x2) + +inst_300: +// rs1_val==0 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1136, x2) + +inst_301: +// rs1_val==0 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1140, x2) + +inst_302: +// rs1_val==0 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1144, x2) + +inst_303: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1148, x2) + +inst_304: +// rs1_val==0 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1152, x2) + +inst_305: +// rs1_val==0 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1156, x2) + +inst_306: +// rs1_val==0 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1160, x2) + +inst_307: +// rs1_val==0 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1164, x2) + +inst_308: +// rs1_val==0 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1168, x2) + +inst_309: +// rs1_val==0 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1172, x2) + +inst_310: +// rs1_val==0 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1176, x2) + +inst_311: +// rs1_val==0 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1180, x2) + +inst_312: +// rs1_val==0 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1184, x2) + +inst_313: +// rs1_val==0 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1188, x2) + +inst_314: +// rs1_val==0 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1192, x2) + +inst_315: +// rs1_val==0 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1196, x2) + +inst_316: +// rs1_val==0 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1200, x2) + +inst_317: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1204, x2) + +inst_318: +// rs1_val==0 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1208, x2) + +inst_319: +// rs1_val==0 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1212, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1216, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1220, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1224, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1228, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x6, x1, 1232, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1236, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1240, x2) + +inst_327: +// rs1_val==1717986917 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x4, x1, 1244, x2) + +inst_328: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1248, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1252, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1256, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1260, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1264, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x2, x1, 1268, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1272, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1276, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1280, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1284, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x33333333, 0x5, x1, 1288, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1292, x2) + +inst_340: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1296, x2) + +inst_341: +// rs1_val==858993459 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x33333333, 0x3, x1, 1300, x2) + +inst_342: +// rs1_val==5 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 1304, x2) + +inst_343: +// rs1_val==5 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, -0xb503, x1, 1308, x2) + +inst_344: +// rs1_val==5 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 1312, x2) + +inst_345: +// rs1_val==5 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x33333334, x1, 1316, x2) + +inst_346: +// rs1_val==5 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x6, x1, 1320, x2) + +inst_347: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, -0x55555555, x1, 1324, x2) + +inst_348: +// rs1_val==5 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555556, x1, 1328, x2) + +inst_349: +// rs1_val==5 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x4, x1, 1332, x2) + +inst_350: +// rs1_val==5 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0xb503, x1, 1336, x2) + +inst_351: +// rs1_val==5 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1340, x2) + +inst_352: +// rs1_val==5 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 1344, x2) + +inst_353: +// rs1_val==5 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1348, x2) + +inst_354: +// rs1_val==5 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555554, x1, 1352, x2) + +inst_355: +// rs1_val==5 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1356, x2) + +inst_356: +// rs1_val==5 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0xb504, x1, 1360, x2) + +inst_357: +// rs1_val==5 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, -0xb504, x1, 1364, x2) + +inst_358: +// rs1_val==5 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x66666666, x1, 1368, x2) + +inst_359: +// rs1_val==5 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x33333333, x1, 1372, x2) + +inst_360: +// rs1_val==5 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1376, x2) + +inst_361: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1380, x2) + +inst_362: +// rs1_val==5 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 1384, x2) + +inst_363: +// rs1_val==5 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1388, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1392, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1396, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1400, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1404, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x6, x1, 1408, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1412, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1416, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x4, x1, 1420, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1424, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1428, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1432, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1436, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1440, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x2, x1, 1444, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1448, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1452, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1456, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1460, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x5, x1, 1464, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1468, x2) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1472, x2) + +inst_385: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x3, x1, 1476, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1480, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1484, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1488, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1492, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x6, x1, 1496, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1500, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1504, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x4, x1, 1508, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1512, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 1516, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1520, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1524, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1528, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 1532, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1536, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1540, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1544, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1548, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x55555555, 0x5, x1, 1552, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1556, x2) + +inst_406: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1560, x2) + +inst_407: +// rs1_val==1431655765 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 1564, x2) + +inst_408: +// rs1_val==3 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0xb505, x1, 1568, x2) + +inst_409: +// rs1_val==3 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, -0xb503, x1, 1572, x2) + +inst_410: +// rs1_val==3 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 1576, x2) + +inst_411: +// rs1_val==3 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1580, x2) + +inst_412: +// rs1_val==3 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x6, x1, 1584, x2) + +inst_413: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x55555555, x1, 1588, x2) + +inst_414: +// rs1_val==3 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x55555556, x1, 1592, x2) + +inst_415: +// rs1_val==3 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1596, x2) + +inst_416: +// rs1_val==3 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 1600, x2) + +inst_417: +// rs1_val==3 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x0, x1, 1604, x2) + +inst_418: +// rs1_val==3 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 1608, x2) + +inst_419: +// rs1_val==3 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x33333332, x1, 1612, x2) + +inst_420: +// rs1_val==3 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1616, x2) + +inst_421: +// rs1_val==3 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x2, x1, 1620, x2) + +inst_422: +// rs1_val==3 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1624, x2) + +inst_423: +// rs1_val==3 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1628, x2) + +inst_424: +// rs1_val==3 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x66666666, x1, 1632, x2) + +inst_425: +// rs1_val==3 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 1636, x2) + +inst_426: +// rs1_val==3 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x5, x1, 1640, x2) + +inst_427: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, -0x55555556, x1, 1644, x2) + +inst_428: +// rs1_val==3 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x55555555, x1, 1648, x2) + +inst_429: +// rs1_val==3 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1652, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1656, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1660, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1664, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1668, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1672, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1676, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1680, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1684, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1688, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666665, 0x5, x1, 1692, x2) + +inst_440: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1696, x2) + +inst_441: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1700, x2) + +inst_442: +// rs1_val==1717986917 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1704, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1708, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1712, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1716, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1720, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1724, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1728, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1732, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1736, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1740, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1744, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1748, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1752, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1756, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x2, x1, 1760, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1764, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1768, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1772, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1776, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1780, x2) + +inst_462: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1784, x2) + +inst_463: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1788, x2) + +inst_464: +// rs1_val==858993458 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1792, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1796, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1800, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1804, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1808, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x6, x1, 1812, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1816, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1820, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x4, x1, 1824, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1828, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1832, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1836, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1840, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1844, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1848, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1852, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1856, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1860, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1864, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1868, x2) + +inst_484: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1872, x2) + +inst_485: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1876, x2) + +inst_486: +// rs1_val==1431655764 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1880, x2) + +inst_487: +// rs1_val==2 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1884, x2) + +inst_488: +// rs1_val==2 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1888, x2) + +inst_489: +// rs1_val==2 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1892, x2) + +inst_490: +// rs1_val==2 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1896, x2) + +inst_491: +// rs1_val==2 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1900, x2) + +inst_492: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555555, x1, 1904, x2) + +inst_493: +// rs1_val==2 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1908, x2) + +inst_494: +// rs1_val==2 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1912, x2) + +inst_495: +// rs1_val==2 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1916, x2) + +inst_496: +// rs1_val==2 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1920, x2) + +inst_497: +// rs1_val==2 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1924, x2) + +inst_498: +// rs1_val==2 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1928, x2) + +inst_499: +// rs1_val==2 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1932, x2) + +inst_500: +// rs1_val==2 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1936, x2) + +inst_501: +// rs1_val==2 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1940, x2) + +inst_502: +// rs1_val==2 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1944, x2) + +inst_503: +// rs1_val==2 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1948, x2) + +inst_504: +// rs1_val==2 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1952, x2) + +inst_505: +// rs1_val==2 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1956, x2) + +inst_506: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555556, x1, 1960, x2) + +inst_507: +// rs1_val==2 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1964, x2) + +inst_508: +// rs1_val==2 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1968, x2) + +inst_509: +// rs1_val==46340 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 1972, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb503, x1, 1976, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 1980, x2) + +inst_512: +// rs1_val==46340 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 1984, x2) + +inst_513: +// rs1_val==46340 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x6, x1, 1988, x2) + +inst_514: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 1992, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 1996, x2) + +inst_516: +// rs1_val==46340 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x4, x1, 2000, x2) + +inst_517: +// rs1_val==46340 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb504, 0xb503, x1, 2004, x2) + +inst_518: +// rs1_val==46340 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 2008, x2) + +inst_519: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 2012, x2) + +inst_520: +// rs1_val==46340 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 2016, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 2020, x2) + +inst_522: +// rs1_val==46340 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 2024, x2) + +inst_523: +// rs1_val==46340 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2028, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb504, x1, 2032, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 2036, x2) + +inst_526: +// rs1_val==46340 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 2040, x2) + +inst_527: +// rs1_val==46340 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x5, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_3) + +inst_528: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 0, x2) + +inst_529: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 4, x2) + +inst_530: +// rs1_val==46340 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 8, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb505, x1, 12, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 16, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 20, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 24, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x6, x1, 28, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 32, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 36, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x4, x1, 40, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0xb503, x1, 44, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 48, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 52, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 56, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 60, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x2, x1, 64, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb504, x1, 68, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 72, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 76, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 80, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x5, x1, 84, x2) + +inst_550: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 88, x2) + +inst_551: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 92, x2) + +inst_552: +// rs1_val==-46340 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x3, x1, 96, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 100, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 104, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 108, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 112, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666666, 0x6, x1, 116, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 120, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 124, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x4, x1, 128, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 132, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 136, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 140, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 144, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 148, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x2, x1, 152, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 156, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 160, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 164, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 168, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x5, x1, 172, x2) + +inst_572: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 176, x2) + +inst_573: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 180, x2) + +inst_574: +// rs1_val==1717986918 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x3, x1, 184, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 188, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 192, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 196, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 200, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x6, x1, 204, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 208, x2) + +inst_581: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 212, x2) + +inst_582: +// rs1_val==858993459 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 216, x2) + +inst_583: +// rs1_val==858993459 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 220, x2) + +inst_584: +// rs2_val == -536870913, rs1_val == 262144 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x20000001 +TEST_RR_OP(and, x12, x10, x11, 0x40000, 0x40000, -0x20000001, x1, 224, x2) + +inst_585: +// rs2_val == -268435457, rs1_val == 1048576 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x10000001 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x10000001, x1, 228, x2) + +inst_586: +// rs2_val == -524289, rs1_val == -3 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80001 +TEST_RR_OP(and, x12, x10, x11, 0xfff7fffd, -0x3, -0x80001, x1, 232, x2) + +inst_587: +// rs2_val == -131073, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:-0x20001 +TEST_RR_OP(and, x12, x10, x11, 0xfffdfff6, -0xa, -0x20001, x1, 236, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_3: + .fill 60*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S new file mode 100644 index 000000000..3dcd009d2 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S @@ -0,0 +1,2850 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the andi instruction of the RISC-V E extension for the andi covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",andi) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x14, rd==x11, imm_val == (-2**(12-1)), rs1_val == 8, rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048 +// opcode: andi ; op1:x14; dest:x11; op1val:0x8; immval:-0x800 +TEST_IMM_OP( andi, x11, x14, 0x0, 0x8, -0x800, x1, 0, x8) + +inst_1: +// rs1 == rd, rs1==x2, rd==x2, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: andi ; op1:x2; dest:x2; op1val:0x7fffffff; immval:0x2c +TEST_IMM_OP( andi, x2, x2, 0x2c, 0x7fffffff, 0x2c, x1, 4, x8) + +inst_2: +// rs1==x10, rd==x5, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 4 +// opcode: andi ; op1:x10; dest:x5; op1val:-0x40000001; immval:0x4 +TEST_IMM_OP( andi, x5, x10, 0x4, -0x40000001, 0x4, x1, 8, x8) + +inst_3: +// rs1==x9, rd==x14, rs1_val == -536870913, +// opcode: andi ; op1:x9; dest:x14; op1val:-0x20000001; immval:0x7 +TEST_IMM_OP( andi, x14, x9, 0x7, -0x20000001, 0x7, x1, 12, x8) + +inst_4: +// rs1==x12, rd==x3, rs1_val == -268435457, imm_val == 64 +// opcode: andi ; op1:x12; dest:x3; op1val:-0x10000001; immval:0x40 +TEST_IMM_OP( andi, x3, x12, 0x40, -0x10000001, 0x40, x1, 16, x8) + +inst_5: +// rs1==x4, rd==x7, rs1_val == -134217729, imm_val == -5, rs1_val < 0 and imm_val < 0 +// opcode: andi ; op1:x4; dest:x7; op1val:-0x8000001; immval:-0x5 +TEST_IMM_OP( andi, x7, x4, 0xf7fffffb, -0x8000001, -0x5, x1, 20, x8) + +inst_6: +// rs1==x6, rd==x9, rs1_val == -67108865, +// opcode: andi ; op1:x6; dest:x9; op1val:-0x4000001; immval:0x332 +TEST_IMM_OP( andi, x9, x6, 0x332, -0x4000001, 0x332, x1, 24, x8) + +inst_7: +// rs1==x7, rd==x15, rs1_val == -33554433, +// opcode: andi ; op1:x7; dest:x15; op1val:-0x2000001; immval:0x6 +TEST_IMM_OP( andi, x15, x7, 0x6, -0x2000001, 0x6, x1, 28, x9) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x15, rd==x0, rs1_val == -16777217, imm_val == 2 +// opcode: andi ; op1:x15; dest:x0; op1val:-0x1000001; immval:0x2 +TEST_IMM_OP( andi, x0, x15, 0, -0x1000001, 0x2, x2, 0, x9) + +inst_9: +// rs1==x3, rd==x6, rs1_val == -8388609, +// opcode: andi ; op1:x3; dest:x6; op1val:-0x800001; immval:0x2 +TEST_IMM_OP( andi, x6, x3, 0x2, -0x800001, 0x2, x2, 4, x9) + +inst_10: +// rs1==x8, rd==x10, rs1_val == -4194305, imm_val == 16 +// opcode: andi ; op1:x8; dest:x10; op1val:-0x400001; immval:0x10 +TEST_IMM_OP( andi, x10, x8, 0x10, -0x400001, 0x10, x2, 8, x9) + +inst_11: +// rs1==x5, rd==x4, rs1_val == -2097153, imm_val == -65 +// opcode: andi ; op1:x5; dest:x4; op1val:-0x200001; immval:-0x41 +TEST_IMM_OP( andi, x4, x5, 0xffdfffbf, -0x200001, -0x41, x2, 12, x9) + +inst_12: +// rs1==x13, rd==x1, rs1_val == -1048577, +// opcode: andi ; op1:x13; dest:x1; op1val:-0x100001; immval:0x6 +TEST_IMM_OP( andi, x1, x13, 0x6, -0x100001, 0x6, x2, 16, x9) + +inst_13: +// rs1==x1, rd==x8, rs1_val == -524289, +// opcode: andi ; op1:x1; dest:x8; op1val:-0x80001; immval:-0x4 +TEST_IMM_OP( andi, x8, x1, 0xfff7fffc, -0x80001, -0x4, x2, 20, x9) + +inst_14: +// rs1==x11, rd==x12, rs1_val == -262145, imm_val == (2**(12-1)-1), imm_val == 2047 +// opcode: andi ; op1:x11; dest:x12; op1val:-0x40001; immval:0x7ff +TEST_IMM_OP( andi, x12, x11, 0x7ff, -0x40001, 0x7ff, x2, 24, x9) + +inst_15: +// rs1==x0, rd==x13, rs1_val == -131073, imm_val == 1365 +// opcode: andi ; op1:x0; dest:x13; op1val:0x0; immval:0x555 +TEST_IMM_OP( andi, x13, x0, 0x0, 0x0, 0x555, x2, 28, x1) + +inst_16: +// rs1_val == -65537, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, -0x10001, 0x334, x2, 32, x1) + +inst_17: +// rs1_val == -32769, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x8001, 0x3, x2, 36, x1) + +inst_18: +// rs1_val == -16385, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x4001; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x4001, 0x2, x2, 40, x1) + +inst_19: +// rs1_val == -8193, imm_val == 512 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x2001; immval:0x200 +TEST_IMM_OP( andi, x11, x10, 0x200, -0x2001, 0x200, x2, 44, x1) + +inst_20: +// rs1_val == -4097, imm_val == -1366 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffffeaaa, -0x1001, -0x556, x2, 48, x1) + +inst_21: +// rs1_val == -2049, imm_val == 8 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x801; immval:0x8 +TEST_IMM_OP( andi, x11, x10, 0x8, -0x801, 0x8, x2, 52, x1) + +inst_22: +// rs1_val == -1025, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x401; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, -0x401, 0x332, x2, 56, x1) + +inst_23: +// rs1_val == -513, imm_val == 128 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x201; immval:0x80 +TEST_IMM_OP( andi, x11, x10, 0x80, -0x201, 0x80, x2, 60, x1) + +inst_24: +// rs1_val == -257, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x101, 0x3, x2, 64, x1) + +inst_25: +// rs1_val == -129, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2d, -0x81, 0x2d, x2, 68, x1) + +inst_26: +// rs1_val == -65, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffffff93, -0x41, -0x2d, x2, 72, x1) + +inst_27: +// rs1_val == -33, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x21; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffffffd3, -0x21, -0x2d, x2, 76, x1) + +inst_28: +// rs1_val == -17, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x11; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xfffffaaa, -0x11, -0x556, x2, 80, x1) + +inst_29: +// rs1_val == -9, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x9; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, -0x9, 0x334, x2, 84, x1) + +inst_30: +// rs1_val == -5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x5; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x5, 0x5, x2, 88, x1) + +inst_31: +// rs1_val == -3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x3; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0x3, 0x4, x2, 92, x1) + +inst_32: +// rs1_val == -2, imm_val == -1025 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x2; immval:-0x401 +TEST_IMM_OP( andi, x11, x10, 0xfffffbfe, -0x2, -0x401, x2, 96, x1) + +inst_33: +// imm_val == -513, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x201 +TEST_IMM_OP( andi, x11, x10, 0x66666465, 0x66666665, -0x201, x2, 100, x1) + +inst_34: +// imm_val == -257, rs1_val == 33554432 +// opcode: andi ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x101 +TEST_IMM_OP( andi, x11, x10, 0x2000000, 0x2000000, -0x101, x2, 104, x1) + +inst_35: +// imm_val == -129, rs1_val == 65536 +// opcode: andi ; op1:x10; dest:x11; op1val:0x10000; immval:-0x81 +TEST_IMM_OP( andi, x11, x10, 0x10000, 0x10000, -0x81, x2, 108, x1) + +inst_36: +// imm_val == -33, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8; immval:-0x21 +TEST_IMM_OP( andi, x11, x10, 0x8, 0x8, -0x21, x2, 112, x1) + +inst_37: +// imm_val == -17, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x11 +TEST_IMM_OP( andi, x11, x10, 0x55555546, 0x55555556, -0x11, x2, 116, x1) + +inst_38: +// imm_val == -9, rs1_val == 524288 +// opcode: andi ; op1:x10; dest:x11; op1val:0x80000; immval:-0x9 +TEST_IMM_OP( andi, x11, x10, 0x80000, 0x80000, -0x9, x2, 120, x1) + +inst_39: +// imm_val == -3, rs1_val == 1 +// opcode: andi ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x1, -0x3, x2, 124, x1) + +inst_40: +// imm_val == -2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2, x2, 128, x1) + +inst_41: +// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) +// opcode: andi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x80000000, 0x0, x2, 132, x1) + +inst_42: +// rs1_val == 1073741824, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x40000000, 0x7ff, x2, 136, x1) + +inst_43: +// rs1_val == 536870912, +// opcode: andi ; op1:x10; dest:x11; op1val:0x20000000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x20000000, 0x7ff, x2, 140, x1) + +inst_44: +// rs1_val == 268435456, +// opcode: andi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x10000000, 0x7, x2, 144, x1) + +inst_45: +// rs1_val == 134217728, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8000000; immval:0x200 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x8000000, 0x200, x2, 148, x1) + +inst_46: +// rs1_val == 67108864, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0x201 +TEST_IMM_OP( andi, x11, x10, 0x4000000, 0x4000000, -0x201, x2, 152, x1) + +inst_47: +// rs1_val == 16777216, +// opcode: andi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000000, 0x2, x2, 156, x1) + +inst_48: +// rs1_val == 8388608, +// opcode: andi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x401 +TEST_IMM_OP( andi, x11, x10, 0x800000, 0x800000, -0x401, x2, 160, x1) + +inst_49: +// rs1_val == 4194304, +// opcode: andi ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x400000, 0x0, x2, 164, x1) + +inst_50: +// rs1_val == 2097152, +// opcode: andi ; op1:x10; dest:x11; op1val:0x200000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x200000, 0x0, x2, 168, x1) + +inst_51: +// rs1_val == 1048576, +// opcode: andi ; op1:x10; dest:x11; op1val:0x100000; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x100000, 0x4, x2, 172, x1) + +inst_52: +// rs1_val == 262144, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40000; immval:-0x7 +TEST_IMM_OP( andi, x11, x10, 0x40000, 0x40000, -0x7, x2, 176, x1) + +inst_53: +// rs1_val == 131072, +// opcode: andi ; op1:x10; dest:x11; op1val:0x20000; immval:-0x5 +TEST_IMM_OP( andi, x11, x10, 0x20000, 0x20000, -0x5, x2, 180, x1) + +inst_54: +// rs1_val == 32768, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x81 +TEST_IMM_OP( andi, x11, x10, 0x8000, 0x8000, -0x81, x2, 184, x1) + +inst_55: +// rs1_val == 16384, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4000; immval:-0x7 +TEST_IMM_OP( andi, x11, x10, 0x4000, 0x4000, -0x7, x2, 188, x1) + +inst_56: +// rs1_val == 8192, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2000, 0x7ff, x2, 192, x1) + +inst_57: +// rs1_val == 4096, imm_val == 32 +// opcode: andi ; op1:x10; dest:x11; op1val:0x1000; immval:0x20 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000, 0x20, x2, 196, x1) + +inst_58: +// rs1_val == 2048, +// opcode: andi ; op1:x10; dest:x11; op1val:0x800; immval:-0x41 +TEST_IMM_OP( andi, x11, x10, 0x800, 0x800, -0x41, x2, 200, x1) + +inst_59: +// rs1_val == 1024, +// opcode: andi ; op1:x10; dest:x11; op1val:0x400; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x400, 0x400, 0x666, x2, 204, x1) + +inst_60: +// rs1_val == 512, +// opcode: andi ; op1:x10; dest:x11; op1val:0x200; immval:-0x3 +TEST_IMM_OP( andi, x11, x10, 0x200, 0x200, -0x3, x2, 208, x1) + +inst_61: +// rs1_val == 256, +// opcode: andi ; op1:x10; dest:x11; op1val:0x100; immval:-0x6 +TEST_IMM_OP( andi, x11, x10, 0x100, 0x100, -0x6, x2, 212, x1) + +inst_62: +// rs1_val == 128, +// opcode: andi ; op1:x10; dest:x11; op1val:0x80; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x80, 0x554, x2, 216, x1) + +inst_63: +// rs1_val == 64, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x40, 0x40, 0x556, x2, 220, x1) + +inst_64: +// rs1_val == 32, imm_val == 1024 +// opcode: andi ; op1:x10; dest:x11; op1val:0x20; immval:0x400 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x20, 0x400, x2, 224, x1) + +inst_65: +// rs1_val == 16, imm_val == 256 +// opcode: andi ; op1:x10; dest:x11; op1val:0x10; immval:0x100 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x10, 0x100, x2, 228, x1) + +inst_66: +// rs1_val == 4, rs1_val==4 and imm_val==1638 +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x666, x2, 232, x1) + +inst_67: +// rs1_val == 2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x10 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x10, x2, 236, x1) + +inst_68: +// imm_val == 1, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x1, x2, 240, x1) + +inst_69: +// rs1_val==46341 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2e, x2, 244, x1) + +inst_70: +// rs1_val==46341 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb505, -0x2c, x2, 248, x1) + +inst_71: +// rs1_val==46341 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x667, x2, 252, x1) + +inst_72: +// rs1_val==46341 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x104, 0xb505, 0x334, x2, 256, x1) + +inst_73: +// rs1_val==46341 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x6, x2, 260, x1) + +inst_74: +// rs1_val==46341 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb001, 0xb505, -0x555, x2, 264, x1) + +inst_75: +// rs1_val==46341 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x556, x2, 268, x1) + +inst_76: +// rs1_val==46341 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x4, x2, 272, x1) + +inst_77: +// rs1_val==46341 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2c, x2, 276, x1) + +inst_78: +// rs1_val==46341 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x0, x2, 280, x1) + +inst_79: +// rs1_val==46341 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x665, x2, 284, x1) + +inst_80: +// rs1_val==46341 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb505, 0x332, x2, 288, x1) + +inst_81: +// rs1_val==46341 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x554, x2, 292, x1) + +inst_82: +// rs1_val==46341 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x2, x2, 296, x1) + +inst_83: +// rs1_val==46341 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x2d, x2, 300, x1) + +inst_84: +// rs1_val==46341 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb501, 0xb505, -0x2d, x2, 304, x1) + +inst_85: +// rs1_val==46341 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb505, 0x666, x2, 308, x1) + +inst_86: +// rs1_val==46341 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x101, 0xb505, 0x333, x2, 312, x1) + +inst_87: +// rs1_val==46341 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x5, x2, 316, x1) + +inst_88: +// rs1_val==46341 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb505, -0x556, x2, 320, x1) + +inst_89: +// rs1_val==46341 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x505, 0xb505, 0x555, x2, 324, x1) + +inst_90: +// rs1_val==46341 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb505, 0x3, x2, 328, x1) + +inst_91: +// rs1_val==-46339 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2e, x2, 332, x1) + +inst_92: +// rs1_val==-46339 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb503, -0x2c, x2, 336, x1) + +inst_93: +// rs1_val==-46339 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x667, x2, 340, x1) + +inst_94: +// rs1_val==-46339 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x234, -0xb503, 0x334, x2, 344, x1) + +inst_95: +// rs1_val==-46339 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x6, x2, 348, x1) + +inst_96: +// rs1_val==-46339 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa9, -0xb503, -0x555, x2, 352, x1) + +inst_97: +// rs1_val==-46339 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x556, x2, 356, x1) + +inst_98: +// rs1_val==-46339 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x4, x2, 360, x1) + +inst_99: +// rs1_val==-46339 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2c, x2, 364, x1) + +inst_100: +// rs1_val==-46339 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x0, x2, 368, x1) + +inst_101: +// rs1_val==-46339 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x665, x2, 372, x1) + +inst_102: +// rs1_val==-46339 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb503, 0x332, x2, 376, x1) + +inst_103: +// rs1_val==-46339 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x554, x2, 380, x1) + +inst_104: +// rs1_val==-46339 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x2, x2, 384, x1) + +inst_105: +// rs1_val==-46339 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2d, -0xb503, 0x2d, x2, 388, x1) + +inst_106: +// rs1_val==-46339 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffff4ad1, -0xb503, -0x2d, x2, 392, x1) + +inst_107: +// rs1_val==-46339 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb503, 0x666, x2, 396, x1) + +inst_108: +// rs1_val==-46339 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x231, -0xb503, 0x333, x2, 400, x1) + +inst_109: +// rs1_val==-46339 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, -0xb503, 0x5, x2, 404, x1) + +inst_110: +// rs1_val==-46339 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb503, -0x556, x2, 408, x1) + +inst_111: +// rs1_val==-46339 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x55, -0xb503, 0x555, x2, 412, x1) + +inst_112: +// rs1_val==-46339 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, -0xb503, 0x3, x2, 416, x1) + +inst_113: +// rs1_val==1717986919 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666667, 0x2e, x2, 420, x1) + +inst_114: +// rs1_val==1717986919 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666667, -0x2c, x2, 424, x1) + +inst_115: +// rs1_val==1717986919 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x667, 0x66666667, 0x667, x2, 428, x1) + +inst_116: +// rs1_val==1717986919 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666667, 0x334, x2, 432, x1) + +inst_117: +// rs1_val==1717986919 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666667, 0x6, x2, 436, x1) + +inst_118: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666223, 0x66666667, -0x555, x2, 440, x1) + +inst_119: +// rs1_val==1717986919 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666667, 0x556, x2, 444, x1) + +inst_120: +// rs1_val==1717986919 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666667, 0x4, x2, 448, x1) + +inst_121: +// rs1_val==1717986919 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666667, 0x2c, x2, 452, x1) + +inst_122: +// rs1_val==1717986919 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666667, 0x0, x2, 456, x1) + +inst_123: +// rs1_val==1717986919 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666667, 0x665, x2, 460, x1) + +inst_124: +// rs1_val==1717986919 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666667, 0x332, x2, 464, x1) + +inst_125: +// rs1_val==1717986919 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666667, 0x554, x2, 468, x1) + +inst_126: +// rs1_val==1717986919 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666667, 0x2, x2, 472, x1) + +inst_127: +// rs1_val==1717986919 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666667, 0x2d, x2, 476, x1) + +inst_128: +// rs1_val==1717986919 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666643, 0x66666667, -0x2d, x2, 480, x1) + +inst_129: +// rs1_val==1717986919 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666667, 0x666, x2, 484, x1) + +inst_130: +// rs1_val==1717986919 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x223, 0x66666667, 0x333, x2, 488, x1) + +inst_131: +// rs1_val==1717986919 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666667, 0x5, x2, 492, x1) + +inst_132: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666667, -0x556, x2, 496, x1) + +inst_133: +// rs1_val==1717986919 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666667, 0x555, x2, 500, x1) + +inst_134: +// rs1_val==1717986919 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x66666667, 0x3, x2, 504, x1) + +inst_135: +// rs1_val==858993460 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2e, x2, 508, x1) + +inst_136: +// rs1_val==858993460 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333314, 0x33333334, -0x2c, x2, 512, x1) + +inst_137: +// rs1_val==858993460 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x667, x2, 516, x1) + +inst_138: +// rs1_val==858993460 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, 0x33333334, 0x334, x2, 520, x1) + +inst_139: +// rs1_val==858993460 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x6, x2, 524, x1) + +inst_140: +// rs1_val==858993460 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x555, x2, 528, x1) + +inst_141: +// rs1_val==858993460 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x556, x2, 532, x1) + +inst_142: +// rs1_val==858993460 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x4, x2, 536, x1) + +inst_143: +// rs1_val==858993460 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2c, x2, 540, x1) + +inst_144: +// rs1_val==858993460 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x0, x2, 544, x1) + +inst_145: +// rs1_val==858993460 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x665, x2, 548, x1) + +inst_146: +// rs1_val==858993460 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x332, x2, 552, x1) + +inst_147: +// rs1_val==858993460 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x554, x2, 556, x1) + +inst_148: +// rs1_val==858993460 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x2, x2, 560, x1) + +inst_149: +// rs1_val==858993460 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2d, x2, 564, x1) + +inst_150: +// rs1_val==858993460 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333334, -0x2d, x2, 568, x1) + +inst_151: +// rs1_val==858993460 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x666, x2, 572, x1) + +inst_152: +// rs1_val==858993460 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x333, x2, 576, x1) + +inst_153: +// rs1_val==858993460 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x5, x2, 580, x1) + +inst_154: +// rs1_val==858993460 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x556, x2, 584, x1) + +inst_155: +// rs1_val==858993460 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x555, x2, 588, x1) + +inst_156: +// rs1_val==858993460 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x3, x2, 592, x1) + +inst_157: +// rs1_val==6 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x2e, x2, 596, x1) + +inst_158: +// rs1_val==6 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, -0x2c, x2, 600, x1) + +inst_159: +// rs1_val==6 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x667, x2, 604, x1) + +inst_160: +// rs1_val==6 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x334, x2, 608, x1) + +inst_161: +// rs1_val==6 and imm_val==6, rs1_val == imm_val +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x6, x2, 612, x1) + +inst_162: +// rs1_val==6 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x555, x2, 616, x1) + +inst_163: +// rs1_val==6 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x556, x2, 620, x1) + +inst_164: +// rs1_val==6 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x4, x2, 624, x1) + +inst_165: +// rs1_val==6 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2c, x2, 628, x1) + +inst_166: +// rs1_val==6 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x6, 0x0, x2, 632, x1) + +inst_167: +// rs1_val==6 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x665, x2, 636, x1) + +inst_168: +// rs1_val==6 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x332, x2, 640, x1) + +inst_169: +// rs1_val==6 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x554, x2, 644, x1) + +inst_170: +// rs1_val==6 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x2, x2, 648, x1) + +inst_171: +// rs1_val==6 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2d, x2, 652, x1) + +inst_172: +// rs1_val==6 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x2d, x2, 656, x1) + +inst_173: +// rs1_val==6 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x666, x2, 660, x1) + +inst_174: +// rs1_val==6 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x333, x2, 664, x1) + +inst_175: +// rs1_val==6 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x5, x2, 668, x1) + +inst_176: +// rs1_val==6 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x556, x2, 672, x1) + +inst_177: +// rs1_val==6 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x555, x2, 676, x1) + +inst_178: +// rs1_val==6 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x3, x2, 680, x1) + +inst_179: +// rs1_val==-1431655765 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555555, 0x2e, x2, 684, x1) + +inst_180: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555555, -0x2c, x2, 688, x1) + +inst_181: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x667, x2, 692, x1) + +inst_182: +// rs1_val==-1431655765 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555555, 0x334, x2, 696, x1) + +inst_183: +// rs1_val==-1431655765 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x6, x2, 700, x1) + +inst_184: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaab, -0x55555555, -0x555, x2, 704, x1) + +inst_185: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x556, x2, 708, x1) + +inst_186: +// rs1_val==-1431655765 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x4, x2, 712, x1) + +inst_187: +// rs1_val==-1431655765 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555555, 0x2c, x2, 716, x1) + +inst_188: +// rs1_val==-1431655765 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x0, x2, 720, x1) + +inst_189: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x221, -0x55555555, 0x665, x2, 724, x1) + +inst_190: +// rs1_val==-1431655765 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x332, x2, 728, x1) + +inst_191: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x554, x2, 732, x1) + +inst_192: +// rs1_val==-1431655765 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x2, x2, 736, x1) + +inst_193: +// rs1_val==-1431655765 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x29, -0x55555555, 0x2d, x2, 740, x1) + +inst_194: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa83, -0x55555555, -0x2d, x2, 744, x1) + +inst_195: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x666, x2, 748, x1) + +inst_196: +// rs1_val==-1431655765 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x333, x2, 752, x1) + +inst_197: +// rs1_val==-1431655765 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x5, x2, 756, x1) + +inst_198: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555555, -0x556, x2, 760, x1) + +inst_199: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x555, x2, 764, x1) + +inst_200: +// rs1_val==-1431655765 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x55555555, 0x3, x2, 768, x1) + +inst_201: +// rs1_val==1431655766 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x2e, x2, 772, x1) + +inst_202: +// rs1_val==1431655766 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555556, -0x2c, x2, 776, x1) + +inst_203: +// rs1_val==1431655766 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x667, x2, 780, x1) + +inst_204: +// rs1_val==1431655766 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555556, 0x334, x2, 784, x1) + +inst_205: +// rs1_val==1431655766 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x6, x2, 788, x1) + +inst_206: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x555, x2, 792, x1) + +inst_207: +// rs1_val==1431655766 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x556, 0x55555556, 0x556, x2, 796, x1) + +inst_208: +// rs1_val==1431655766 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x4, x2, 800, x1) + +inst_209: +// rs1_val==1431655766 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2c, x2, 804, x1) + +inst_210: +// rs1_val==1431655766 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555556, 0x0, x2, 808, x1) + +inst_211: +// rs1_val==1431655766 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555556, 0x665, x2, 812, x1) + +inst_212: +// rs1_val==1431655766 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x332, x2, 816, x1) + +inst_213: +// rs1_val==1431655766 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x554, x2, 820, x1) + +inst_214: +// rs1_val==1431655766 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x2, x2, 824, x1) + +inst_215: +// rs1_val==1431655766 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2d, x2, 828, x1) + +inst_216: +// rs1_val==1431655766 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555552, 0x55555556, -0x2d, x2, 832, x1) + +inst_217: +// rs1_val==1431655766 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x666, x2, 836, x1) + +inst_218: +// rs1_val==1431655766 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x333, x2, 840, x1) + +inst_219: +// rs1_val==1431655766 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x5, x2, 844, x1) + +inst_220: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x556, x2, 848, x1) + +inst_221: +// rs1_val==1431655766 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x555, x2, 852, x1) + +inst_222: +// rs1_val==1431655766 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x3, x2, 856, x1) + +inst_223: +// rs1_val==4 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2e, x2, 860, x1) + +inst_224: +// rs1_val==4 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, -0x2c, x2, 864, x1) + +inst_225: +// rs1_val==4 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x667, x2, 868, x1) + +inst_226: +// rs1_val==4 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x334, x2, 872, x1) + +inst_227: +// rs1_val==4 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x6, x2, 876, x1) + +inst_228: +// rs1_val==4 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x555, x2, 880, x1) + +inst_229: +// rs1_val==4 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x556, x2, 884, x1) + +inst_230: +// rs1_val==4 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x4, x2, 888, x1) + +inst_231: +// rs1_val==4 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2c, x2, 892, x1) + +inst_232: +// rs1_val==4 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x0, x2, 896, x1) + +inst_233: +// rs1_val==4 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x665, x2, 900, x1) + +inst_234: +// rs1_val==4 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x332, x2, 904, x1) + +inst_235: +// rs1_val==4 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x554, x2, 908, x1) + +inst_236: +// rs1_val==4 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x2, x2, 912, x1) + +inst_237: +// rs1_val==4 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2d, x2, 916, x1) + +inst_238: +// rs1_val==4 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x2d, x2, 920, x1) + +inst_239: +// rs1_val==4 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x333, x2, 924, x1) + +inst_240: +// rs1_val==4 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x5, x2, 928, x1) + +inst_241: +// rs1_val==4 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x556, x2, 932, x1) + +inst_242: +// rs1_val==4 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x555, x2, 936, x1) + +inst_243: +// rs1_val==4 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x3, x2, 940, x1) + +inst_244: +// rs1_val==46339 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2e, x2, 944, x1) + +inst_245: +// rs1_val==46339 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb503, -0x2c, x2, 948, x1) + +inst_246: +// rs1_val==46339 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x403, 0xb503, 0x667, x2, 952, x1) + +inst_247: +// rs1_val==46339 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb503, 0x334, x2, 956, x1) + +inst_248: +// rs1_val==46339 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x6, x2, 960, x1) + +inst_249: +// rs1_val==46339 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb003, 0xb503, -0x555, x2, 964, x1) + +inst_250: +// rs1_val==46339 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x502, 0xb503, 0x556, x2, 968, x1) + +inst_251: +// rs1_val==46339 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x4, x2, 972, x1) + +inst_252: +// rs1_val==46339 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x2c, x2, 976, x1) + +inst_253: +// rs1_val==46339 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x0, x2, 980, x1) + +inst_254: +// rs1_val==46339 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x401, 0xb503, 0x665, x2, 984, x1) + +inst_255: +// rs1_val==46339 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x102, 0xb503, 0x332, x2, 988, x1) + +inst_256: +// rs1_val==46339 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x500, 0xb503, 0x554, x2, 992, x1) + +inst_257: +// rs1_val==46339 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2, x2, 996, x1) + +inst_258: +// rs1_val==46339 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x2d, x2, 1000, x1) + +inst_259: +// rs1_val==46339 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb503, 0xb503, -0x2d, x2, 1004, x1) + +inst_260: +// rs1_val==46339 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x402, 0xb503, 0x666, x2, 1008, x1) + +inst_261: +// rs1_val==46339 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x103, 0xb503, 0x333, x2, 1012, x1) + +inst_262: +// rs1_val==46339 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x5, x2, 1016, x1) + +inst_263: +// rs1_val==46339 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb002, 0xb503, -0x556, x2, 1020, x1) + +inst_264: +// rs1_val==46339 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x501, 0xb503, 0x555, x2, 1024, x1) + +inst_265: +// rs1_val==46339 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0xb503, 0x3, x2, 1028, x1) + +inst_266: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2e, x2, 1032, x1) + +inst_267: +// rs1_val==0 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2c, x2, 1036, x1) + +inst_268: +// rs1_val==0 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x667, x2, 1040, x1) + +inst_269: +// rs1_val==0 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x334, x2, 1044, x1) + +inst_270: +// rs1_val==0 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x6, x2, 1048, x1) + +inst_271: +// rs1_val==0 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x555, x2, 1052, x1) + +inst_272: +// rs1_val==0 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x556, x2, 1056, x1) + +inst_273: +// rs1_val==0 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x4, x2, 1060, x1) + +inst_274: +// rs1_val==0 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2c, x2, 1064, x1) + +inst_275: +// rs1_val==0 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x0, x2, 1068, x1) + +inst_276: +// rs1_val==0 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x665, x2, 1072, x1) + +inst_277: +// rs1_val==0 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x332, x2, 1076, x1) + +inst_278: +// rs1_val==0 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x554, x2, 1080, x1) + +inst_279: +// rs1_val==0 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2, x2, 1084, x1) + +inst_280: +// rs1_val==0 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2d, x2, 1088, x1) + +inst_281: +// rs1_val==0 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2d, x2, 1092, x1) + +inst_282: +// rs1_val==0 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x666, x2, 1096, x1) + +inst_283: +// rs1_val==0 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x333, x2, 1100, x1) + +inst_284: +// rs1_val==0 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x5, x2, 1104, x1) + +inst_285: +// rs1_val==0 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x556, x2, 1108, x1) + +inst_286: +// rs1_val==0 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x555, x2, 1112, x1) + +inst_287: +// rs1_val==0 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x3, x2, 1116, x1) + +inst_288: +// rs1_val==1717986917 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2e, x2, 1120, x1) + +inst_289: +// rs1_val==1717986917 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666665, -0x2c, x2, 1124, x1) + +inst_290: +// rs1_val==1717986917 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x667, x2, 1128, x1) + +inst_291: +// rs1_val==1717986917 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666665, 0x334, x2, 1132, x1) + +inst_292: +// rs1_val==1717986917 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x6, x2, 1136, x1) + +inst_293: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666221, 0x66666665, -0x555, x2, 1140, x1) + +inst_294: +// rs1_val==1717986917 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x556, x2, 1144, x1) + +inst_295: +// rs1_val==1717986917 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x4, x2, 1148, x1) + +inst_296: +// rs1_val==1717986917 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2c, x2, 1152, x1) + +inst_297: +// rs1_val==1717986917 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x0, x2, 1156, x1) + +inst_298: +// rs1_val==1717986917 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x665, x2, 1160, x1) + +inst_299: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x555, x2, 1164, x1) + +inst_300: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x556, x2, 1168, x1) + +inst_301: +// rs1_val==-1431655766 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x4, x2, 1172, x1) + +inst_302: +// rs1_val==-1431655766 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2c, x2, 1176, x1) + +inst_303: +// rs1_val==-1431655766 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x0, x2, 1180, x1) + +inst_304: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x665, x2, 1184, x1) + +inst_305: +// rs1_val==-1431655766 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x332, x2, 1188, x1) + +inst_306: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x554, x2, 1192, x1) + +inst_307: +// rs1_val==-1431655766 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x2, x2, 1196, x1) + +inst_308: +// rs1_val==-1431655766 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2d, x2, 1200, x1) + +inst_309: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa82, -0x55555556, -0x2d, x2, 1204, x1) + +inst_310: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x666, x2, 1208, x1) + +inst_311: +// rs1_val==-1431655766 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x333, x2, 1212, x1) + +inst_312: +// rs1_val==-1431655766 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x5, x2, 1216, x1) + +inst_313: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x556, x2, 1220, x1) + +inst_314: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x555, x2, 1224, x1) + +inst_315: +// rs1_val==-1431655766 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x3, x2, 1228, x1) + +inst_316: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2e, x2, 1232, x1) + +inst_317: +// rs1_val==1431655765 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555555, -0x2c, x2, 1236, x1) + +inst_318: +// rs1_val==1431655765 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x667, x2, 1240, x1) + +inst_319: +// rs1_val==1431655765 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555555, 0x334, x2, 1244, x1) + +inst_320: +// rs1_val==1431655765 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x6, x2, 1248, x1) + +inst_321: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555001, 0x55555555, -0x555, x2, 1252, x1) + +inst_322: +// rs1_val==1431655765 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x556, x2, 1256, x1) + +inst_323: +// rs1_val==1431655765 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x4, x2, 1260, x1) + +inst_324: +// rs1_val==1431655765 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2c, x2, 1264, x1) + +inst_325: +// rs1_val==1431655765 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x0, x2, 1268, x1) + +inst_326: +// rs1_val==1431655765 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x665, x2, 1272, x1) + +inst_327: +// rs1_val==1431655765 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555555, 0x332, x2, 1276, x1) + +inst_328: +// rs1_val==1431655765 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x554, x2, 1280, x1) + +inst_329: +// rs1_val==1431655765 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x2, x2, 1284, x1) + +inst_330: +// rs1_val==1431655765 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x2d, x2, 1288, x1) + +inst_331: +// rs1_val==1431655765 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555551, 0x55555555, -0x2d, x2, 1292, x1) + +inst_332: +// rs1_val==1431655765 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555555, 0x666, x2, 1296, x1) + +inst_333: +// rs1_val==1431655765 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x111, 0x55555555, 0x333, x2, 1300, x1) + +inst_334: +// rs1_val==1431655765 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x5, x2, 1304, x1) + +inst_335: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555555, -0x556, x2, 1308, x1) + +inst_336: +// rs1_val==1431655765 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x555, 0x55555555, 0x555, x2, 1312, x1) + +inst_337: +// rs1_val==1431655765 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x55555555, 0x3, x2, 1316, x1) + +inst_338: +// rs1_val==3 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2e, x2, 1320, x1) + +inst_339: +// rs1_val==3 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, -0x2c, x2, 1324, x1) + +inst_340: +// rs1_val==3 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x667, x2, 1328, x1) + +inst_341: +// rs1_val==3 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x334, x2, 1332, x1) + +inst_342: +// rs1_val==3 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x6, x2, 1336, x1) + +inst_343: +// rs1_val==3 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x555, x2, 1340, x1) + +inst_344: +// rs1_val==3 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x556, x2, 1344, x1) + +inst_345: +// rs1_val==3 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x4, x2, 1348, x1) + +inst_346: +// rs1_val==3 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x2c, x2, 1352, x1) + +inst_347: +// rs1_val==3 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x0, x2, 1356, x1) + +inst_348: +// rs1_val==3 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x665, x2, 1360, x1) + +inst_349: +// rs1_val==3 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x332, x2, 1364, x1) + +inst_350: +// rs1_val==3 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x554, x2, 1368, x1) + +inst_351: +// rs1_val==3 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2, x2, 1372, x1) + +inst_352: +// rs1_val==3 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x2d, x2, 1376, x1) + +inst_353: +// rs1_val==3 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x2d, x2, 1380, x1) + +inst_354: +// rs1_val==3 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x666, x2, 1384, x1) + +inst_355: +// rs1_val==3 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x333, x2, 1388, x1) + +inst_356: +// rs1_val==3 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x5, x2, 1392, x1) + +inst_357: +// rs1_val==3 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, -0x556, x2, 1396, x1) + +inst_358: +// rs1_val==3 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x555, x2, 1400, x1) + +inst_359: +// rs1_val==3 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x3, x2, 1404, x1) + +inst_360: +// rs1_val==1717986917 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x220, 0x66666665, 0x332, x2, 1408, x1) + +inst_361: +// rs1_val==1717986917 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x554, x2, 1412, x1) + +inst_362: +// rs1_val==1717986917 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x2, x2, 1416, x1) + +inst_363: +// rs1_val==1717986917 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666665, 0x2d, x2, 1420, x1) + +inst_364: +// rs1_val==1717986917 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666641, 0x66666665, -0x2d, x2, 1424, x1) + +inst_365: +// rs1_val==1717986917 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666665, 0x666, x2, 1428, x1) + +inst_366: +// rs1_val==1717986917 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x221, 0x66666665, 0x333, x2, 1432, x1) + +inst_367: +// rs1_val==1717986917 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666665, 0x5, x2, 1436, x1) + +inst_368: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666220, 0x66666665, -0x556, x2, 1440, x1) + +inst_369: +// rs1_val==1717986917 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666665, 0x555, x2, 1444, x1) + +inst_370: +// rs1_val==1717986917 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x3, x2, 1448, x1) + +inst_371: +// rs1_val==858993458 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333332, 0x2e, x2, 1452, x1) + +inst_372: +// rs1_val==858993458 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333332, -0x2c, x2, 1456, x1) + +inst_373: +// rs1_val==858993458 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x667, x2, 1460, x1) + +inst_374: +// rs1_val==858993458 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333332, 0x334, x2, 1464, x1) + +inst_375: +// rs1_val==858993458 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x6, x2, 1468, x1) + +inst_376: +// rs1_val==858993458 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x555, x2, 1472, x1) + +inst_377: +// rs1_val==858993458 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333332, 0x556, x2, 1476, x1) + +inst_378: +// rs1_val==858993458 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x4, x2, 1480, x1) + +inst_379: +// rs1_val==858993458 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2c, x2, 1484, x1) + +inst_380: +// rs1_val==858993458 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x0, x2, 1488, x1) + +inst_381: +// rs1_val==858993458 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x220, 0x33333332, 0x665, x2, 1492, x1) + +inst_382: +// rs1_val==858993458 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x332, x2, 1496, x1) + +inst_383: +// rs1_val==858993458 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x554, x2, 1500, x1) + +inst_384: +// rs1_val==858993458 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x2, x2, 1504, x1) + +inst_385: +// rs1_val==858993458 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2d, x2, 1508, x1) + +inst_386: +// rs1_val==858993458 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333312, 0x33333332, -0x2d, x2, 1512, x1) + +inst_387: +// rs1_val==858993458 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x666, x2, 1516, x1) + +inst_388: +// rs1_val==858993458 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x333, x2, 1520, x1) + +inst_389: +// rs1_val==858993458 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x5, x2, 1524, x1) + +inst_390: +// rs1_val==858993458 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x556, x2, 1528, x1) + +inst_391: +// rs1_val==858993458 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x555, x2, 1532, x1) + +inst_392: +// rs1_val==858993458 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x3, x2, 1536, x1) + +inst_393: +// rs1_val==1431655764 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2e, x2, 1540, x1) + +inst_394: +// rs1_val==1431655764 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555554, -0x2c, x2, 1544, x1) + +inst_395: +// rs1_val==1431655764 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x667, x2, 1548, x1) + +inst_396: +// rs1_val==1431655764 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555554, 0x334, x2, 1552, x1) + +inst_397: +// rs1_val==1431655764 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x6, x2, 1556, x1) + +inst_398: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x555, x2, 1560, x1) + +inst_399: +// rs1_val==1431655764 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x556, x2, 1564, x1) + +inst_400: +// rs1_val==1431655764 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x4, x2, 1568, x1) + +inst_401: +// rs1_val==1431655764 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2c, x2, 1572, x1) + +inst_402: +// rs1_val==1431655764 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x0, x2, 1576, x1) + +inst_403: +// rs1_val==1431655764 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x665, x2, 1580, x1) + +inst_404: +// rs1_val==1431655764 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x332, x2, 1584, x1) + +inst_405: +// rs1_val==1431655764 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x554, x2, 1588, x1) + +inst_406: +// rs1_val==1431655764 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x2, x2, 1592, x1) + +inst_407: +// rs1_val==1431655764 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2d, x2, 1596, x1) + +inst_408: +// rs1_val==1431655764 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555550, 0x55555554, -0x2d, x2, 1600, x1) + +inst_409: +// rs1_val==1431655764 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x666, x2, 1604, x1) + +inst_410: +// rs1_val==1431655764 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x333, x2, 1608, x1) + +inst_411: +// rs1_val==1431655764 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x5, x2, 1612, x1) + +inst_412: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x556, x2, 1616, x1) + +inst_413: +// rs1_val==1431655764 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x555, x2, 1620, x1) + +inst_414: +// rs1_val==1431655764 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x3, x2, 1624, x1) + +inst_415: +// rs1_val==2 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2e, x2, 1628, x1) + +inst_416: +// rs1_val==2 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, -0x2c, x2, 1632, x1) + +inst_417: +// rs1_val==2 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x667, x2, 1636, x1) + +inst_418: +// rs1_val==2 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x334, x2, 1640, x1) + +inst_419: +// rs1_val==2 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x6, x2, 1644, x1) + +inst_420: +// rs1_val==2 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x555, x2, 1648, x1) + +inst_421: +// rs1_val==2 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x556, x2, 1652, x1) + +inst_422: +// rs1_val==2 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x4, x2, 1656, x1) + +inst_423: +// rs1_val==2 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2c, x2, 1660, x1) + +inst_424: +// rs1_val==2 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x0, x2, 1664, x1) + +inst_425: +// rs1_val==2 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x665, x2, 1668, x1) + +inst_426: +// rs1_val==2 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x332, x2, 1672, x1) + +inst_427: +// rs1_val==2 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x554, x2, 1676, x1) + +inst_428: +// rs1_val==2 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2, x2, 1680, x1) + +inst_429: +// rs1_val==2 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2d, x2, 1684, x1) + +inst_430: +// rs1_val==2 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x2d, x2, 1688, x1) + +inst_431: +// rs1_val==2 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x666, x2, 1692, x1) + +inst_432: +// rs1_val==2 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x333, x2, 1696, x1) + +inst_433: +// rs1_val==2 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x5, x2, 1700, x1) + +inst_434: +// rs1_val==2 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x556, x2, 1704, x1) + +inst_435: +// rs1_val==2 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x555, x2, 1708, x1) + +inst_436: +// rs1_val==2 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x3, x2, 1712, x1) + +inst_437: +// rs1_val==46340 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2e, x2, 1716, x1) + +inst_438: +// rs1_val==46340 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb504, -0x2c, x2, 1720, x1) + +inst_439: +// rs1_val==46340 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x667, x2, 1724, x1) + +inst_440: +// rs1_val==46340 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x104, 0xb504, 0x334, x2, 1728, x1) + +inst_441: +// rs1_val==46340 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x6, x2, 1732, x1) + +inst_442: +// rs1_val==46340 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x555, x2, 1736, x1) + +inst_443: +// rs1_val==46340 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x556, x2, 1740, x1) + +inst_444: +// rs1_val==46340 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x4, x2, 1744, x1) + +inst_445: +// rs1_val==46340 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2c, x2, 1748, x1) + +inst_446: +// rs1_val==46340 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x0, x2, 1752, x1) + +inst_447: +// rs1_val==46340 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x665, x2, 1756, x1) + +inst_448: +// rs1_val==46340 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x332, x2, 1760, x1) + +inst_449: +// rs1_val==46340 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x554, x2, 1764, x1) + +inst_450: +// rs1_val==46340 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x2, x2, 1768, x1) + +inst_451: +// rs1_val==46340 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2d, x2, 1772, x1) + +inst_452: +// rs1_val==46340 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb504, -0x2d, x2, 1776, x1) + +inst_453: +// rs1_val==46340 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x666, x2, 1780, x1) + +inst_454: +// rs1_val==46340 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x333, x2, 1784, x1) + +inst_455: +// rs1_val==46340 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x5, x2, 1788, x1) + +inst_456: +// rs1_val==46340 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x556, x2, 1792, x1) + +inst_457: +// rs1_val==46340 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x555, x2, 1796, x1) + +inst_458: +// rs1_val==46340 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x3, x2, 1800, x1) + +inst_459: +// rs1_val==-46340 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2e, x2, 1804, x1) + +inst_460: +// rs1_val==-46340 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb504, -0x2c, x2, 1808, x1) + +inst_461: +// rs1_val==-46340 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x667, x2, 1812, x1) + +inst_462: +// rs1_val==-46340 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x234, -0xb504, 0x334, x2, 1816, x1) + +inst_463: +// rs1_val==-46340 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x6, x2, 1820, x1) + +inst_464: +// rs1_val==-46340 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x555, x2, 1824, x1) + +inst_465: +// rs1_val==-46340 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x556, x2, 1828, x1) + +inst_466: +// rs1_val==-46340 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x4, x2, 1832, x1) + +inst_467: +// rs1_val==-46340 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2c, x2, 1836, x1) + +inst_468: +// rs1_val==-46340 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x0, x2, 1840, x1) + +inst_469: +// rs1_val==-46340 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x665, x2, 1844, x1) + +inst_470: +// rs1_val==-46340 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x332, x2, 1848, x1) + +inst_471: +// rs1_val==-46340 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x554, x2, 1852, x1) + +inst_472: +// rs1_val==-46340 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x2, x2, 1856, x1) + +inst_473: +// rs1_val==-46340 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2d, x2, 1860, x1) + +inst_474: +// rs1_val==-46340 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffff4ad0, -0xb504, -0x2d, x2, 1864, x1) + +inst_475: +// rs1_val==-46340 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x666, x2, 1868, x1) + +inst_476: +// rs1_val==-46340 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x333, x2, 1872, x1) + +inst_477: +// rs1_val==-46340 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x5, x2, 1876, x1) + +inst_478: +// rs1_val==-46340 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x556, x2, 1880, x1) + +inst_479: +// rs1_val==-46340 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x555, x2, 1884, x1) + +inst_480: +// rs1_val==-46340 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x3, x2, 1888, x1) + +inst_481: +// rs1_val==1717986918 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666666, 0x2e, x2, 1892, x1) + +inst_482: +// rs1_val==1717986918 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666666, -0x2c, x2, 1896, x1) + +inst_483: +// rs1_val==1717986918 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x667, x2, 1900, x1) + +inst_484: +// rs1_val==1717986918 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666666, 0x334, x2, 1904, x1) + +inst_485: +// rs1_val==1717986918 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666666, 0x6, x2, 1908, x1) + +inst_486: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x555, x2, 1912, x1) + +inst_487: +// rs1_val==1717986918 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666666, 0x556, x2, 1916, x1) + +inst_488: +// rs1_val==1717986918 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x4, x2, 1920, x1) + +inst_489: +// rs1_val==1717986918 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2c, x2, 1924, x1) + +inst_490: +// rs1_val==1717986918 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666666, 0x0, x2, 1928, x1) + +inst_491: +// rs1_val==1717986918 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666666, 0x665, x2, 1932, x1) + +inst_492: +// rs1_val==1717986918 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x332, x2, 1936, x1) + +inst_493: +// rs1_val==1717986918 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x554, x2, 1940, x1) + +inst_494: +// rs1_val==1717986918 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x2, x2, 1944, x1) + +inst_495: +// rs1_val==1717986918 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2d, x2, 1948, x1) + +inst_496: +// rs1_val==1717986918 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666642, 0x66666666, -0x2d, x2, 1952, x1) + +inst_497: +// rs1_val==1717986918 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x666, x2, 1956, x1) + +inst_498: +// rs1_val==1717986918 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x333, x2, 1960, x1) + +inst_499: +// rs1_val==1717986918 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x5, x2, 1964, x1) + +inst_500: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x556, x2, 1968, x1) + +inst_501: +// rs1_val==1717986918 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x555, x2, 1972, x1) + +inst_502: +// rs1_val==1717986918 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x3, x2, 1976, x1) + +inst_503: +// rs1_val==858993459 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333333, 0x2e, x2, 1980, x1) + +inst_504: +// rs1_val==858993459 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333333, -0x2c, x2, 1984, x1) + +inst_505: +// rs1_val==858993459 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x223, 0x33333333, 0x667, x2, 1988, x1) + +inst_506: +// rs1_val==858993459 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333333, 0x334, x2, 1992, x1) + +inst_507: +// rs1_val==858993459 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x6, x2, 1996, x1) + +inst_508: +// rs1_val==858993459 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333223, 0x33333333, -0x555, x2, 2000, x1) + +inst_509: +// rs1_val==858993459 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333333, 0x556, x2, 2004, x1) + +inst_510: +// rs1_val==858993459 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x4, x2, 2008, x1) + +inst_511: +// rs1_val==858993459 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333333, 0x2c, x2, 2012, x1) + +inst_512: +// rs1_val==858993459 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x0, x2, 2016, x1) + +inst_513: +// rs1_val==858993459 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x221, 0x33333333, 0x665, x2, 2020, x1) + +inst_514: +// rs1_val==858993459 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333333, 0x332, x2, 2024, x1) + +inst_515: +// rs1_val==858993459 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333333, 0x554, x2, 2028, x1) + +inst_516: +// rs1_val==858993459 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x2, x2, 2032, x1) + +inst_517: +// rs1_val==858993459 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x21, 0x33333333, 0x2d, x2, 2036, x1) + +inst_518: +// rs1_val==858993459 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333313, 0x33333333, -0x2d, x2, 2040, x1) + +inst_519: +// rs1_val==858993459 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333333, 0x666, x2, 2044, x1) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==858993459 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x333, 0x33333333, 0x333, x2, 0, x1) + +inst_521: +// rs1_val==858993459 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x33333333, 0x5, x2, 4, x1) + +inst_522: +// rs1_val==858993459 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333333, -0x556, x2, 8, x1) + +inst_523: +// rs1_val==858993459 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x111, 0x33333333, 0x555, x2, 12, x1) + +inst_524: +// rs1_val==858993459 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x33333333, 0x3, x2, 16, x1) + +inst_525: +// rs1_val==5 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2e, x2, 20, x1) + +inst_526: +// rs1_val==5 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2c, x2, 24, x1) + +inst_527: +// rs1_val==5 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x667, x2, 28, x1) + +inst_528: +// rs1_val==5 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x334, x2, 32, x1) + +inst_529: +// rs1_val==5 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x6, x2, 36, x1) + +inst_530: +// rs1_val==5 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x555, x2, 40, x1) + +inst_531: +// rs1_val==5 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x556, x2, 44, x1) + +inst_532: +// rs1_val==5 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x4, x2, 48, x1) + +inst_533: +// rs1_val==5 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2c, x2, 52, x1) + +inst_534: +// rs1_val==5 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x0, x2, 56, x1) + +inst_535: +// rs1_val==5 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x665, x2, 60, x1) + +inst_536: +// rs1_val==5 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x332, x2, 64, x1) + +inst_537: +// rs1_val==5 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x554, x2, 68, x1) + +inst_538: +// rs1_val==5 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x2, x2, 72, x1) + +inst_539: +// rs1_val==5 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x2d, x2, 76, x1) + +inst_540: +// rs1_val==5 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x2d, x2, 80, x1) + +inst_541: +// rs1_val==5 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x666, x2, 84, x1) + +inst_542: +// rs1_val==5 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x333, x2, 88, x1) + +inst_543: +// rs1_val==5 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x5, x2, 92, x1) + +inst_544: +// rs1_val==5 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, -0x556, x2, 96, x1) + +inst_545: +// rs1_val==5 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x555, x2, 100, x1) + +inst_546: +// rs1_val==5 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x3, x2, 104, x1) + +inst_547: +// rs1_val==-1431655766 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555556, 0x2e, x2, 108, x1) + +inst_548: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555556, -0x2c, x2, 112, x1) + +inst_549: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x667, x2, 116, x1) + +inst_550: +// rs1_val==-1431655766 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x334, x2, 120, x1) + +inst_551: +// rs1_val==-1431655766 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x6, x2, 124, x1) + +inst_552: +// rs1_val == -16777217, imm_val == 2 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x1000001, 0x2, x2, 128, x1) + +inst_553: +// rs1_val == -131073, imm_val == 1365 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x20001; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x555, -0x20001, 0x555, x2, 132, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 34*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S new file mode 100644 index 000000000..87eed4cd9 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S @@ -0,0 +1,390 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the auipc instruction of the RISC-V E extension for the auipc covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",auipc) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rd==x9, imm_val == ((2**20)-1), imm_val > 0 +// opcode: auipc ; dest:x9; immval:0xfffff +TEST_AUIPC(auipc, x9, -0x1000, 0xfffff, x2, 0, x3) + +inst_1: +// rd==x6, imm_val == 524287, +// opcode: auipc ; dest:x6; immval:0x7ffff +TEST_AUIPC(auipc, x6, 0x7ffff000, 0x7ffff, x2, 4, x3) + +inst_2: +// rd==x1, imm_val == 786431, +// opcode: auipc ; dest:x1; immval:0xbffff +TEST_AUIPC(auipc, x1, -0x40001000, 0xbffff, x2, 8, x3) + +inst_3: +// rd==x5, imm_val == 917503, +// opcode: auipc ; dest:x5; immval:0xdffff +TEST_AUIPC(auipc, x5, -0x20001000, 0xdffff, x2, 12, x3) + +inst_4: +// rd==x14, imm_val == 983039, +// opcode: auipc ; dest:x14; immval:0xeffff +TEST_AUIPC(auipc, x14, -0x10001000, 0xeffff, x2, 16, x3) + +inst_5: +// rd==x7, imm_val == 1015807, +// opcode: auipc ; dest:x7; immval:0xf7fff +TEST_AUIPC(auipc, x7, -0x8001000, 0xf7fff, x2, 20, x3) + +inst_6: +// rd==x15, imm_val == 1032191, +// opcode: auipc ; dest:x15; immval:0xfbfff +TEST_AUIPC(auipc, x15, -0x4001000, 0xfbfff, x2, 24, x3) + +inst_7: +// rd==x10, imm_val == 1040383, +// opcode: auipc ; dest:x10; immval:0xfdfff +TEST_AUIPC(auipc, x10, -0x2001000, 0xfdfff, x2, 28, x3) + +inst_8: +// rd==x12, imm_val == 1044479, +// opcode: auipc ; dest:x12; immval:0xfefff +TEST_AUIPC(auipc, x12, -0x1001000, 0xfefff, x2, 32, x3) + +inst_9: +// rd==x0, imm_val == 1046527, +// opcode: auipc ; dest:x0; immval:0xff7ff +TEST_AUIPC(auipc, x0, 0, 0xff7ff, x2, 36, x3) + +inst_10: +// rd==x8, imm_val == 1047551, +// opcode: auipc ; dest:x8; immval:0xffbff +TEST_AUIPC(auipc, x8, -0x401000, 0xffbff, x2, 40, x3) + +inst_11: +// rd==x4, imm_val == 1048063, +// opcode: auipc ; dest:x4; immval:0xffdff +TEST_AUIPC(auipc, x4, -0x201000, 0xffdff, x2, 44, x3) + +inst_12: +// rd==x13, imm_val == 1048319, +// opcode: auipc ; dest:x13; immval:0xffeff +TEST_AUIPC(auipc, x13, -0x101000, 0xffeff, x2, 48, x4) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_13: +// rd==x3, imm_val == 1048447, +// opcode: auipc ; dest:x3; immval:0xfff7f +TEST_AUIPC(auipc, x3, -0x81000, 0xfff7f, x1, 0, x4) + +inst_14: +// rd==x11, imm_val == 1048511, +// opcode: auipc ; dest:x11; immval:0xfffbf +TEST_AUIPC(auipc, x11, -0x41000, 0xfffbf, x1, 4, x4) + +inst_15: +// rd==x2, imm_val == 1048543, +// opcode: auipc ; dest:x2; immval:0xfffdf +TEST_AUIPC(auipc, x2, -0x21000, 0xfffdf, x1, 8, x4) + +inst_16: +// imm_val == 1048559, +// opcode: auipc ; dest:x10; immval:0xfffef +TEST_AUIPC(auipc, x10, -0x11000, 0xfffef, x1, 12, x4) + +inst_17: +// imm_val == 1048567, +// opcode: auipc ; dest:x10; immval:0xffff7 +TEST_AUIPC(auipc, x10, -0x9000, 0xffff7, x1, 16, x4) + +inst_18: +// imm_val == 1048571, +// opcode: auipc ; dest:x10; immval:0xffffb +TEST_AUIPC(auipc, x10, -0x5000, 0xffffb, x1, 20, x4) + +inst_19: +// imm_val == 1048573, +// opcode: auipc ; dest:x10; immval:0xffffd +TEST_AUIPC(auipc, x10, -0x3000, 0xffffd, x1, 24, x4) + +inst_20: +// imm_val == 1048574, +// opcode: auipc ; dest:x10; immval:0xffffe +TEST_AUIPC(auipc, x10, -0x2000, 0xffffe, x1, 28, x4) + +inst_21: +// imm_val == 524288, +// opcode: auipc ; dest:x10; immval:0x80000 +TEST_AUIPC(auipc, x10, -0x80000000, 0x80000, x1, 32, x4) + +inst_22: +// imm_val == 262144, +// opcode: auipc ; dest:x10; immval:0x40000 +TEST_AUIPC(auipc, x10, 0x40000000, 0x40000, x1, 36, x4) + +inst_23: +// imm_val == 131072, +// opcode: auipc ; dest:x10; immval:0x20000 +TEST_AUIPC(auipc, x10, 0x20000000, 0x20000, x1, 40, x4) + +inst_24: +// imm_val == 65536, +// opcode: auipc ; dest:x10; immval:0x10000 +TEST_AUIPC(auipc, x10, 0x10000000, 0x10000, x1, 44, x4) + +inst_25: +// imm_val == 32768, +// opcode: auipc ; dest:x10; immval:0x8000 +TEST_AUIPC(auipc, x10, 0x8000000, 0x8000, x1, 48, x4) + +inst_26: +// imm_val == 16384, +// opcode: auipc ; dest:x10; immval:0x4000 +TEST_AUIPC(auipc, x10, 0x4000000, 0x4000, x1, 52, x4) + +inst_27: +// imm_val == 8192, +// opcode: auipc ; dest:x10; immval:0x2000 +TEST_AUIPC(auipc, x10, 0x2000000, 0x2000, x1, 56, x4) + +inst_28: +// imm_val == 4096, +// opcode: auipc ; dest:x10; immval:0x1000 +TEST_AUIPC(auipc, x10, 0x1000000, 0x1000, x1, 60, x4) + +inst_29: +// imm_val == 2048, +// opcode: auipc ; dest:x10; immval:0x800 +TEST_AUIPC(auipc, x10, 0x800000, 0x800, x1, 64, x4) + +inst_30: +// imm_val == 1024, imm_val==1024 +// opcode: auipc ; dest:x10; immval:0x400 +TEST_AUIPC(auipc, x10, 0x400000, 0x400, x1, 68, x4) + +inst_31: +// imm_val == 512, +// opcode: auipc ; dest:x10; immval:0x200 +TEST_AUIPC(auipc, x10, 0x200000, 0x200, x1, 72, x4) + +inst_32: +// imm_val == 256, +// opcode: auipc ; dest:x10; immval:0x100 +TEST_AUIPC(auipc, x10, 0x100000, 0x100, x1, 76, x4) + +inst_33: +// imm_val == 128, +// opcode: auipc ; dest:x10; immval:0x80 +TEST_AUIPC(auipc, x10, 0x80000, 0x80, x1, 80, x4) + +inst_34: +// imm_val == 64, +// opcode: auipc ; dest:x10; immval:0x40 +TEST_AUIPC(auipc, x10, 0x40000, 0x40, x1, 84, x4) + +inst_35: +// imm_val == 32, +// opcode: auipc ; dest:x10; immval:0x20 +TEST_AUIPC(auipc, x10, 0x20000, 0x20, x1, 88, x4) + +inst_36: +// imm_val == 16, +// opcode: auipc ; dest:x10; immval:0x10 +TEST_AUIPC(auipc, x10, 0x10000, 0x10, x1, 92, x4) + +inst_37: +// imm_val==349525, imm_val == 349525 +// opcode: auipc ; dest:x10; immval:0x55555 +TEST_AUIPC(auipc, x10, 0x55555000, 0x55555, x1, 96, x4) + +inst_38: +// imm_val==3, +// opcode: auipc ; dest:x10; immval:0x3 +TEST_AUIPC(auipc, x10, 0x3000, 0x3, x1, 100, x4) + +inst_39: +// imm_val == 699050, imm_val==699050 +// opcode: auipc ; dest:x10; immval:0xaaaaa +TEST_AUIPC(auipc, x10, -0x55556000, 0xaaaaa, x1, 104, x4) + +inst_40: +// imm_val == 0, imm_val==0 +// opcode: auipc ; dest:x10; immval:0x0 +TEST_AUIPC(auipc, x10, 0x0, 0x0, x1, 108, x4) + +inst_41: +// imm_val == 8, +// opcode: auipc ; dest:x10; immval:0x8 +TEST_AUIPC(auipc, x10, 0x8000, 0x8, x1, 112, x4) + +inst_42: +// imm_val == 4, imm_val==4 +// opcode: auipc ; dest:x10; immval:0x4 +TEST_AUIPC(auipc, x10, 0x4000, 0x4, x1, 116, x4) + +inst_43: +// imm_val == 2, imm_val==2 +// opcode: auipc ; dest:x10; immval:0x2 +TEST_AUIPC(auipc, x10, 0x2000, 0x2, x1, 120, x4) + +inst_44: +// imm_val == 1, imm_val==1 +// opcode: auipc ; dest:x10; immval:0x1 +TEST_AUIPC(auipc, x10, 0x1000, 0x1, x1, 124, x4) + +inst_45: +// imm_val==725, +// opcode: auipc ; dest:x10; immval:0x2d5 +TEST_AUIPC(auipc, x10, 0x2d5000, 0x2d5, x1, 128, x4) + +inst_46: +// imm_val==419431, +// opcode: auipc ; dest:x10; immval:0x66667 +TEST_AUIPC(auipc, x10, 0x66667000, 0x66667, x1, 132, x4) + +inst_47: +// imm_val==209716, +// opcode: auipc ; dest:x10; immval:0x33334 +TEST_AUIPC(auipc, x10, 0x33334000, 0x33334, x1, 136, x4) + +inst_48: +// imm_val==6, +// opcode: auipc ; dest:x10; immval:0x6 +TEST_AUIPC(auipc, x10, 0x6000, 0x6, x1, 140, x4) + +inst_49: +// imm_val==699051, +// opcode: auipc ; dest:x10; immval:0xaaaab +TEST_AUIPC(auipc, x10, -0x55555000, 0xaaaab, x1, 144, x4) + +inst_50: +// imm_val==349526, +// opcode: auipc ; dest:x10; immval:0x55556 +TEST_AUIPC(auipc, x10, 0x55556000, 0x55556, x1, 148, x4) + +inst_51: +// imm_val==1022, +// opcode: auipc ; dest:x10; immval:0x3fe +TEST_AUIPC(auipc, x10, 0x3fe000, 0x3fe, x1, 152, x4) + +inst_52: +// imm_val==723, +// opcode: auipc ; dest:x10; immval:0x2d3 +TEST_AUIPC(auipc, x10, 0x2d3000, 0x2d3, x1, 156, x4) + +inst_53: +// imm_val==419429, +// opcode: auipc ; dest:x10; immval:0x66665 +TEST_AUIPC(auipc, x10, 0x66665000, 0x66665, x1, 160, x4) + +inst_54: +// imm_val==209714, +// opcode: auipc ; dest:x10; immval:0x33332 +TEST_AUIPC(auipc, x10, 0x33332000, 0x33332, x1, 164, x4) + +inst_55: +// imm_val==699049, +// opcode: auipc ; dest:x10; immval:0xaaaa9 +TEST_AUIPC(auipc, x10, -0x55557000, 0xaaaa9, x1, 168, x4) + +inst_56: +// imm_val==349524, +// opcode: auipc ; dest:x10; immval:0x55554 +TEST_AUIPC(auipc, x10, 0x55554000, 0x55554, x1, 172, x4) + +inst_57: +// imm_val==1023, +// opcode: auipc ; dest:x10; immval:0x3ff +TEST_AUIPC(auipc, x10, 0x3ff000, 0x3ff, x1, 176, x4) + +inst_58: +// imm_val==724, +// opcode: auipc ; dest:x10; immval:0x2d4 +TEST_AUIPC(auipc, x10, 0x2d4000, 0x2d4, x1, 180, x4) + +inst_59: +// imm_val==419430, +// opcode: auipc ; dest:x10; immval:0x66666 +TEST_AUIPC(auipc, x10, 0x66666000, 0x66666, x1, 184, x4) + +inst_60: +// imm_val==209715, +// opcode: auipc ; dest:x10; immval:0x33333 +TEST_AUIPC(auipc, x10, 0x33333000, 0x33333, x1, 188, x4) + +inst_61: +// imm_val==5, +// opcode: auipc ; dest:x10; immval:0x5 +TEST_AUIPC(auipc, x10, 0x5000, 0x5, x1, 192, x4) + +inst_62: +// imm_val == 1046527, +// opcode: auipc ; dest:x10; immval:0xff7ff +TEST_AUIPC(auipc, x10, -0x801000, 0xff7ff, x1, 196, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 13*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 50*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S new file mode 100644 index 000000000..a8a75c632 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S @@ -0,0 +1,3030 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the beq instruction of the RISC-V E extension for the beq covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",beq) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 == rs2, rs1==x6, rs2==x6, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 +// opcode: beq, op1:x6; op2:x6; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x13, x6, x6, -0x2000001, -0x2000001, 0x8, 3f, x5, 0,0) + +inst_1: +// rs1 != rs2, rs1==x2, rs2==x12, rs2_val == 2147483647, +// opcode: beq, op1:x2; op2:x12; op1val:0x0; op2val:0x7fffffff; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x13, x2, x12, 0x0, 0x7fffffff, 0x0, 3f, x5, 4,0) + +inst_2: +// rs1==x10, rs2==x7, rs2_val == -1073741825, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1431655766 +// opcode: beq, op1:x10; op2:x7; op1val:-0x55555556; op2val:-0x40000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x13, x10, x7, -0x55555556, -0x40000001, 0x4, 1b, x5, 8,0) + +inst_3: +// rs1==x7, rs2==x11, rs2_val == -536870913, rs1_val == 2147483647, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x7; op2:x11; op1val:0x7fffffff; op2val:-0x20000001; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x13, x7, x11, 0x7fffffff, -0x20000001, 0x100, 3f, x5, 12,0) + +inst_4: +// rs1==x9, rs2==x14, rs2_val == -268435457, +// opcode: beq, op1:x9; op2:x14; op1val:0x3fffffff; op2val:-0x10000001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x13, x9, x14, 0x3fffffff, -0x10000001, 0x6, 1b, x5, 16,0) + +inst_5: +// rs1==x8, rs2==x2, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x8; op2:x2; op1val:-0xa; op2val:-0x8000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x13, x8, x2, -0xa, -0x8000001, 0x4, 1b, x5, 20,0) + +inst_6: +// rs1==x12, rs2==x1, rs2_val == -33554433, +// opcode: beq, op1:x12; op2:x1; op1val:0xb504; op2val:-0x2000001; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x13, x12, x1, 0xb504, -0x2000001, 0x2, 3f, x5, 24,0) + +inst_7: +// rs1==x3, rs2==x4, rs2_val == -16777217, rs1_val == -2097153 +// opcode: beq, op1:x3; op2:x4; op1val:-0x200001; op2val:-0x1000001; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x13, x3, x4, -0x200001, -0x1000001, 0x100, 3f, x5, 28,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x0, rs2==x13, rs2_val == -8388609, +// opcode: beq, op1:x0; op2:x13; op1val:0x0; op2val:-0x800001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x6, x0, x13, 0x0, -0x800001, 0x0, 3f, x2, 0,0) + +inst_9: +// rs1==x14, rs2==x5, rs2_val == -4194305, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -268435457 +// opcode: beq, op1:x14; op2:x5; op1val:-0x10000001; op2val:-0x400001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x14, x5, -0x10000001, -0x400001, 0x4, 3f, x2, 4,0) + +inst_10: +// rs1==x15, rs2==x9, rs2_val == -2097153, rs1_val == 4 +// opcode: beq, op1:x15; op2:x9; op1val:0x4; op2val:-0x200001; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x6, x15, x9, 0x4, -0x200001, 0x20, 3f, x2, 8,0) + +inst_11: +// rs1==x5, rs2==x15, rs2_val == -1048577, rs1_val == 512 +// opcode: beq, op1:x5; op2:x15; op1val:0x200; op2val:-0x100001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x5, x15, 0x200, -0x100001, 0x4, 1b, x2, 12,0) + +inst_12: +// rs1==x4, rs2==x0, rs2_val == -524289, rs1_val == -536870913 +// opcode: beq, op1:x4; op2:x0; op1val:-0x20000001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x6, x4, x0, -0x20000001, 0x0, 0x6, 1b, x2, 16,0) + +inst_13: +// rs1==x1, rs2==x8, rs2_val == -262145, rs1_val == -65 +// opcode: beq, op1:x1; op2:x8; op1val:-0x41; op2val:-0x40001; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x6, x1, x8, -0x41, -0x40001, 0x10, 3f, x2, 20,0) + +inst_14: +// rs1==x11, rs2==x3, rs2_val == -131073, +// opcode: beq, op1:x11; op2:x3; op1val:0x4; op2val:-0x20001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x11, x3, 0x4, -0x20001, 0x4, 3f, x2, 24,0) + +inst_15: +// rs1==x13, rs2==x10, rs2_val == -65537, rs1_val == -8193 +// opcode: beq, op1:x13; op2:x10; op1val:-0x2001; op2val:-0x10001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x13, x10, -0x2001, -0x10001, 0x4, 3f, x2, 28,0) + +inst_16: +// rs2_val == -32769, rs1_val == 32 +// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:-0x8001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, -0x8001, 0x6, 1b, x2, 32,0) + +inst_17: +// rs2_val == -16385, +// opcode: beq, op1:x10; op2:x11; op1val:-0x4; op2val:-0x4001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4, -0x4001, 0x8, 3f, x2, 36,0) + +inst_18: +// rs2_val == -8193, +// opcode: beq, op1:x10; op2:x11; op1val:0x200; op2val:-0x2001; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x200, -0x2001, 0x20, 3f, x2, 40,0) + +inst_19: +// rs2_val == -4097, +// opcode: beq, op1:x10; op2:x11; op1val:-0x6; op2val:-0x1001; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x6, -0x1001, 0x400, 1b, x2, 44,0) + +inst_20: +// rs2_val == -2049, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:-0x801; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, -0x801, 0xa, 1b, x2, 48,0) + +inst_21: +// rs2_val == -1025, rs1_val == -129 +// opcode: beq, op1:x10; op2:x11; op1val:-0x81; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x81, -0x401, 0x8, 1b, x2, 52,0) + +inst_22: +// rs2_val == -513, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x201, 0x4, 1b, x2, 56,0) + +inst_23: +// rs2_val == -257, rs1_val == -3 +// opcode: beq, op1:x10; op2:x11; op1val:-0x3; op2val:-0x101; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x3, -0x101, 0x2, 3f, x2, 60,0) + +inst_24: +// rs2_val == -129, rs1_val == 8192 +// opcode: beq, op1:x10; op2:x11; op1val:0x2000; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000, -0x81, 0x4, 1b, x2, 64,0) + +inst_25: +// rs2_val == -65, +// opcode: beq, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x41; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3fffffff, -0x41, 0x400, 3f, x2, 68,0) + +inst_26: +// rs2_val == -33, rs1_val == -262145 +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x21; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x21, 0x400, 1b, x2, 72,0) + +inst_27: +// rs2_val == -17, rs1_val == -33 +// opcode: beq, op1:x10; op2:x11; op1val:-0x21; op2val:-0x11; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x21, -0x11, 0x556, 1b, x2, 76,0) + +inst_28: +// rs2_val == -9, +// opcode: beq, op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x9; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x7fffffff, -0x9, 0x4, 3f, x2, 80,0) + +inst_29: +// rs2_val == -5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x5; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x5, 0x80, 3f, x2, 84,0) + +inst_30: +// rs2_val == -3, rs1_val == 1 +// opcode: beq, op1:x10; op2:x11; op1val:0x1; op2val:-0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1, -0x3, 0x4, 1b, x2, 88,0) + +inst_31: +// rs2_val == -2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x2, 0xa, 1b, x2, 92,0) + +inst_32: +// rs1_val == -1073741825, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40000001, 0x6, 0x556, 1b, x2, 96,0) + +inst_33: +// rs1_val == -134217729, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, -0x10000001, 0x8, 3f, x2, 100,0) + +inst_34: +// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:-0x4000001; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4000001, 0xb504, 0x8, 3f, x2, 104,0) + +inst_35: +// rs1_val == -16777217, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x1000001; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x1000001, -0x1000001, 0x200, 3f, x2, 108,0) + +inst_36: +// rs1_val == -8388609, +// opcode: beq, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x9; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x800001, -0x9, 0x2, 1b, x2, 112,0) + +inst_37: +// rs1_val == -4194305, rs2_val == 128 +// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x80; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x80, 0x400, 1b, x2, 116,0) + +inst_38: +// rs1_val == -1048577, +// opcode: beq, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x100001, -0x55555555, 0x0, 1b, x2, 120,0) + +inst_39: +// rs1_val == -524289, rs2_val == 131072 +// opcode: beq, op1:x10; op2:x11; op1val:-0x80001; op2val:0x20000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x80001, 0x20000, 0x0, 1b, x2, 124,0) + +inst_40: +// rs1_val == -131073, +// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x66666667, 0x400, 1b, x2, 128,0) + +inst_41: +// rs1_val == -65537, +// opcode: beq, op1:x10; op2:x11; op1val:-0x10001; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x10001, 0x33333334, 0x6, 1b, x2, 132,0) + +inst_42: +// rs1_val == -32769, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x0, 0x6, 1b, x2, 136,0) + +inst_43: +// rs1_val == -16385, +// opcode: beq, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x1001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4001, -0x1001, 0x0, 3f, x2, 140,0) + +inst_44: +// rs1_val == -4097, +// opcode: beq, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x800001; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x1001, -0x800001, 0x10, 3f, x2, 144,0) + +inst_45: +// rs1_val == -2049, rs2_val == 2 +// opcode: beq, op1:x10; op2:x11; op1val:-0x801; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x801, 0x2, 0xa, 1b, x2, 148,0) + +inst_46: +// rs1_val == -1025, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:-0x81; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, -0x81, 0x80, 3f, x2, 152,0) + +inst_47: +// rs1_val == -513, +// opcode: beq, op1:x10; op2:x11; op1val:-0x201; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x201, -0x401, 0x8, 1b, x2, 156,0) + +inst_48: +// rs1_val == -257, +// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0xb503, 0x8, 1b, x2, 160,0) + +inst_49: +// rs1_val == -17, +// opcode: beq, op1:x10; op2:x11; op1val:-0x11; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x11, -0xb503, 0x6, 3f, x2, 164,0) + +inst_50: +// rs1_val == -9, +// opcode: beq, op1:x10; op2:x11; op1val:-0x9; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x9, -0xb504, 0x556, 1b, x2, 168,0) + +inst_51: +// rs1_val == -5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x55555554, 0x8, 3f, x2, 172,0) + +inst_52: +// rs1_val == -2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x2; op2val:-0x801; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x2, -0x801, 0xa, 1b, x2, 176,0) + +inst_53: +// rs2_val == -2147483648, rs1_val == 32768 +// opcode: beq, op1:x10; op2:x11; op1val:0x8000; op2val:-0x80000000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000, -0x80000000, 0x0, 1b, x2, 180,0) + +inst_54: +// rs2_val == 1073741824, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x40000000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x40000000, 0x6, 3f, x2, 184,0) + +inst_55: +// rs2_val == 536870912, rs1_val == 1431655765, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x20000000; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x20000000, 0x200, 3f, x2, 188,0) + +inst_56: +// rs2_val == 268435456, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x10000000; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x10000000, 0x10, 3f, x2, 192,0) + +inst_57: +// rs2_val == 134217728, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x8000000; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x8000000, 0x40, 3f, x2, 196,0) + +inst_58: +// rs2_val == 67108864, +// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:0x4000000; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, 0x4000000, 0x4, 3f, x2, 200,0) + +inst_59: +// rs2_val == 33554432, +// opcode: beq, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x9, 0x2000000, 0x100, 3f, x2, 204,0) + +inst_60: +// rs2_val == 16777216, rs1_val == 134217728 +// opcode: beq, op1:x10; op2:x11; op1val:0x8000000; op2val:0x1000000; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000000, 0x1000000, 0x100, 3f, x2, 208,0) + +inst_61: +// rs2_val == 8388608, rs1_val == 8388608 +// opcode: beq, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x800000, 0x800000, 0x200, 3f, x2, 212,0) + +inst_62: +// rs2_val == 4194304, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x400000, 0x0, 3f, x2, 216,0) + +inst_63: +// rs2_val == 2097152, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x200000; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x200000, 0x4, 1b, x2, 220,0) + +inst_64: +// rs2_val == 1048576, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x100000; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, 0x100000, 0x556, 1b, x2, 224,0) + +inst_65: +// rs2_val == 524288, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:0x80000; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, 0x80000, 0x400, 1b, x2, 228,0) + +inst_66: +// rs2_val == 262144, rs1_val == 256 +// opcode: beq, op1:x10; op2:x11; op1val:0x100; op2val:0x40000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x100, 0x40000, 0x0, 1b, x2, 232,0) + +inst_67: +// rs2_val == 65536, +// opcode: beq, op1:x10; op2:x11; op1val:-0xa; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xa, 0x10000, 0x0, 3f, x2, 236,0) + +inst_68: +// rs2_val == 32768, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x8000, 0xa, 1b, x2, 240,0) + +inst_69: +// rs2_val == 16384, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:0x4000; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, 0x4000, 0x40, 3f, x2, 244,0) + +inst_70: +// rs2_val == 8192, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x2000, 0x6, 3f, x2, 248,0) + +inst_71: +// rs2_val == 4096, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1000; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x1000, 0x400, 1b, x2, 252,0) + +inst_72: +// rs2_val == 2048, +// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x800; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x800, 0x4, 1b, x2, 256,0) + +inst_73: +// rs2_val == 1024, +// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x400, 0x10, 3f, x2, 260,0) + +inst_74: +// rs2_val == 512, +// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0x200, 0x400, 1b, x2, 264,0) + +inst_75: +// rs2_val == 256, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x100; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x100, 0x20, 3f, x2, 268,0) + +inst_76: +// rs2_val == 64, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x40; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x40, 0x40, 3f, x2, 272,0) + +inst_77: +// rs2_val == 32, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x20; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x20, 0x2, 3f, x2, 276,0) + +inst_78: +// rs2_val == 16, +// opcode: beq, op1:x10; op2:x11; op1val:-0x200001; op2val:0x10; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x200001, 0x10, 0x200, 3f, x2, 280,0) + +inst_79: +// rs2_val == 8, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x8; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x8, 0x6, 1b, x2, 284,0) + +inst_80: +// rs2_val == 4, rs1_val == 16384 +// opcode: beq, op1:x10; op2:x11; op1val:0x4000; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000, 0x4, 0x200, 3f, x2, 288,0) + +inst_81: +// rs2_val == 1, +// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x1, 0x6, 3f, x2, 292,0) + +inst_82: +// rs1_val == -2147483648, +// opcode: beq, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x80000000, 0x40000000, 0x2, 3f, x2, 296,0) + +inst_83: +// rs1_val == 1073741824, +// opcode: beq, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x81; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000000, -0x81, 0xa, 1b, x2, 300,0) + +inst_84: +// rs1_val == 536870912, +// opcode: beq, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x40000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000000, -0x40000001, 0x4, 3f, x2, 304,0) + +inst_85: +// rs1_val == 268435456, +// opcode: beq, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x40000001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000000, -0x40000001, 0x0, 3f, x2, 308,0) + +inst_86: +// rs1_val == 67108864, +// opcode: beq, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x8; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000000, -0x8, 0x2, 1b, x2, 312,0) + +inst_87: +// rs1_val == 33554432, +// opcode: beq, op1:x10; op2:x11; op1val:0x2000000; op2val:0x10; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000000, 0x10, 0x100, 3f, x2, 316,0) + +inst_88: +// rs1_val == 16777216, +// opcode: beq, op1:x10; op2:x11; op1val:0x1000000; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000000, 0x6, 0x0, 1b, x2, 320,0) + +inst_89: +// rs1_val == 4194304, +// opcode: beq, op1:x10; op2:x11; op1val:0x400000; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x400000, 0x66666666, 0x6, 3f, x2, 324,0) + +inst_90: +// rs1_val == 2097152, +// opcode: beq, op1:x10; op2:x11; op1val:0x200000; op2val:-0x2; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x200000, -0x2, 0x80, 3f, x2, 328,0) + +inst_91: +// rs1_val == 1048576, +// opcode: beq, op1:x10; op2:x11; op1val:0x100000; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x100000, 0x66666667, 0xa, 1b, x2, 332,0) + +inst_92: +// rs1_val == 524288, +// opcode: beq, op1:x10; op2:x11; op1val:0x80000; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x80000, -0x101, 0x6, 3f, x2, 336,0) + +inst_93: +// rs1_val == 262144, rs2_val == 1431655765 +// opcode: beq, op1:x10; op2:x11; op1val:0x40000; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000, 0x55555555, 0x6, 3f, x2, 340,0) + +inst_94: +// rs1_val == 131072, +// opcode: beq, op1:x10; op2:x11; op1val:0x20000; op2val:-0x10000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000, -0x10000001, 0x4, 3f, x2, 344,0) + +inst_95: +// rs1_val == 65536, +// opcode: beq, op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000, -0x55555555, 0xa, 1b, x2, 348,0) + +inst_96: +// rs1_val == 4096, +// opcode: beq, op1:x10; op2:x11; op1val:0x1000; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000, 0x66666665, 0x4, 1b, x2, 352,0) + +inst_97: +// rs1_val == 2048, +// opcode: beq, op1:x10; op2:x11; op1val:0x800; op2val:-0x200001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x800, -0x200001, 0x8, 3f, x2, 356,0) + +inst_98: +// rs1_val == 1024, +// opcode: beq, op1:x10; op2:x11; op1val:0x400; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x400, 0x3, 0x8, 3f, x2, 360,0) + +inst_99: +// rs1_val == 128, +// opcode: beq, op1:x10; op2:x11; op1val:0x80; op2val:0x2000000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x80, 0x2000000, 0x6, 1b, x2, 364,0) + +inst_100: +// rs1_val == 64, +// opcode: beq, op1:x10; op2:x11; op1val:0x40; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40, 0x3, 0x8, 1b, x2, 368,0) + +inst_101: +// rs1_val == 16, +// opcode: beq, op1:x10; op2:x11; op1val:0x10; op2val:-0x80000000; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10, -0x80000000, 0x556, 1b, x2, 372,0) + +inst_102: +// rs1_val == 8, +// opcode: beq, op1:x10; op2:x11; op1val:0x8; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8, 0x33333332, 0x2, 1b, x2, 376,0) + +inst_103: +// rs1_val == 2, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x7; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x7, 0x100, 3f, x2, 380,0) + +inst_104: +// rs1_val==46341 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb505, 0x4, 3f, x2, 384,0) + +inst_105: +// rs1_val==46341 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb503, 0x400, 1b, x2, 388,0) + +inst_106: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666667, 0x2, 1b, x2, 392,0) + +inst_107: +// rs1_val==46341 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333334, 0x40, 3f, x2, 396,0) + +inst_108: +// rs1_val==46341 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x6, 0x2, 3f, x2, 400,0) + +inst_109: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555555, 0x10, 3f, x2, 404,0) + +inst_110: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555556, 0x40, 3f, x2, 408,0) + +inst_111: +// rs1_val==46341 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x4, 0x10, 3f, x2, 412,0) + +inst_112: +// rs1_val==46341 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb503, 0x6, 3f, x2, 416,0) + +inst_113: +// rs1_val==46341 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x0, 0x10, 3f, x2, 420,0) + +inst_114: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666665, 0x200, 3f, x2, 424,0) + +inst_115: +// rs1_val==46341 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333332, 0x6, 3f, x2, 428,0) + +inst_116: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555554, 0x8, 3f, x2, 432,0) + +inst_117: +// rs1_val==46341 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x2, 0x0, 3f, x2, 436,0) + +inst_118: +// rs1_val==46341 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb504, 0x4, 3f, x2, 440,0) + +inst_119: +// rs1_val==46341 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb504, 0x400, 1b, x2, 444,0) + +inst_120: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666666, 0xa, 1b, x2, 448,0) + +inst_121: +// rs1_val==46341 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333333, 0x8, 3f, x2, 452,0) + +inst_122: +// rs1_val==46341 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x5, 0x8, 3f, x2, 456,0) + +inst_123: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555556, 0xa, 1b, x2, 460,0) + +inst_124: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555555, 0x0, 1b, x2, 464,0) + +inst_125: +// rs1_val==46341 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x3, 0x10, 3f, x2, 468,0) + +inst_126: +// rs1_val==-46339 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb505, 0x2, 3f, x2, 472,0) + +inst_127: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb503, 0x0, 3f, x2, 476,0) + +inst_128: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666667, 0x0, 1b, x2, 480,0) + +inst_129: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333334, 0xa, 1b, x2, 484,0) + +inst_130: +// rs1_val==-46339 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x6, 0x80, 3f, x2, 488,0) + +inst_131: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555555, 0x20, 3f, x2, 492,0) + +inst_132: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555556, 0x400, 1b, x2, 496,0) + +inst_133: +// rs1_val==-46339 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x4, 0x80, 3f, x2, 500,0) + +inst_134: +// rs1_val==-46339 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb503, 0x6, 3f, x2, 504,0) + +inst_135: +// rs1_val==-46339 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x0, 0x400, 3f, x2, 508,0) + +inst_136: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666665, 0x4, 1b, x2, 512,0) + +inst_137: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333332, 0x0, 1b, x2, 516,0) + +inst_138: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555554, 0x10, 3f, x2, 520,0) + +inst_139: +// rs1_val==-46339 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x2, 0x40, 3f, x2, 524,0) + +inst_140: +// rs1_val==-46339 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb504, 0x6, 1b, x2, 528,0) + +inst_141: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb504, 0x4, 1b, x2, 532,0) + +inst_142: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666666, 0x6, 1b, x2, 536,0) + +inst_143: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333333, 0x556, 1b, x2, 540,0) + +inst_144: +// rs1_val==-46339 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x5, 0x10, 3f, x2, 544,0) + +inst_145: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555556, 0xa, 1b, x2, 548,0) + +inst_146: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555555, 0x8, 3f, x2, 552,0) + +inst_147: +// rs1_val==-46339 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x3, 0x10, 3f, x2, 556,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x2, 560,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb503, 0x80, 3f, x2, 564,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666667, 0x40, 3f, x2, 568,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333334, 0x2, 1b, x2, 572,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x6, 0x4, 1b, x2, 576,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555555, 0x200, 3f, x2, 580,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555556, 0x2, 3f, x2, 584,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x4, 0xa, 1b, x2, 588,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x2, 592,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x0, 0x10, 3f, x2, 596,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666665, 0x200, 3f, x2, 600,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333332, 0x200, 3f, x2, 604,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x2, 608,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x2, 0x8, 3f, x2, 612,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x2, 616,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb504, 0x0, 1b, x2, 620,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666666, 0x4, 3f, x2, 624,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x2, 628,0) + +inst_166: +// rs1_val==1717986919 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x5, 0x556, 1b, x2, 632,0) + +inst_167: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555556, 0x2, 1b, x2, 636,0) + +inst_168: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 3f, x2, 640,0) + +inst_169: +// rs1_val==1717986919 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x3, 0x556, 1b, x2, 644,0) + +inst_170: +// rs1_val==858993460 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x2, 648,0) + +inst_171: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb503, 0x400, 3f, x2, 652,0) + +inst_172: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666667, 0x400, 3f, x2, 656,0) + +inst_173: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333334, 0x2, 3f, x2, 660,0) + +inst_174: +// rs1_val==858993460 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x6, 0xa, 1b, x2, 664,0) + +inst_175: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555555, 0x200, 3f, x2, 668,0) + +inst_176: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x2, 672,0) + +inst_177: +// rs1_val==858993460 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x4, 0x20, 3f, x2, 676,0) + +inst_178: +// rs1_val==858993460 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb503, 0x4, 1b, x2, 680,0) + +inst_179: +// rs1_val==858993460 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x0, 0x100, 3f, x2, 684,0) + +inst_180: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666665, 0x10, 3f, x2, 688,0) + +inst_181: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x2, 692,0) + +inst_182: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555554, 0x400, 1b, x2, 696,0) + +inst_183: +// rs1_val==858993460 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x2, 0x4, 1b, x2, 700,0) + +inst_184: +// rs1_val==858993460 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 704,0) + +inst_185: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb504, 0x0, 1b, x2, 708,0) + +inst_186: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666666, 0x6, 1b, x2, 712,0) + +inst_187: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333333, 0x20, 3f, x2, 716,0) + +inst_188: +// rs1_val==858993460 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x5, 0x200, 3f, x2, 720,0) + +inst_189: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555556, 0x40, 3f, x2, 724,0) + +inst_190: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555555, 0x556, 1b, x2, 728,0) + +inst_191: +// rs1_val==858993460 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x3, 0x0, 1b, x2, 732,0) + +inst_192: +// rs1_val==6 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb505, 0x20, 3f, x2, 736,0) + +inst_193: +// rs1_val==6 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb503, 0x40, 3f, x2, 740,0) + +inst_194: +// rs1_val==6 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x2, 744,0) + +inst_195: +// rs1_val==6 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333334, 0x556, 1b, x2, 748,0) + +inst_196: +// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x6, 0x8, 1b, x2, 752,0) + +inst_197: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555555, 0x6, 1b, x2, 756,0) + +inst_198: +// rs1_val==6 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555556, 0x6, 1b, x2, 760,0) + +inst_199: +// rs1_val==6 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x4, 0x2, 3f, x2, 764,0) + +inst_200: +// rs1_val==6 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb503, 0xa, 1b, x2, 768,0) + +inst_201: +// rs1_val==6 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x0, 0x2, 1b, x2, 772,0) + +inst_202: +// rs1_val==6 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666665, 0x80, 3f, x2, 776,0) + +inst_203: +// rs1_val==6 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x2, 780,0) + +inst_204: +// rs1_val==6 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555554, 0x2, 3f, x2, 784,0) + +inst_205: +// rs1_val==6 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x2, 0x0, 1b, x2, 788,0) + +inst_206: +// rs1_val==6 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x2, 792,0) + +inst_207: +// rs1_val==6 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb504, 0x8, 1b, x2, 796,0) + +inst_208: +// rs1_val==6 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666666, 0x400, 1b, x2, 800,0) + +inst_209: +// rs1_val==6 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333333, 0x20, 3f, x2, 804,0) + +inst_210: +// rs1_val==6 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x5, 0xa, 1b, x2, 808,0) + +inst_211: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555556, 0x4, 1b, x2, 812,0) + +inst_212: +// rs1_val==6 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555555, 0x4, 1b, x2, 816,0) + +inst_213: +// rs1_val==6 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x3, 0x6, 3f, x2, 820,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb505, 0x20, 3f, x2, 824,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb503, 0x6, 1b, x2, 828,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666667, 0x556, 1b, x2, 832,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333334, 0x8, 3f, x2, 836,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x6, 0x40, 3f, x2, 840,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555555, 0x8, 3f, x2, 844,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555556, 0xa, 1b, x2, 848,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x4, 0x6, 1b, x2, 852,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb503, 0xa, 1b, x2, 856,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x0, 0x6, 3f, x2, 860,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666665, 0x400, 1b, x2, 864,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333332, 0x10, 3f, x2, 868,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555554, 0x400, 1b, x2, 872,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x2, 0x0, 3f, x2, 876,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb504, 0x10, 3f, x2, 880,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb504, 0x0, 1b, x2, 884,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666666, 0x40, 3f, x2, 888,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333333, 0x10, 3f, x2, 892,0) + +inst_232: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x5, 0x2, 1b, x2, 896,0) + +inst_233: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555556, 0x2, 3f, x2, 900,0) + +inst_234: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x2, 904,0) + +inst_235: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x3, 0xa, 1b, x2, 908,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb505, 0x400, 3f, x2, 912,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb503, 0xa, 1b, x2, 916,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666667, 0x6, 1b, x2, 920,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333334, 0x2, 3f, x2, 924,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x6, 0x8, 3f, x2, 928,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555555, 0x4, 1b, x2, 932,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x2, 936,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x4, 0x200, 3f, x2, 940,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb503, 0x200, 3f, x2, 944,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x0, 0x400, 3f, x2, 948,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666665, 0x6, 1b, x2, 952,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x2, 956,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555554, 0x6, 1b, x2, 960,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x2, 0x8, 3f, x2, 964,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x2, 968,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb504, 0xa, 1b, x2, 972,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 1b, x2, 976,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 1b, x2, 980,0) + +inst_254: +// rs1_val==1431655766 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x5, 0x6, 1b, x2, 984,0) + +inst_255: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555556, 0x200, 3f, x2, 988,0) + +inst_256: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x2, 992,0) + +inst_257: +// rs1_val==1431655766 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x2, 996,0) + +inst_258: +// rs1_val==4 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb505, 0x0, 3f, x2, 1000,0) + +inst_259: +// rs1_val==4 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb503, 0x556, 1b, x2, 1004,0) + +inst_260: +// rs1_val==4 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666667, 0x400, 3f, x2, 1008,0) + +inst_261: +// rs1_val==4 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x2, 1012,0) + +inst_262: +// rs1_val==4 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x6, 0x400, 3f, x2, 1016,0) + +inst_263: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555555, 0x2, 1b, x2, 1020,0) + +inst_264: +// rs1_val==4 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555556, 0x6, 1b, x2, 1024,0) + +inst_265: +// rs1_val==4 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x4, 0x200, 3f, x2, 1028,0) + +inst_266: +// rs1_val==4 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb503, 0x2, 3f, x2, 1032,0) + +inst_267: +// rs1_val==4 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x0, 0x100, 3f, x2, 1036,0) + +inst_268: +// rs1_val==4 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666665, 0xa, 1b, x2, 1040,0) + +inst_269: +// rs1_val==4 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333332, 0x556, 1b, x2, 1044,0) + +inst_270: +// rs1_val==4 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555554, 0x8, 1b, x2, 1048,0) + +inst_271: +// rs1_val==4 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x2, 0x10, 3f, x2, 1052,0) + +inst_272: +// rs1_val==4 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb504, 0x0, 1b, x2, 1056,0) + +inst_273: +// rs1_val==4 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb504, 0xa, 1b, x2, 1060,0) + +inst_274: +// rs1_val==4 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666666, 0x80, 3f, x2, 1064,0) + +inst_275: +// rs1_val==4 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333333, 0x0, 3f, x2, 1068,0) + +inst_276: +// rs1_val==4 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x2, 1072,0) + +inst_277: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555556, 0x8, 1b, x2, 1076,0) + +inst_278: +// rs1_val==4 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555555, 0x6, 1b, x2, 1080,0) + +inst_279: +// rs1_val==4 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x3, 0x8, 1b, x2, 1084,0) + +inst_280: +// rs1_val==46339 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb505, 0x40, 3f, x2, 1088,0) + +inst_281: +// rs1_val==46339 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb503, 0x2, 1b, x2, 1092,0) + +inst_282: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666667, 0x10, 3f, x2, 1096,0) + +inst_283: +// rs1_val==46339 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333334, 0x20, 3f, x2, 1100,0) + +inst_284: +// rs1_val==46339 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x2, 1104,0) + +inst_285: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555555, 0x2, 3f, x2, 1108,0) + +inst_286: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1112,0) + +inst_287: +// rs1_val==46339 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x4, 0x4, 3f, x2, 1116,0) + +inst_288: +// rs1_val==46339 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb503, 0x6, 1b, x2, 1120,0) + +inst_289: +// rs1_val==46339 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x0, 0x556, 1b, x2, 1124,0) + +inst_290: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666665, 0x400, 3f, x2, 1128,0) + +inst_291: +// rs1_val==46339 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333332, 0x8, 1b, x2, 1132,0) + +inst_292: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555554, 0x0, 3f, x2, 1136,0) + +inst_293: +// rs1_val==46339 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1140,0) + +inst_294: +// rs1_val==46339 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb504, 0x6, 3f, x2, 1144,0) + +inst_295: +// rs1_val==46339 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb504, 0x400, 1b, x2, 1148,0) + +inst_296: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666666, 0x0, 1b, x2, 1152,0) + +inst_297: +// rs1_val==46339 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1156,0) + +inst_298: +// rs1_val==46339 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x5, 0x400, 1b, x2, 1160,0) + +inst_299: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555556, 0x400, 3f, x2, 1164,0) + +inst_300: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x2, 1168,0) + +inst_301: +// rs1_val==46339 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x3, 0x556, 1b, x2, 1172,0) + +inst_302: +// rs1_val==0 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb505, 0x556, 1b, x2, 1176,0) + +inst_303: +// rs1_val==0 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb503, 0x2, 1b, x2, 1180,0) + +inst_304: +// rs1_val==0 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666667, 0x10, 3f, x2, 1184,0) + +inst_305: +// rs1_val==0 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333334, 0x2, 1b, x2, 1188,0) + +inst_306: +// rs1_val==0 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x6, 0x80, 3f, x2, 1192,0) + +inst_307: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555555, 0x80, 3f, x2, 1196,0) + +inst_308: +// rs1_val==0 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555556, 0x400, 1b, x2, 1200,0) + +inst_309: +// rs1_val==0 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x4, 0x10, 3f, x2, 1204,0) + +inst_310: +// rs1_val==0 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb503, 0x4, 3f, x2, 1208,0) + +inst_311: +// rs1_val==0 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x0, 0x8, 1b, x2, 1212,0) + +inst_312: +// rs1_val==0 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666665, 0x6, 3f, x2, 1216,0) + +inst_313: +// rs1_val==0 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333332, 0x200, 3f, x2, 1220,0) + +inst_314: +// rs1_val==0 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555554, 0x100, 3f, x2, 1224,0) + +inst_315: +// rs1_val==0 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x2, 0x80, 3f, x2, 1228,0) + +inst_316: +// rs1_val==0 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb504, 0x40, 3f, x2, 1232,0) + +inst_317: +// rs1_val==0 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb504, 0x20, 3f, x2, 1236,0) + +inst_318: +// rs1_val==0 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666666, 0x556, 1b, x2, 1240,0) + +inst_319: +// rs1_val==0 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333333, 0x0, 3f, x2, 1244,0) + +inst_320: +// rs1_val==0 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x5, 0x0, 3f, x2, 1248,0) + +inst_321: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x2, 1252,0) + +inst_322: +// rs1_val==0 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555555, 0x556, 1b, x2, 1256,0) + +inst_323: +// rs1_val==0 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x3, 0x10, 3f, x2, 1260,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x2, 1264,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb503, 0x6, 3f, x2, 1268,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666667, 0x8, 3f, x2, 1272,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1276,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x6, 0x0, 3f, x2, 1280,0) + +inst_329: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x2, 1284,0) + +inst_330: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555556, 0x400, 1b, x2, 1288,0) + +inst_331: +// rs1_val==1717986917 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1292,0) + +inst_332: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x2, 1296,0) + +inst_333: +// rs1_val==858993459 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x0, 0x6, 3f, x2, 1300,0) + +inst_334: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666665, 0x40, 3f, x2, 1304,0) + +inst_335: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333332, 0x400, 3f, x2, 1308,0) + +inst_336: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555554, 0x6, 1b, x2, 1312,0) + +inst_337: +// rs1_val==858993459 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x2, 0x40, 3f, x2, 1316,0) + +inst_338: +// rs1_val==858993459 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb504, 0x10, 3f, x2, 1320,0) + +inst_339: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb504, 0x20, 3f, x2, 1324,0) + +inst_340: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666666, 0x2, 3f, x2, 1328,0) + +inst_341: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333333, 0x4, 3f, x2, 1332,0) + +inst_342: +// rs1_val==858993459 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x5, 0x0, 3f, x2, 1336,0) + +inst_343: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x2, 1340,0) + +inst_344: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555555, 0x8, 3f, x2, 1344,0) + +inst_345: +// rs1_val==858993459 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x3, 0x4, 3f, x2, 1348,0) + +inst_346: +// rs1_val==5 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb505, 0x400, 3f, x2, 1352,0) + +inst_347: +// rs1_val==5 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb503, 0x4, 3f, x2, 1356,0) + +inst_348: +// rs1_val==5 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666667, 0x200, 3f, x2, 1360,0) + +inst_349: +// rs1_val==5 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333334, 0x2, 1b, x2, 1364,0) + +inst_350: +// rs1_val==5 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x6, 0x4, 1b, x2, 1368,0) + +inst_351: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555555, 0x8, 3f, x2, 1372,0) + +inst_352: +// rs1_val==5 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555556, 0x40, 3f, x2, 1376,0) + +inst_353: +// rs1_val==5 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x4, 0x0, 1b, x2, 1380,0) + +inst_354: +// rs1_val==5 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x2, 1384,0) + +inst_355: +// rs1_val==5 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x0, 0xa, 1b, x2, 1388,0) + +inst_356: +// rs1_val==5 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666665, 0x8, 1b, x2, 1392,0) + +inst_357: +// rs1_val==5 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333332, 0x400, 3f, x2, 1396,0) + +inst_358: +// rs1_val==5 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555554, 0x10, 3f, x2, 1400,0) + +inst_359: +// rs1_val==5 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x2, 0x556, 1b, x2, 1404,0) + +inst_360: +// rs1_val==5 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb504, 0x0, 3f, x2, 1408,0) + +inst_361: +// rs1_val==5 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb504, 0x400, 1b, x2, 1412,0) + +inst_362: +// rs1_val==5 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666666, 0x2, 3f, x2, 1416,0) + +inst_363: +// rs1_val==5 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333333, 0x6, 3f, x2, 1420,0) + +inst_364: +// rs1_val==5 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x5, 0xa, 1b, x2, 1424,0) + +inst_365: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555556, 0x8, 3f, x2, 1428,0) + +inst_366: +// rs1_val==5 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555555, 0x2, 3f, x2, 1432,0) + +inst_367: +// rs1_val==5 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x3, 0x20, 3f, x2, 1436,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb505, 0x20, 3f, x2, 1440,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb503, 0x200, 3f, x2, 1444,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666667, 0x10, 3f, x2, 1448,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333334, 0x556, 1b, x2, 1452,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x6, 0xa, 1b, x2, 1456,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555555, 0x8, 3f, x2, 1460,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555556, 0x4, 1b, x2, 1464,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x2, 1468,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb503, 0x8, 3f, x2, 1472,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x0, 0x200, 3f, x2, 1476,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666665, 0x2, 3f, x2, 1480,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333332, 0x8, 1b, x2, 1484,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555554, 0x6, 1b, x2, 1488,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x2, 0x200, 3f, x2, 1492,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x2, 1496,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb504, 0x2, 1b, x2, 1500,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666666, 0x8, 3f, x2, 1504,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333333, 0x8, 1b, x2, 1508,0) + +inst_386: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x5, 0x20, 3f, x2, 1512,0) + +inst_387: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555556, 0x2, 1b, x2, 1516,0) + +inst_388: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555555, 0x80, 3f, x2, 1520,0) + +inst_389: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x3, 0x4, 1b, x2, 1524,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb505, 0x0, 3f, x2, 1528,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x2, 1532,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x2, 1536,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333334, 0x40, 3f, x2, 1540,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 1544,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555555, 0x2, 1b, x2, 1548,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555556, 0x100, 3f, x2, 1552,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x4, 0x2, 1b, x2, 1556,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb503, 0x4, 3f, x2, 1560,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x0, 0x10, 3f, x2, 1564,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666665, 0x400, 1b, x2, 1568,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333332, 0x20, 3f, x2, 1572,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555554, 0x40, 3f, x2, 1576,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x2, 0x40, 3f, x2, 1580,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x2, 1584,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb504, 0x400, 3f, x2, 1588,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 1592,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333333, 0x6, 3f, x2, 1596,0) + +inst_408: +// rs1_val==1431655765 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x5, 0x0, 3f, x2, 1600,0) + +inst_409: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555556, 0x6, 3f, x2, 1604,0) + +inst_410: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x2, 1608,0) + +inst_411: +// rs1_val==1431655765 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x3, 0x10, 3f, x2, 1612,0) + +inst_412: +// rs1_val==3 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb505, 0x2, 1b, x2, 1616,0) + +inst_413: +// rs1_val==3 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb503, 0x0, 1b, x2, 1620,0) + +inst_414: +// rs1_val==3 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666667, 0x0, 1b, x2, 1624,0) + +inst_415: +// rs1_val==3 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 1628,0) + +inst_416: +// rs1_val==3 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x6, 0x0, 1b, x2, 1632,0) + +inst_417: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x2, 1636,0) + +inst_418: +// rs1_val==3 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555556, 0x8, 3f, x2, 1640,0) + +inst_419: +// rs1_val==3 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x4, 0x80, 3f, x2, 1644,0) + +inst_420: +// rs1_val==3 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 1648,0) + +inst_421: +// rs1_val==3 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x0, 0x10, 3f, x2, 1652,0) + +inst_422: +// rs1_val==3 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666665, 0x6, 3f, x2, 1656,0) + +inst_423: +// rs1_val==3 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333332, 0x400, 1b, x2, 1660,0) + +inst_424: +// rs1_val==3 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555554, 0x0, 1b, x2, 1664,0) + +inst_425: +// rs1_val==3 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x2, 0x400, 3f, x2, 1668,0) + +inst_426: +// rs1_val==3 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb504, 0x200, 3f, x2, 1672,0) + +inst_427: +// rs1_val==3 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb504, 0x400, 3f, x2, 1676,0) + +inst_428: +// rs1_val==3 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666666, 0x0, 3f, x2, 1680,0) + +inst_429: +// rs1_val==3 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333333, 0x10, 3f, x2, 1684,0) + +inst_430: +// rs1_val==3 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 1688,0) + +inst_431: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555556, 0x400, 3f, x2, 1692,0) + +inst_432: +// rs1_val==3 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555555, 0x400, 3f, x2, 1696,0) + +inst_433: +// rs1_val==3 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x3, 0x20, 3f, x2, 1700,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x0, 0x8, 3f, x2, 1704,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666665, 0x10, 3f, x2, 1708,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333332, 0x10, 3f, x2, 1712,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x2, 1716,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x2, 0x0, 1b, x2, 1720,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb504, 0x0, 1b, x2, 1724,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x2, 1728,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x2, 1732,0) + +inst_442: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x2, 1736,0) + +inst_443: +// rs1_val==1717986917 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x5, 0x0, 1b, x2, 1740,0) + +inst_444: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555556, 0x40, 3f, x2, 1744,0) + +inst_445: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 3f, x2, 1748,0) + +inst_446: +// rs1_val==1717986917 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x3, 0x4, 3f, x2, 1752,0) + +inst_447: +// rs1_val==858993458 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb505, 0x4, 3f, x2, 1756,0) + +inst_448: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb503, 0x8, 1b, x2, 1760,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666667, 0x4, 1b, x2, 1764,0) + +inst_450: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1768,0) + +inst_451: +// rs1_val==858993458 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x2, 1772,0) + +inst_452: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x2, 1776,0) + +inst_453: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x2, 1780,0) + +inst_454: +// rs1_val==858993458 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x4, 0x20, 3f, x2, 1784,0) + +inst_455: +// rs1_val==858993458 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb503, 0x400, 3f, x2, 1788,0) + +inst_456: +// rs1_val==858993458 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x0, 0x6, 3f, x2, 1792,0) + +inst_457: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1796,0) + +inst_458: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1800,0) + +inst_459: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555554, 0x4, 3f, x2, 1804,0) + +inst_460: +// rs1_val==858993458 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x2, 0x400, 3f, x2, 1808,0) + +inst_461: +// rs1_val==858993458 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb504, 0x40, 3f, x2, 1812,0) + +inst_462: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb504, 0x200, 3f, x2, 1816,0) + +inst_463: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x2, 1820,0) + +inst_464: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1824,0) + +inst_465: +// rs1_val==858993458 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x5, 0x20, 3f, x2, 1828,0) + +inst_466: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555556, 0x40, 3f, x2, 1832,0) + +inst_467: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555555, 0x0, 1b, x2, 1836,0) + +inst_468: +// rs1_val==858993458 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x2, 1840,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb505, 0x10, 3f, x2, 1844,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb503, 0x20, 3f, x2, 1848,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666667, 0x6, 3f, x2, 1852,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333334, 0x200, 3f, x2, 1856,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x6, 0x200, 3f, x2, 1860,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x2, 1864,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x2, 1868,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x4, 0x20, 3f, x2, 1872,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb503, 0x2, 3f, x2, 1876,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x0, 0x6, 3f, x2, 1880,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666665, 0x0, 3f, x2, 1884,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1888,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555554, 0x0, 3f, x2, 1892,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x2, 0x6, 1b, x2, 1896,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb504, 0x0, 3f, x2, 1900,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb504, 0x4, 1b, x2, 1904,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666666, 0x20, 3f, x2, 1908,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 1b, x2, 1912,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x5, 0x2, 3f, x2, 1916,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555556, 0x8, 3f, x2, 1920,0) + +inst_489: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x2, 1924,0) + +inst_490: +// rs1_val==1431655764 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x3, 0x2, 3f, x2, 1928,0) + +inst_491: +// rs1_val==2 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb505, 0x400, 3f, x2, 1932,0) + +inst_492: +// rs1_val==2 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb503, 0x400, 3f, x2, 1936,0) + +inst_493: +// rs1_val==2 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666667, 0x4, 3f, x2, 1940,0) + +inst_494: +// rs1_val==2 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333334, 0x4, 1b, x2, 1944,0) + +inst_495: +// rs1_val==2 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x6, 0x100, 3f, x2, 1948,0) + +inst_496: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555555, 0x556, 1b, x2, 1952,0) + +inst_497: +// rs1_val==2 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555556, 0x4, 3f, x2, 1956,0) + +inst_498: +// rs1_val==2 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x4, 0x6, 1b, x2, 1960,0) + +inst_499: +// rs1_val==2 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb503, 0x556, 1b, x2, 1964,0) + +inst_500: +// rs1_val==2 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x0, 0x4, 1b, x2, 1968,0) + +inst_501: +// rs1_val==2 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666665, 0x200, 3f, x2, 1972,0) + +inst_502: +// rs1_val==2 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333332, 0x0, 1b, x2, 1976,0) + +inst_503: +// rs1_val==2 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555554, 0x80, 3f, x2, 1980,0) + +inst_504: +// rs1_val==2 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x2, 0x2, 1b, x2, 1984,0) + +inst_505: +// rs1_val==2 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb504, 0x556, 1b, x2, 1988,0) + +inst_506: +// rs1_val==2 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb504, 0x0, 3f, x2, 1992,0) + +inst_507: +// rs1_val==2 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666666, 0x0, 3f, x2, 1996,0) + +inst_508: +// rs1_val==2 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333333, 0x400, 1b, x2, 2000,0) + +inst_509: +// rs1_val==2 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x5, 0x2, 3f, x2, 2004,0) + +inst_510: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555556, 0x10, 3f, x2, 2008,0) + +inst_511: +// rs1_val==2 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555555, 0x400, 3f, x2, 2012,0) + +inst_512: +// rs1_val==2 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x3, 0x10, 3f, x2, 2016,0) + +inst_513: +// rs1_val==46340 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb505, 0x100, 3f, x2, 2020,0) + +inst_514: +// rs1_val==46340 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb503, 0x4, 1b, x2, 2024,0) + +inst_515: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666667, 0x2, 3f, x2, 2028,0) + +inst_516: +// rs1_val==46340 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333334, 0x8, 3f, x2, 2032,0) + +inst_517: +// rs1_val==46340 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x6, 0x8, 1b, x2, 2036,0) + +inst_518: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555555, 0x0, 1b, x2, 2040,0) + +inst_519: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x2, 2044,0) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==46340 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x2, 0,0) + +inst_521: +// rs1_val==46340 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb503, 0x10, 3f, x2, 4,0) + +inst_522: +// rs1_val==46340 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x0, 0x8, 1b, x2, 8,0) + +inst_523: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666665, 0x0, 1b, x2, 12,0) + +inst_524: +// rs1_val==46340 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333332, 0x400, 3f, x2, 16,0) + +inst_525: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555554, 0x6, 1b, x2, 20,0) + +inst_526: +// rs1_val==46340 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x2, 0x400, 1b, x2, 24,0) + +inst_527: +// rs1_val==46340 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb504, 0x0, 3f, x2, 28,0) + +inst_528: +// rs1_val==46340 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb504, 0x100, 3f, x2, 32,0) + +inst_529: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666666, 0x80, 3f, x2, 36,0) + +inst_530: +// rs1_val==46340 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333333, 0x8, 1b, x2, 40,0) + +inst_531: +// rs1_val==46340 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x5, 0x2, 1b, x2, 44,0) + +inst_532: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555556, 0x2, 1b, x2, 48,0) + +inst_533: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555555, 0x400, 1b, x2, 52,0) + +inst_534: +// rs1_val==46340 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x3, 0xa, 1b, x2, 56,0) + +inst_535: +// rs1_val==-46340 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb505, 0x80, 3f, x2, 60,0) + +inst_536: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb503, 0x80, 3f, x2, 64,0) + +inst_537: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x2, 68,0) + +inst_538: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333334, 0x6, 3f, x2, 72,0) + +inst_539: +// rs1_val==-46340 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x6, 0x200, 3f, x2, 76,0) + +inst_540: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555555, 0x2, 1b, x2, 80,0) + +inst_541: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555556, 0x0, 1b, x2, 84,0) + +inst_542: +// rs1_val==-46340 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x4, 0x4, 3f, x2, 88,0) + +inst_543: +// rs1_val==-46340 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb503, 0xa, 1b, x2, 92,0) + +inst_544: +// rs1_val==-46340 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x2, 96,0) + +inst_545: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666665, 0x556, 1b, x2, 100,0) + +inst_546: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333332, 0x200, 3f, x2, 104,0) + +inst_547: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555554, 0x80, 3f, x2, 108,0) + +inst_548: +// rs1_val==-46340 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x2, 0x4, 1b, x2, 112,0) + +inst_549: +// rs1_val==-46340 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb504, 0x2, 3f, x2, 116,0) + +inst_550: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb504, 0x4, 1b, x2, 120,0) + +inst_551: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666666, 0x400, 1b, x2, 124,0) + +inst_552: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333333, 0x10, 3f, x2, 128,0) + +inst_553: +// rs1_val==-46340 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x5, 0x8, 3f, x2, 132,0) + +inst_554: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555556, 0x6, 3f, x2, 136,0) + +inst_555: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555555, 0x80, 3f, x2, 140,0) + +inst_556: +// rs1_val==-46340 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x2, 144,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x2, 148,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb503, 0x100, 3f, x2, 152,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x2, 156,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333334, 0x100, 3f, x2, 160,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x6, 0x200, 3f, x2, 164,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555555, 0x2, 3f, x2, 168,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555556, 0x10, 3f, x2, 172,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x4, 0x4, 3f, x2, 176,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb503, 0x100, 3f, x2, 180,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x2, 184,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666665, 0x556, 1b, x2, 188,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333332, 0x200, 3f, x2, 192,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555554, 0x2, 1b, x2, 196,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x2, 0x400, 1b, x2, 200,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb504, 0x100, 3f, x2, 204,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb504, 0x2, 3f, x2, 208,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x2, 212,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x2, 216,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x5, 0x40, 3f, x2, 220,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555556, 0x556, 1b, x2, 224,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555555, 0x556, 1b, x2, 228,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x3, 0x556, 1b, x2, 232,0) + +inst_579: +// rs1_val==858993459 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb505, 0x400, 1b, x2, 236,0) + +inst_580: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb503, 0x10, 3f, x2, 240,0) + +inst_581: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666667, 0xa, 1b, x2, 244,0) + +inst_582: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333334, 0x200, 3f, x2, 248,0) + +inst_583: +// rs1_val==858993459 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x6, 0x0, 3f, x2, 252,0) + +inst_584: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555555, 0x4, 3f, x2, 256,0) + +inst_585: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555556, 0xa, 1b, x2, 260,0) + +inst_586: +// rs1_val==858993459 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x4, 0x400, 1b, x2, 264,0) + +inst_587: +// rs1_val==858993459 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x2, 268,0) + +inst_588: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 +// opcode: beq, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x4000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x2000001, -0x4000001, 0x8, 3f, x2, 272,0) + +inst_589: +// rs2_val == -524289, rs1_val == -536870913 +// opcode: beq, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20000001, -0x80001, 0x6, 1b, x2, 276,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 70*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S new file mode 100644 index 000000000..e468772c8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S @@ -0,0 +1,3015 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bge instruction of the RISC-V E extension for the bge covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bge) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x9, rs2==x9, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x9; op2:x9; op1val:-0x10001; op2val:-0x10001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x14, x9, x9, -0x10001, -0x10001, 0x400, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x8, rs2==x7, rs2_val == 2147483647, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x8; op2:x7; op1val:0x4; op2val:0x7fffffff; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x14, x8, x7, 0x4, 0x7fffffff, 0x10, 3f, x2, 4,0) + +inst_2: +// rs1==x5, rs2==x11, rs2_val == -1073741825, +// opcode: bge, op1:x5; op2:x11; op1val:0x4; op2val:-0x40000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x5, x11, 0x4, -0x40000001, 0x2, 1b, x2, 8,0) + +inst_3: +// rs1==x12, rs2==x0, rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x12; op2:x0; op1val:-0x8001; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x14, x12, x0, -0x8001, 0x0, 0x20, 3f, x2, 12,0) + +inst_4: +// rs1==x3, rs2==x4, rs2_val == -268435457, rs1_val == 536870912 +// opcode: bge, op1:x3; op2:x4; op1val:0x20000000; op2val:-0x10000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x3, x4, 0x20000000, -0x10000001, 0x2, 3f, x2, 16,0) + +inst_5: +// rs1==x11, rs2==x1, rs2_val == -134217729, rs1_val == 128, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x11; op2:x1; op1val:0x80; op2val:-0x8000001; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x14, x11, x1, 0x80, -0x8000001, 0x10, 3f, x2, 20,0) + +inst_6: +// rs1==x0, rs2==x12, rs2_val == -67108865, rs1_val == 256 +// opcode: bge, op1:x0; op2:x12; op1val:0x0; op2val:-0x4000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x14, x0, x12, 0x0, -0x4000001, 0x556, 1b, x2, 24,0) + +inst_7: +// rs1==x7, rs2==x6, rs2_val == -33554433, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x7; op2:x6; op1val:0x80; op2val:-0x2000001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x14, x7, x6, 0x80, -0x2000001, 0x4, 1b, x2, 28,0) + +inst_8: +// rs1==x13, rs2==x10, rs2_val == -16777217, rs1_val == 8388608 +// opcode: bge, op1:x13; op2:x10; op1val:0x800000; op2val:-0x1000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x13, x10, 0x800000, -0x1000001, 0x2, 1b, x2, 32,0) +RVTEST_SIGBASE( x7,signature_x7_0) + +inst_9: +// rs1==x1, rs2==x3, rs2_val == -8388609, rs1_val == 268435456 +// opcode: bge, op1:x1; op2:x3; op1val:0x10000000; op2val:-0x800001; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x9, x1, x3, 0x10000000, -0x800001, 0x80, 3f, x7, 0,0) + +inst_10: +// rs1==x2, rs2==x13, rs2_val == -4194305, rs1_val == 32768 +// opcode: bge, op1:x2; op2:x13; op1val:0x8000; op2val:-0x400001; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x9, x2, x13, 0x8000, -0x400001, 0x40, 3f, x7, 4,0) + +inst_11: +// rs1==x14, rs2==x5, rs2_val == -2097153, rs1_val == 2147483647 +// opcode: bge, op1:x14; op2:x5; op1val:0x7fffffff; op2val:-0x200001; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x9, x14, x5, 0x7fffffff, -0x200001, 0x100, 3f, x7, 8,0) + +inst_12: +// rs1==x10, rs2==x15, rs2_val == -1048577, rs1_val == -262145 +// opcode: bge, op1:x10; op2:x15; op1val:-0x40001; op2val:-0x100001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x9, x10, x15, -0x40001, -0x100001, 0x8, 3f, x7, 12,0) + +inst_13: +// rs1==x6, rs2==x8, rs2_val == -524289, +// opcode: bge, op1:x6; op2:x8; op1val:0x0; op2val:-0x80001; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x9, x6, x8, 0x0, -0x80001, 0x0, 1b, x7, 16,0) + +inst_14: +// rs1==x4, rs2==x14, rs2_val == -262145, rs1_val == 65536 +// opcode: bge, op1:x4; op2:x14; op1val:0x10000; op2val:-0x40001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x9, x4, x14, 0x10000, -0x40001, 0x2, 1b, x7, 20,0) + +inst_15: +// rs1==x15, rs2==x2, rs2_val == -131073, +// opcode: bge, op1:x15; op2:x2; op1val:0x55555554; op2val:-0x20001; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x15, x2, 0x55555554, -0x20001, 0x80, 3f, x7, 24,0) + +inst_16: +// rs2_val == -65537, rs1_val == -3 +// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:-0x10001; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, -0x10001, 0x0, 1b, x7, 28,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs2_val == -32769, rs1_val == 1431655765 +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x8001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x8001, 0x8, 3f, x1, 0,0) + +inst_18: +// rs2_val == -16385, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x4001; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x4001, 0x100, 3f, x1, 4,0) + +inst_19: +// rs2_val == -8193, rs1_val == -131073 +// opcode: bge, op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x20001, -0x2001, 0x4, 3f, x1, 8,0) + +inst_20: +// rs2_val == -4097, rs1_val == -1048577 +// opcode: bge, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x1001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x100001, -0x1001, 0x400, 1b, x1, 12,0) + +inst_21: +// rs2_val == -2049, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x801; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x801, 0x20, 3f, x1, 16,0) + +inst_22: +// rs2_val == -1025, rs1_val == 512 +// opcode: bge, op1:x10; op2:x11; op1val:0x200; op2val:-0x401; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x200, -0x401, 0x4, 3f, x1, 20,0) + +inst_23: +// rs2_val == -513, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x201, 0x556, 1b, x1, 24,0) + +inst_24: +// rs2_val == -257, rs1_val == -16777217 +// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x101; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x101, 0x40, 3f, x1, 28,0) + +inst_25: +// rs2_val == -129, +// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x81; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x81, 0x0, 3f, x1, 32,0) + +inst_26: +// rs2_val == -65, +// opcode: bge, op1:x10; op2:x11; op1val:0x80; op2val:-0x41; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x80, -0x41, 0x400, 3f, x1, 36,0) + +inst_27: +// rs2_val == -33, +// opcode: bge, op1:x10; op2:x11; op1val:-0x1; op2val:-0x21; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1, -0x21, 0x100, 3f, x1, 40,0) + +inst_28: +// rs2_val == -17, +// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x11; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x11, 0xa, 1b, x1, 44,0) + +inst_29: +// rs2_val == -9, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x9; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x9, 0x2, 3f, x1, 48,0) + +inst_30: +// rs2_val == -5, rs1_val == 67108864 +// opcode: bge, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000000, -0x5, 0x20, 3f, x1, 52,0) + +inst_31: +// rs2_val == -3, +// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x3, 0x10, 3f, x1, 56,0) + +inst_32: +// rs2_val == -2, rs1_val == -2049 +// opcode: bge, op1:x10; op2:x11; op1val:-0x801; op2val:-0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x801, -0x2, 0x4, 3f, x1, 60,0) + +inst_33: +// rs1_val == -1073741825, rs2_val == 262144 +// opcode: bge, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x40000001, 0x40000, 0xa, 1b, x1, 64,0) + +inst_34: +// rs1_val == -536870913, rs2_val == 32 +// opcode: bge, op1:x10; op2:x11; op1val:-0x20000001; op2val:0x20; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x20000001, 0x20, 0xa, 1b, x1, 68,0) + +inst_35: +// rs1_val == -268435457, +// opcode: bge, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x10000001, 0x0, 0x2, 1b, x1, 72,0) + +inst_36: +// rs1_val == -134217729, +// opcode: bge, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x7; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x8000001, -0x7, 0x20, 3f, x1, 76,0) + +inst_37: +// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x7fffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x4000001, 0x7fffffff, 0x400, 1b, x1, 80,0) + +inst_38: +// rs1_val == -33554433, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2000001, 0x33333333, 0x8, 3f, x1, 84,0) + +inst_39: +// rs1_val == -8388609, +// opcode: bge, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x800001, -0x40001, 0x8, 3f, x1, 88,0) + +inst_40: +// rs1_val == -4194305, +// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, -0x4, 0x0, 1b, x1, 92,0) + +inst_41: +// rs1_val == -2097153, rs2_val == 2 +// opcode: bge, op1:x10; op2:x11; op1val:-0x200001; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x200001, 0x2, 0x0, 1b, x1, 96,0) + +inst_42: +// rs1_val == -524289, +// opcode: bge, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x4001; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x80001, -0x4001, 0xa, 1b, x1, 100,0) + +inst_43: +// rs1_val == -16385, rs2_val == 1073741824 +// opcode: bge, op1:x10; op2:x11; op1val:-0x4001; op2val:0x40000000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x4001, 0x40000000, 0x40, 3f, x1, 104,0) + +inst_44: +// rs1_val == -8193, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2001; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2001, 0x2, 0x4, 3f, x1, 108,0) + +inst_45: +// rs1_val == -4097, rs2_val == -1431655766 +// opcode: bge, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1001, -0x55555556, 0xa, 1b, x1, 112,0) + +inst_46: +// rs1_val == -1025, +// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:-0x10000001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, -0x10000001, 0x400, 3f, x1, 116,0) + +inst_47: +// rs1_val == -513, +// opcode: bge, op1:x10; op2:x11; op1val:-0x201; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x201, 0x0, 0x556, 1b, x1, 120,0) + +inst_48: +// rs1_val == -257, +// opcode: bge, op1:x10; op2:x11; op1val:-0x101; op2val:0x20; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x101, 0x20, 0x4, 1b, x1, 124,0) + +inst_49: +// rs1_val == -129, +// opcode: bge, op1:x10; op2:x11; op1val:-0x81; op2val:-0x40000001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x81, -0x40000001, 0x8, 1b, x1, 128,0) + +inst_50: +// rs1_val == -65, +// opcode: bge, op1:x10; op2:x11; op1val:-0x41; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x41, 0xb503, 0x8, 1b, x1, 132,0) + +inst_51: +// rs1_val == -33, +// opcode: bge, op1:x10; op2:x11; op1val:-0x21; op2val:-0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x21, -0x40000000, 0x2, 3f, x1, 136,0) + +inst_52: +// rs1_val == -17, +// opcode: bge, op1:x10; op2:x11; op1val:-0x11; op2val:-0x100001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x11, -0x100001, 0x4, 3f, x1, 140,0) + +inst_53: +// rs1_val == -9, +// opcode: bge, op1:x10; op2:x11; op1val:-0x9; op2val:-0x2001; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x9, -0x2001, 0x200, 3f, x1, 144,0) + +inst_54: +// rs1_val == -5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:-0x201; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, -0x201, 0x2, 1b, x1, 148,0) + +inst_55: +// rs1_val == -2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2, 0x33333334, 0x100, 3f, x1, 152,0) + +inst_56: +// rs2_val == -2147483648, rs1_val == -1431655766 +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x80000000, 0x6, 1b, x1, 156,0) + +inst_57: +// rs2_val == 536870912, rs1_val == 32 +// opcode: bge, op1:x10; op2:x11; op1val:0x20; op2val:0x20000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x20, 0x20000000, 0x6, 3f, x1, 160,0) + +inst_58: +// rs2_val == 268435456, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x10000000, 0x4, 1b, x1, 164,0) + +inst_59: +// rs2_val == 134217728, rs1_val == 524288 +// opcode: bge, op1:x10; op2:x11; op1val:0x80000; op2val:0x8000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x80000, 0x8000000, 0x4, 1b, x1, 168,0) + +inst_60: +// rs2_val == 67108864, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4000000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4000000, 0xa, 1b, x1, 172,0) + +inst_61: +// rs2_val == 33554432, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2000000, 0x6, 3f, x1, 176,0) + +inst_62: +// rs2_val == 16777216, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1000000; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x1000000, 0x10, 3f, x1, 180,0) + +inst_63: +// rs2_val == 8388608, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800000, 0x800000, 0x80, 3f, x1, 184,0) + +inst_64: +// rs2_val == 4194304, +// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, 0x400000, 0x6, 3f, x1, 188,0) + +inst_65: +// rs2_val == 2097152, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x200000; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x200000, 0x80, 3f, x1, 192,0) + +inst_66: +// rs2_val == 1048576, +// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:0x100000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, 0x100000, 0x40, 3f, x1, 196,0) + +inst_67: +// rs2_val == 524288, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000000; op2val:0x80000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000000, 0x80000, 0x4, 3f, x1, 200,0) + +inst_68: +// rs2_val == 131072, rs1_val == 8 +// opcode: bge, op1:x10; op2:x11; op1val:0x8; op2val:0x20000; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x8, 0x20000, 0x556, 1b, x1, 204,0) + +inst_69: +// rs2_val == 65536, rs1_val == 1024 +// opcode: bge, op1:x10; op2:x11; op1val:0x400; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x400, 0x10000, 0x40, 3f, x1, 208,0) + +inst_70: +// rs2_val == 32768, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x8000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x8000, 0xa, 1b, x1, 212,0) + +inst_71: +// rs2_val == 16384, rs1_val == 2048 +// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x4000; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x4000, 0x200, 3f, x1, 216,0) + +inst_72: +// rs2_val == 8192, +// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:0x2000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, 0x2000, 0x40, 3f, x1, 220,0) + +inst_73: +// rs2_val == 4096, rs1_val == 2 +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x1000; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x1000, 0x0, 3f, x1, 224,0) + +inst_74: +// rs2_val == 2048, +// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x800; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x800, 0x400, 3f, x1, 228,0) + +inst_75: +// rs2_val == 1024, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x400; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x400, 0x8, 3f, x1, 232,0) + +inst_76: +// rs2_val == 512, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x200, 0x400, 3f, x1, 236,0) + +inst_77: +// rs2_val == 256, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x100; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x100, 0x400, 3f, x1, 240,0) + +inst_78: +// rs2_val == 128, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x80, 0x8, 1b, x1, 244,0) + +inst_79: +// rs2_val == 64, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x40; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x40, 0x100, 3f, x1, 248,0) + +inst_80: +// rs2_val == 16, +// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:0x10; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, 0x10, 0x200, 3f, x1, 252,0) + +inst_81: +// rs2_val == 8, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x8; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x8, 0x400, 3f, x1, 256,0) + +inst_82: +// rs2_val == 4, rs1_val == 131072 +// opcode: bge, op1:x10; op2:x11; op1val:0x20000; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x20000, 0x4, 0x6, 3f, x1, 260,0) + +inst_83: +// rs2_val == 1, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x1, 0x40, 3f, x1, 264,0) + +inst_84: +// rs1_val == -2147483648, +// opcode: bge, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x80000000, 0x2, 0x8, 1b, x1, 268,0) + +inst_85: +// rs1_val == 1073741824, +// opcode: bge, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x401; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000000, -0x401, 0x10, 3f, x1, 272,0) + +inst_86: +// rs1_val == 134217728, +// opcode: bge, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x8000000, -0x2, 0xa, 1b, x1, 276,0) + +inst_87: +// rs1_val == 33554432, +// opcode: bge, op1:x10; op2:x11; op1val:0x2000000; op2val:-0x40000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000000, -0x40000001, 0x2, 3f, x1, 280,0) + +inst_88: +// rs1_val == 16777216, +// opcode: bge, op1:x10; op2:x11; op1val:0x1000000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000000, 0x0, 0x2, 3f, x1, 284,0) + +inst_89: +// rs1_val == 4194304, +// opcode: bge, op1:x10; op2:x11; op1val:0x400000; op2val:-0x8000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x400000, -0x8000001, 0x2, 3f, x1, 288,0) + +inst_90: +// rs1_val == 2097152, +// opcode: bge, op1:x10; op2:x11; op1val:0x200000; op2val:0x4000000; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x200000, 0x4000000, 0x0, 1b, x1, 292,0) + +inst_91: +// rs1_val == 1048576, +// opcode: bge, op1:x10; op2:x11; op1val:0x100000; op2val:-0x401; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100000, -0x401, 0x0, 1b, x1, 296,0) + +inst_92: +// rs1_val == 262144, +// opcode: bge, op1:x10; op2:x11; op1val:0x40000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000, 0x0, 0x2, 3f, x1, 300,0) + +inst_93: +// rs1_val == 16384, +// opcode: bge, op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000, 0x8000000, 0x4, 3f, x1, 304,0) + +inst_94: +// rs1_val == 8192, +// opcode: bge, op1:x10; op2:x11; op1val:0x2000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000, 0x0, 0x2, 3f, x1, 308,0) + +inst_95: +// rs1_val == 4096, +// opcode: bge, op1:x10; op2:x11; op1val:0x1000; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000, -0xb503, 0x4, 3f, x1, 312,0) + +inst_96: +// rs1_val == 64, +// opcode: bge, op1:x10; op2:x11; op1val:0x40; op2val:-0x1000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40, -0x1000001, 0x556, 1b, x1, 316,0) + +inst_97: +// rs1_val == 16, +// opcode: bge, op1:x10; op2:x11; op1val:0x10; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10, 0x0, 0x4, 3f, x1, 320,0) + +inst_98: +// rs1_val == 1, +// opcode: bge, op1:x10; op2:x11; op1val:0x1; op2val:0x3fffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1, 0x3fffffff, 0x100, 3f, x1, 324,0) + +inst_99: +// rs1_val==46341 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb505, 0x20, 3f, x1, 328,0) + +inst_100: +// rs1_val==46341 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb503, 0x200, 3f, x1, 332,0) + +inst_101: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666667, 0x0, 3f, x1, 336,0) + +inst_102: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333334, 0x8, 3f, x1, 340,0) + +inst_103: +// rs1_val==46341 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x6, 0x10, 3f, x1, 344,0) + +inst_104: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555555, 0x4, 1b, x1, 348,0) + +inst_105: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555556, 0xa, 1b, x1, 352,0) + +inst_106: +// rs1_val==46341 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x4, 0x100, 3f, x1, 356,0) + +inst_107: +// rs1_val==46341 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb503, 0x2, 1b, x1, 360,0) + +inst_108: +// rs1_val==46341 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x0, 0x4, 1b, x1, 364,0) + +inst_109: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x1, 368,0) + +inst_110: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333332, 0x0, 1b, x1, 372,0) + +inst_111: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555554, 0x400, 1b, x1, 376,0) + +inst_112: +// rs1_val==46341 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x2, 0x2, 1b, x1, 380,0) + +inst_113: +// rs1_val==46341 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb504, 0x2, 3f, x1, 384,0) + +inst_114: +// rs1_val==46341 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb504, 0x20, 3f, x1, 388,0) + +inst_115: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x1, 392,0) + +inst_116: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333333, 0x200, 3f, x1, 396,0) + +inst_117: +// rs1_val==46341 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x5, 0x10, 3f, x1, 400,0) + +inst_118: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555556, 0x20, 3f, x1, 404,0) + +inst_119: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 408,0) + +inst_120: +// rs1_val==46341 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x3, 0x10, 3f, x1, 412,0) + +inst_121: +// rs1_val==-46339 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb505, 0x100, 3f, x1, 416,0) + +inst_122: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb503, 0x2, 3f, x1, 420,0) + +inst_123: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666667, 0x4, 3f, x1, 424,0) + +inst_124: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333334, 0x2, 1b, x1, 428,0) + +inst_125: +// rs1_val==-46339 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x6, 0x4, 1b, x1, 432,0) + +inst_126: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555555, 0x4, 3f, x1, 436,0) + +inst_127: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555556, 0x2, 3f, x1, 440,0) + +inst_128: +// rs1_val==-46339 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x4, 0x8, 1b, x1, 444,0) + +inst_129: +// rs1_val==-46339 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb503, 0x40, 3f, x1, 448,0) + +inst_130: +// rs1_val==-46339 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x0, 0x80, 3f, x1, 452,0) + +inst_131: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666665, 0x100, 3f, x1, 456,0) + +inst_132: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333332, 0x4, 3f, x1, 460,0) + +inst_133: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555554, 0x556, 1b, x1, 464,0) + +inst_134: +// rs1_val==-46339 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x2, 0x100, 3f, x1, 468,0) + +inst_135: +// rs1_val==-46339 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb504, 0x200, 3f, x1, 472,0) + +inst_136: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb504, 0x400, 1b, x1, 476,0) + +inst_137: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666666, 0x2, 3f, x1, 480,0) + +inst_138: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333333, 0x0, 3f, x1, 484,0) + +inst_139: +// rs1_val==-46339 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x5, 0x8, 3f, x1, 488,0) + +inst_140: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555556, 0x2, 1b, x1, 492,0) + +inst_141: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555555, 0x556, 1b, x1, 496,0) + +inst_142: +// rs1_val==-46339 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x3, 0x4, 1b, x1, 500,0) + +inst_143: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb505, 0x8, 3f, x1, 504,0) + +inst_144: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb503, 0x2, 1b, x1, 508,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666667, 0xa, 1b, x1, 512,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333334, 0x100, 3f, x1, 516,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x6, 0x10, 3f, x1, 520,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555555, 0x100, 3f, x1, 524,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x1, 528,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x4, 0x6, 1b, x1, 532,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x1, 536,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x0, 0x100, 3f, x1, 540,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666665, 0x2, 1b, x1, 544,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x1, 548,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555554, 0x556, 1b, x1, 552,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x2, 0x100, 3f, x1, 556,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb504, 0x10, 3f, x1, 560,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb504, 0x200, 3f, x1, 564,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666666, 0x0, 3f, x1, 568,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333333, 0x400, 1b, x1, 572,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x5, 0x200, 3f, x1, 576,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555556, 0x2, 3f, x1, 580,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555555, 0x10, 3f, x1, 584,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x3, 0x2, 3f, x1, 588,0) + +inst_165: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x1, 592,0) + +inst_166: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb503, 0x10, 3f, x1, 596,0) + +inst_167: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666667, 0x100, 3f, x1, 600,0) + +inst_168: +// rs1_val==858993460 and rs2_val==858993460, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x1, 604,0) + +inst_169: +// rs1_val==858993460 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x6, 0x8, 1b, x1, 608,0) + +inst_170: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555555, 0x6, 1b, x1, 612,0) + +inst_171: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555556, 0x8, 3f, x1, 616,0) + +inst_172: +// rs1_val==858993460 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x4, 0x400, 1b, x1, 620,0) + +inst_173: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x1, 624,0) + +inst_174: +// rs1_val==858993460 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x0, 0x10, 3f, x1, 628,0) + +inst_175: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666665, 0x400, 3f, x1, 632,0) + +inst_176: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333332, 0x80, 3f, x1, 636,0) + +inst_177: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555554, 0x2, 1b, x1, 640,0) + +inst_178: +// rs1_val==858993460 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x2, 0x0, 1b, x1, 644,0) + +inst_179: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb504, 0x400, 3f, x1, 648,0) + +inst_180: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb504, 0x10, 3f, x1, 652,0) + +inst_181: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666666, 0x6, 3f, x1, 656,0) + +inst_182: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333333, 0x0, 3f, x1, 660,0) + +inst_183: +// rs1_val==858993460 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x5, 0x0, 1b, x1, 664,0) + +inst_184: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555556, 0x6, 1b, x1, 668,0) + +inst_185: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555555, 0x2, 3f, x1, 672,0) + +inst_186: +// rs1_val==858993460 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x3, 0x8, 3f, x1, 676,0) + +inst_187: +// rs1_val==6 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 680,0) + +inst_188: +// rs1_val==6 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb503, 0x40, 3f, x1, 684,0) + +inst_189: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666667, 0x4, 3f, x1, 688,0) + +inst_190: +// rs1_val==6 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333334, 0x4, 1b, x1, 692,0) + +inst_191: +// rs1_val==6 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x6, 0x0, 1b, x1, 696,0) + +inst_192: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555555, 0x2, 1b, x1, 700,0) + +inst_193: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555556, 0x10, 3f, x1, 704,0) + +inst_194: +// rs1_val==6 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x4, 0x400, 3f, x1, 708,0) + +inst_195: +// rs1_val==6 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb503, 0x10, 3f, x1, 712,0) + +inst_196: +// rs1_val==6 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x0, 0x0, 1b, x1, 716,0) + +inst_197: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666665, 0x80, 3f, x1, 720,0) + +inst_198: +// rs1_val==6 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333332, 0x40, 3f, x1, 724,0) + +inst_199: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555554, 0xa, 1b, x1, 728,0) + +inst_200: +// rs1_val==6 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x2, 0x2, 1b, x1, 732,0) + +inst_201: +// rs1_val==6 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb504, 0x6, 3f, x1, 736,0) + +inst_202: +// rs1_val==6 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb504, 0x400, 3f, x1, 740,0) + +inst_203: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666666, 0x6, 1b, x1, 744,0) + +inst_204: +// rs1_val==6 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333333, 0x2, 1b, x1, 748,0) + +inst_205: +// rs1_val==6 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 752,0) + +inst_206: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555556, 0x0, 1b, x1, 756,0) + +inst_207: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x1, 760,0) + +inst_208: +// rs1_val==6 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x3, 0x2, 3f, x1, 764,0) + +inst_209: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb505, 0x2, 1b, x1, 768,0) + +inst_210: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb503, 0x4, 3f, x1, 772,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666667, 0x0, 3f, x1, 776,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333334, 0x0, 1b, x1, 780,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 784,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555555, 0x10, 3f, x1, 788,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555556, 0x8, 3f, x1, 792,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x4, 0x4, 3f, x1, 796,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb503, 0x20, 3f, x1, 800,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x0, 0x20, 3f, x1, 804,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666665, 0x2, 1b, x1, 808,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333332, 0x200, 3f, x1, 812,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555554, 0x400, 3f, x1, 816,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x2, 0x6, 3f, x1, 820,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb504, 0x6, 3f, x1, 824,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb504, 0x0, 3f, x1, 828,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666666, 0x400, 3f, x1, 832,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333333, 0x8, 3f, x1, 836,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x5, 0x8, 1b, x1, 840,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555556, 0x2, 1b, x1, 844,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x1, 848,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x3, 0x8, 1b, x1, 852,0) + +inst_231: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb505, 0x40, 3f, x1, 856,0) + +inst_232: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb503, 0x556, 1b, x1, 860,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666667, 0x2, 3f, x1, 864,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x1, 868,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x6, 0x40, 3f, x1, 872,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555555, 0x6, 3f, x1, 876,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555556, 0x2, 1b, x1, 880,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x4, 0x10, 3f, x1, 884,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb503, 0x6, 1b, x1, 888,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x0, 0x10, 3f, x1, 892,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x1, 896,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333332, 0x400, 3f, x1, 900,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555554, 0x100, 3f, x1, 904,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x2, 0x10, 3f, x1, 908,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb504, 0x400, 3f, x1, 912,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb504, 0x8, 1b, x1, 916,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666666, 0x100, 3f, x1, 920,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x1, 924,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x5, 0xa, 1b, x1, 928,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555556, 0x0, 1b, x1, 932,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555555, 0x200, 3f, x1, 936,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 940,0) + +inst_253: +// rs1_val==4 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb505, 0x20, 3f, x1, 944,0) + +inst_254: +// rs1_val==4 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb503, 0x200, 3f, x1, 948,0) + +inst_255: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666667, 0x2, 3f, x1, 952,0) + +inst_256: +// rs1_val==4 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x1, 956,0) + +inst_257: +// rs1_val==4 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x6, 0xa, 1b, x1, 960,0) + +inst_258: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555555, 0x20, 3f, x1, 964,0) + +inst_259: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555556, 0xa, 1b, x1, 968,0) + +inst_260: +// rs1_val==4 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x4, 0x6, 1b, x1, 972,0) + +inst_261: +// rs1_val==4 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb503, 0x400, 1b, x1, 976,0) + +inst_262: +// rs1_val==4 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x0, 0x100, 3f, x1, 980,0) + +inst_263: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666665, 0x100, 3f, x1, 984,0) + +inst_264: +// rs1_val==4 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333332, 0x400, 3f, x1, 988,0) + +inst_265: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555554, 0x200, 3f, x1, 992,0) + +inst_266: +// rs1_val==4 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x2, 0x80, 3f, x1, 996,0) + +inst_267: +// rs1_val==4 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb504, 0x400, 1b, x1, 1000,0) + +inst_268: +// rs1_val==4 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb504, 0x0, 3f, x1, 1004,0) + +inst_269: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666666, 0x10, 3f, x1, 1008,0) + +inst_270: +// rs1_val==4 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1012,0) + +inst_271: +// rs1_val==4 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x5, 0x80, 3f, x1, 1016,0) + +inst_272: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555556, 0x6, 3f, x1, 1020,0) + +inst_273: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555555, 0x0, 1b, x1, 1024,0) + +inst_274: +// rs1_val==4 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x3, 0x40, 3f, x1, 1028,0) + +inst_275: +// rs1_val==46339 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb505, 0x2, 1b, x1, 1032,0) + +inst_276: +// rs1_val==46339 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb503, 0x400, 1b, x1, 1036,0) + +inst_277: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x1, 1040,0) + +inst_278: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x1, 1044,0) + +inst_279: +// rs1_val==46339 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x6, 0x2, 3f, x1, 1048,0) + +inst_280: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1052,0) + +inst_281: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555556, 0x2, 1b, x1, 1056,0) + +inst_282: +// rs1_val==46339 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4, 0x2, 1b, x1, 1060,0) + +inst_283: +// rs1_val==46339 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb503, 0x6, 3f, x1, 1064,0) + +inst_284: +// rs1_val==46339 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x0, 0x20, 3f, x1, 1068,0) + +inst_285: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666665, 0x0, 1b, x1, 1072,0) + +inst_286: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333332, 0x0, 1b, x1, 1076,0) + +inst_287: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x1, 1080,0) + +inst_288: +// rs1_val==46339 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x2, 0x8, 1b, x1, 1084,0) + +inst_289: +// rs1_val==46339 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb504, 0x4, 3f, x1, 1088,0) + +inst_290: +// rs1_val==46339 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb504, 0x200, 3f, x1, 1092,0) + +inst_291: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x1, 1096,0) + +inst_292: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333333, 0x100, 3f, x1, 1100,0) + +inst_293: +// rs1_val==46339 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x5, 0x400, 1b, x1, 1104,0) + +inst_294: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555556, 0x4, 1b, x1, 1108,0) + +inst_295: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555555, 0x8, 1b, x1, 1112,0) + +inst_296: +// rs1_val==46339 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x1, 1116,0) + +inst_297: +// rs1_val==0 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb505, 0x2, 1b, x1, 1120,0) + +inst_298: +// rs1_val==0 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb503, 0x8, 3f, x1, 1124,0) + +inst_299: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666667, 0x20, 3f, x1, 1128,0) + +inst_300: +// rs1_val==0 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333334, 0x0, 3f, x1, 1132,0) + +inst_301: +// rs1_val==0 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x6, 0x2, 3f, x1, 1136,0) + +inst_302: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555555, 0x10, 3f, x1, 1140,0) + +inst_303: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555556, 0x4, 3f, x1, 1144,0) + +inst_304: +// rs1_val==0 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x4, 0x0, 1b, x1, 1148,0) + +inst_305: +// rs1_val==0 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb503, 0x2, 3f, x1, 1152,0) + +inst_306: +// rs1_val==0 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x0, 0x8, 1b, x1, 1156,0) + +inst_307: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666665, 0x10, 3f, x1, 1160,0) + +inst_308: +// rs1_val==0 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333332, 0x100, 3f, x1, 1164,0) + +inst_309: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555554, 0x6, 1b, x1, 1168,0) + +inst_310: +// rs1_val==0 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x2, 0x4, 3f, x1, 1172,0) + +inst_311: +// rs1_val==0 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb504, 0x100, 3f, x1, 1176,0) + +inst_312: +// rs1_val==0 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb504, 0x80, 3f, x1, 1180,0) + +inst_313: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666666, 0x2, 1b, x1, 1184,0) + +inst_314: +// rs1_val==0 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333333, 0xa, 1b, x1, 1188,0) + +inst_315: +// rs1_val==0 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x5, 0x80, 3f, x1, 1192,0) + +inst_316: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1196,0) + +inst_317: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555555, 0x400, 3f, x1, 1200,0) + +inst_318: +// rs1_val==0 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x3, 0x100, 3f, x1, 1204,0) + +inst_319: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x1, 1208,0) + +inst_320: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb503, 0x4, 3f, x1, 1212,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666667, 0x8, 1b, x1, 1216,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333334, 0x556, 1b, x1, 1220,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x6, 0x8, 1b, x1, 1224,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x1, 1228,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555556, 0x4, 3f, x1, 1232,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x4, 0x6, 1b, x1, 1236,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb503, 0x8, 1b, x1, 1240,0) + +inst_328: +// rs1_val==858993459 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x0, 0x80, 3f, x1, 1244,0) + +inst_329: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x1, 1248,0) + +inst_330: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x1, 1252,0) + +inst_331: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555554, 0x40, 3f, x1, 1256,0) + +inst_332: +// rs1_val==858993459 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x2, 0x4, 3f, x1, 1260,0) + +inst_333: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb504, 0x0, 3f, x1, 1264,0) + +inst_334: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb504, 0x8, 1b, x1, 1268,0) + +inst_335: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666666, 0x8, 1b, x1, 1272,0) + +inst_336: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333333, 0x556, 1b, x1, 1276,0) + +inst_337: +// rs1_val==858993459 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x5, 0x6, 3f, x1, 1280,0) + +inst_338: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1284,0) + +inst_339: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555555, 0x556, 1b, x1, 1288,0) + +inst_340: +// rs1_val==858993459 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x3, 0x0, 1b, x1, 1292,0) + +inst_341: +// rs1_val==5 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb505, 0x556, 1b, x1, 1296,0) + +inst_342: +// rs1_val==5 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb503, 0x200, 3f, x1, 1300,0) + +inst_343: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666667, 0x0, 1b, x1, 1304,0) + +inst_344: +// rs1_val==5 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333334, 0x6, 1b, x1, 1308,0) + +inst_345: +// rs1_val==5 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x6, 0x2, 3f, x1, 1312,0) + +inst_346: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555555, 0x20, 3f, x1, 1316,0) + +inst_347: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555556, 0x400, 3f, x1, 1320,0) + +inst_348: +// rs1_val==5 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x1, 1324,0) + +inst_349: +// rs1_val==5 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb503, 0x6, 1b, x1, 1328,0) + +inst_350: +// rs1_val==5 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x0, 0x200, 3f, x1, 1332,0) + +inst_351: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666665, 0x6, 3f, x1, 1336,0) + +inst_352: +// rs1_val==5 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333332, 0x556, 1b, x1, 1340,0) + +inst_353: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555554, 0x2, 1b, x1, 1344,0) + +inst_354: +// rs1_val==5 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x2, 0x6, 1b, x1, 1348,0) + +inst_355: +// rs1_val==5 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb504, 0xa, 1b, x1, 1352,0) + +inst_356: +// rs1_val==5 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb504, 0x2, 1b, x1, 1356,0) + +inst_357: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x1, 1360,0) + +inst_358: +// rs1_val==5 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333333, 0x80, 3f, x1, 1364,0) + +inst_359: +// rs1_val==5 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x1, 1368,0) + +inst_360: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1372,0) + +inst_361: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555555, 0x100, 3f, x1, 1376,0) + +inst_362: +// rs1_val==5 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x3, 0x6, 3f, x1, 1380,0) + +inst_363: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x1, 1384,0) + +inst_364: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb503, 0xa, 1b, x1, 1388,0) + +inst_365: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666667, 0x400, 3f, x1, 1392,0) + +inst_366: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333334, 0x200, 3f, x1, 1396,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x6, 0x40, 3f, x1, 1400,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555555, 0x8, 1b, x1, 1404,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555556, 0x8, 1b, x1, 1408,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x4, 0x2, 1b, x1, 1412,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb503, 0x20, 3f, x1, 1416,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x0, 0x0, 1b, x1, 1420,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666665, 0x200, 3f, x1, 1424,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333332, 0xa, 1b, x1, 1428,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555554, 0x2, 3f, x1, 1432,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x2, 0x6, 3f, x1, 1436,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb504, 0x4, 3f, x1, 1440,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb504, 0x2, 3f, x1, 1444,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666666, 0x2, 3f, x1, 1448,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333333, 0x200, 3f, x1, 1452,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x5, 0x556, 1b, x1, 1456,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555556, 0x8, 3f, x1, 1460,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555555, 0x200, 3f, x1, 1464,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x3, 0x4, 3f, x1, 1468,0) + +inst_385: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb505, 0xa, 1b, x1, 1472,0) + +inst_386: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb503, 0x4, 3f, x1, 1476,0) + +inst_387: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x1, 1480,0) + +inst_388: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333334, 0x0, 3f, x1, 1484,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x6, 0x6, 3f, x1, 1488,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555555, 0x6, 1b, x1, 1492,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555556, 0x8, 3f, x1, 1496,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x4, 0x200, 3f, x1, 1500,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb503, 0x0, 3f, x1, 1504,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1508,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x1, 1512,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333332, 0x40, 3f, x1, 1516,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555554, 0x4, 1b, x1, 1520,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x2, 0x10, 3f, x1, 1524,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb504, 0x8, 3f, x1, 1528,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb504, 0x2, 3f, x1, 1532,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666666, 0x20, 3f, x1, 1536,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333333, 0xa, 1b, x1, 1540,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x5, 0x6, 1b, x1, 1544,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555556, 0x8, 3f, x1, 1548,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555555, 0x200, 3f, x1, 1552,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x3, 0x6, 3f, x1, 1556,0) + +inst_407: +// rs1_val==3 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb505, 0x0, 1b, x1, 1560,0) + +inst_408: +// rs1_val==3 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb503, 0x80, 3f, x1, 1564,0) + +inst_409: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666667, 0x2, 3f, x1, 1568,0) + +inst_410: +// rs1_val==3 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333334, 0x4, 3f, x1, 1572,0) + +inst_411: +// rs1_val==3 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x6, 0x8, 3f, x1, 1576,0) + +inst_412: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555555, 0x10, 3f, x1, 1580,0) + +inst_413: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555556, 0x4, 3f, x1, 1584,0) + +inst_414: +// rs1_val==3 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x4, 0x2, 1b, x1, 1588,0) + +inst_415: +// rs1_val==3 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb503, 0x8, 1b, x1, 1592,0) + +inst_416: +// rs1_val==3 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x0, 0x80, 3f, x1, 1596,0) + +inst_417: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666665, 0x20, 3f, x1, 1600,0) + +inst_418: +// rs1_val==3 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333332, 0x0, 3f, x1, 1604,0) + +inst_419: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555554, 0x80, 3f, x1, 1608,0) + +inst_420: +// rs1_val==3 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x2, 0x8, 3f, x1, 1612,0) + +inst_421: +// rs1_val==3 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb504, 0x400, 3f, x1, 1616,0) + +inst_422: +// rs1_val==3 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb504, 0x100, 3f, x1, 1620,0) + +inst_423: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x1, 1624,0) + +inst_424: +// rs1_val==3 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333333, 0x400, 3f, x1, 1628,0) + +inst_425: +// rs1_val==3 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x5, 0x200, 3f, x1, 1632,0) + +inst_426: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555556, 0x400, 1b, x1, 1636,0) + +inst_427: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555555, 0x400, 1b, x1, 1640,0) + +inst_428: +// rs1_val==3 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x3, 0x4, 1b, x1, 1644,0) + +inst_429: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x0, 0x8, 1b, x1, 1648,0) + +inst_430: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666665, 0xa, 1b, x1, 1652,0) + +inst_431: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333332, 0x200, 3f, x1, 1656,0) + +inst_432: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555554, 0x400, 1b, x1, 1660,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x2, 0x556, 1b, x1, 1664,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb504, 0x40, 3f, x1, 1668,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb504, 0x8, 1b, x1, 1672,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 1b, x1, 1676,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x1, 1680,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x5, 0x0, 3f, x1, 1684,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555556, 0x400, 3f, x1, 1688,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x1, 1692,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x3, 0x10, 3f, x1, 1696,0) + +inst_442: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb505, 0x4, 1b, x1, 1700,0) + +inst_443: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb503, 0x8, 3f, x1, 1704,0) + +inst_444: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666667, 0x4, 3f, x1, 1708,0) + +inst_445: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333334, 0x6, 3f, x1, 1712,0) + +inst_446: +// rs1_val==858993458 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x6, 0x20, 3f, x1, 1716,0) + +inst_447: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555555, 0x2, 3f, x1, 1720,0) + +inst_448: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555556, 0x2, 3f, x1, 1724,0) + +inst_449: +// rs1_val==858993458 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x4, 0x0, 1b, x1, 1728,0) + +inst_450: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb503, 0x6, 3f, x1, 1732,0) + +inst_451: +// rs1_val==858993458 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x0, 0xa, 1b, x1, 1736,0) + +inst_452: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666665, 0x8, 3f, x1, 1740,0) + +inst_453: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x1, 1744,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555554, 0x556, 1b, x1, 1748,0) + +inst_455: +// rs1_val==858993458 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x2, 0x100, 3f, x1, 1752,0) + +inst_456: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb504, 0x8, 3f, x1, 1756,0) + +inst_457: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb504, 0x100, 3f, x1, 1760,0) + +inst_458: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666666, 0x400, 1b, x1, 1764,0) + +inst_459: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333333, 0x400, 1b, x1, 1768,0) + +inst_460: +// rs1_val==858993458 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x1, 1772,0) + +inst_461: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555556, 0x4, 3f, x1, 1776,0) + +inst_462: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555555, 0x6, 1b, x1, 1780,0) + +inst_463: +// rs1_val==858993458 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x3, 0x10, 3f, x1, 1784,0) + +inst_464: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb505, 0x4, 3f, x1, 1788,0) + +inst_465: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb503, 0x8, 1b, x1, 1792,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666667, 0x2, 1b, x1, 1796,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1800,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x6, 0x2, 3f, x1, 1804,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555555, 0x8, 1b, x1, 1808,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1812,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1816,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x1, 1820,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x0, 0x2, 3f, x1, 1824,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666665, 0x40, 3f, x1, 1828,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x1, 1832,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555554, 0x2, 1b, x1, 1836,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2, 0x80, 3f, x1, 1840,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x1, 1844,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb504, 0x20, 3f, x1, 1848,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666666, 0x40, 3f, x1, 1852,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333333, 0x400, 1b, x1, 1856,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x5, 0x400, 1b, x1, 1860,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555556, 0x40, 3f, x1, 1864,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555555, 0x0, 1b, x1, 1868,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x3, 0x556, 1b, x1, 1872,0) + +inst_486: +// rs1_val==2 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb505, 0x400, 3f, x1, 1876,0) + +inst_487: +// rs1_val==2 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb503, 0x80, 3f, x1, 1880,0) + +inst_488: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666667, 0x4, 3f, x1, 1884,0) + +inst_489: +// rs1_val==2 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333334, 0x100, 3f, x1, 1888,0) + +inst_490: +// rs1_val==2 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x6, 0x20, 3f, x1, 1892,0) + +inst_491: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555555, 0x200, 3f, x1, 1896,0) + +inst_492: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555556, 0x80, 3f, x1, 1900,0) + +inst_493: +// rs1_val==2 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x4, 0x80, 3f, x1, 1904,0) + +inst_494: +// rs1_val==2 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb503, 0x8, 1b, x1, 1908,0) + +inst_495: +// rs1_val==2 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x0, 0x2, 3f, x1, 1912,0) + +inst_496: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666665, 0x8, 1b, x1, 1916,0) + +inst_497: +// rs1_val==2 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333332, 0x2, 3f, x1, 1920,0) + +inst_498: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555554, 0x100, 3f, x1, 1924,0) + +inst_499: +// rs1_val==2 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x2, 0x100, 3f, x1, 1928,0) + +inst_500: +// rs1_val==2 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb504, 0x2, 1b, x1, 1932,0) + +inst_501: +// rs1_val==2 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb504, 0x4, 3f, x1, 1936,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666666, 0x4, 3f, x1, 1940,0) + +inst_503: +// rs1_val==2 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x1, 1944,0) + +inst_504: +// rs1_val==2 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x5, 0x6, 1b, x1, 1948,0) + +inst_505: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555556, 0x6, 1b, x1, 1952,0) + +inst_506: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555555, 0x80, 3f, x1, 1956,0) + +inst_507: +// rs1_val==2 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x1, 1960,0) + +inst_508: +// rs1_val==46340 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb505, 0x2, 1b, x1, 1964,0) + +inst_509: +// rs1_val==46340 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb503, 0x100, 3f, x1, 1968,0) + +inst_510: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666667, 0x80, 3f, x1, 1972,0) + +inst_511: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333334, 0x10, 3f, x1, 1976,0) + +inst_512: +// rs1_val==46340 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x6, 0x2, 3f, x1, 1980,0) + +inst_513: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555555, 0x20, 3f, x1, 1984,0) + +inst_514: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x1, 1988,0) + +inst_515: +// rs1_val==46340 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x4, 0x8, 1b, x1, 1992,0) + +inst_516: +// rs1_val==46340 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x1, 1996,0) + +inst_517: +// rs1_val==46340 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2000,0) + +inst_518: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666665, 0x100, 3f, x1, 2004,0) + +inst_519: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x1, 2008,0) + +inst_520: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555554, 0x6, 3f, x1, 2012,0) + +inst_521: +// rs1_val==46340 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x2, 0x100, 3f, x1, 2016,0) + +inst_522: +// rs1_val==46340 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb504, 0x4, 3f, x1, 2020,0) + +inst_523: +// rs1_val==46340 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb504, 0xa, 1b, x1, 2024,0) + +inst_524: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x1, 2028,0) + +inst_525: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333333, 0x8, 3f, x1, 2032,0) + +inst_526: +// rs1_val==46340 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x5, 0x0, 1b, x1, 2036,0) + +inst_527: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555556, 0x6, 1b, x1, 2040,0) + +inst_528: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555555, 0x100, 3f, x1, 2044,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_529: +// rs1_val==46340 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x3, 0x8, 3f, x1, 0,0) + +inst_530: +// rs1_val==-46340 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb505, 0x400, 1b, x1, 4,0) + +inst_531: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb503, 0x6, 3f, x1, 8,0) + +inst_532: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x1, 12,0) + +inst_533: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333334, 0x100, 3f, x1, 16,0) + +inst_534: +// rs1_val==-46340 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x6, 0x400, 1b, x1, 20,0) + +inst_535: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x1, 24,0) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555556, 0x20, 3f, x1, 28,0) + +inst_537: +// rs1_val==-46340 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x4, 0x2, 1b, x1, 32,0) + +inst_538: +// rs1_val==-46340 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 36,0) + +inst_539: +// rs1_val==-46340 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x0, 0x2, 1b, x1, 40,0) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x1, 44,0) + +inst_541: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333332, 0x2, 3f, x1, 48,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555554, 0x6, 3f, x1, 52,0) + +inst_543: +// rs1_val==-46340 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x2, 0x6, 1b, x1, 56,0) + +inst_544: +// rs1_val==-46340 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb504, 0x2, 3f, x1, 60,0) + +inst_545: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb504, 0x556, 1b, x1, 64,0) + +inst_546: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666666, 0x20, 3f, x1, 68,0) + +inst_547: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333333, 0x40, 3f, x1, 72,0) + +inst_548: +// rs1_val==-46340 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x5, 0x2, 3f, x1, 76,0) + +inst_549: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555556, 0x400, 1b, x1, 80,0) + +inst_550: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555555, 0x100, 3f, x1, 84,0) + +inst_551: +// rs1_val==-46340 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 88,0) + +inst_552: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb505, 0x10, 3f, x1, 92,0) + +inst_553: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb503, 0x20, 3f, x1, 96,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666667, 0x2, 1b, x1, 100,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 104,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x6, 0x10, 3f, x1, 108,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555555, 0x4, 1b, x1, 112,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555556, 0x2, 3f, x1, 116,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x1, 120,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb503, 0x6, 3f, x1, 124,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x0, 0x80, 3f, x1, 128,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666665, 0x2, 1b, x1, 132,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x1, 136,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x1, 140,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x2, 0x2, 1b, x1, 144,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb504, 0x0, 1b, x1, 148,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb504, 0x20, 3f, x1, 152,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x1, 156,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333333, 0x200, 3f, x1, 160,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x1, 164,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 168,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x1, 172,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x3, 0x6, 1b, x1, 176,0) + +inst_574: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb505, 0x20, 3f, x1, 180,0) + +inst_575: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb503, 0x6, 1b, x1, 184,0) + +inst_576: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666667, 0x400, 1b, x1, 188,0) + +inst_577: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333334, 0x0, 3f, x1, 192,0) + +inst_578: +// rs1_val==858993459 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 196,0) + +inst_579: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555555, 0x8, 3f, x1, 200,0) + +inst_580: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555556, 0x80, 3f, x1, 204,0) + +inst_581: +// rs1_val==858993459 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x4, 0x200, 3f, x1, 208,0) + +inst_582: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb503, 0x8, 1b, x1, 212,0) + +inst_583: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x8; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x10001, -0x8, 0x400, 1b, x1, 216,0) + +inst_584: +// rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x20000001; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x8001, -0x20000001, 0x20, 3f, x1, 220,0) + +inst_585: +// rs2_val == -67108865, rs1_val == 256 +// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:-0x4000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, -0x4000001, 0x556, 1b, x1, 224,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x7_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S new file mode 100644 index 000000000..2b9d38768 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S @@ -0,0 +1,3720 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bgeu instruction of the RISC-V E extension for the bgeu covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bgeu) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x5, rs2==x5, rs1_val > 0 and rs2_val > 0, rs2_val == 4294967039, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 +// opcode: bgeu, op1:x5; op2:x5; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x3, x5, x5, 0xdfffffff, 0xdfffffff, 0x400, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x1, rs2==x10, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs1_val == 4261412863 +// opcode: bgeu, op1:x1; op2:x10; op1val:0xfdffffff; op2val:0x7fffffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x3, x1, x10, 0xfdffffff, 0x7fffffff, 0x4, 3f, x2, 4,0) + +inst_2: +// rs1==x0, rs2==x9, rs2_val == 3221225471, +// opcode: bgeu, op1:x0; op2:x9; op1val:0x0; op2val:0xbfffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x3, x0, x9, 0x0, 0xbfffffff, 0xa, 1b, x2, 8,0) + +inst_3: +// rs1==x7, rs2==x11, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 +// opcode: bgeu, op1:x7; op2:x11; op1val:0x13; op2val:0xdfffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x3, x7, x11, 0x13, 0xdfffffff, 0x6, 3f, x2, 12,0) + +inst_4: +// rs1==x6, rs2==x12, rs2_val == 4026531839, rs1_val == 2 +// opcode: bgeu, op1:x6; op2:x12; op1val:0x2; op2val:0xefffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x3, x6, x12, 0x2, 0xefffffff, 0x8, 3f, x2, 16,0) + +inst_5: +// rs1==x9, rs2==x13, rs2_val == 4160749567, rs1_val == 3221225471 +// opcode: bgeu, op1:x9; op2:x13; op1val:0xbfffffff; op2val:0xf7ffffff; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x3, x9, x13, 0xbfffffff, 0xf7ffffff, 0x10, 3f, x2, 20,0) + +inst_6: +// rs1==x11, rs2==x7, rs2_val == 4227858431, +// opcode: bgeu, op1:x11; op2:x7; op1val:0xb505; op2val:0xfbffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x3, x11, x7, 0xb505, 0xfbffffff, 0x2, 3f, x2, 24,0) + +inst_7: +// rs1==x13, rs2==x6, rs2_val == 4261412863, rs1_val == 1 +// opcode: bgeu, op1:x13; op2:x6; op1val:0x1; op2val:0xfdffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x3, x13, x6, 0x1, 0xfdffffff, 0x2, 3f, x2, 28,0) + +inst_8: +// rs1==x12, rs2==x15, rs2_val == 4278190079, +// opcode: bgeu, op1:x12; op2:x15; op1val:0x66666666; op2val:0xfeffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x3, x12, x15, 0x66666666, 0xfeffffff, 0x0, 1b, x2, 32,0) + +inst_9: +// rs1==x10, rs2==x14, rs2_val == 4286578687, +// opcode: bgeu, op1:x10; op2:x14; op1val:0x33333333; op2val:0xff7fffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x3, x10, x14, 0x33333333, 0xff7fffff, 0x6, 3f, x2, 36,0) + +inst_10: +// rs1==x3, rs2==x8, rs2_val == 4290772991, +// opcode: bgeu, op1:x3; op2:x8; op1val:0x12; op2val:0xffbfffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x3, x8, 0x12, 0xffbfffff, 0x4, 1b, x2, 40,0) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_11: +// rs1==x4, rs2==x2, rs2_val == 4292870143, +// opcode: bgeu, op1:x4; op2:x2; op1val:0x6; op2val:0xffdfffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x4, x2, 0x6, 0xffdfffff, 0x6, 1b, x5, 0,0) + +inst_12: +// rs1==x15, rs2==x3, rs2_val == 4293918719, +// opcode: bgeu, op1:x15; op2:x3; op1val:0x5; op2val:0xffefffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x15, x3, 0x5, 0xffefffff, 0x2, 1b, x5, 4,0) + +inst_13: +// rs1==x14, rs2==x1, rs2_val == 4294443007, rs1_val == 4293918719 +// opcode: bgeu, op1:x14; op2:x1; op1val:0xffefffff; op2val:0xfff7ffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x14, x1, 0xffefffff, 0xfff7ffff, 0x80, 3f, x5, 8,0) + +inst_14: +// rs1==x8, rs2==x4, rs2_val == 4294705151, +// opcode: bgeu, op1:x8; op2:x4; op1val:0x3; op2val:0xfffbffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x8, x4, 0x3, 0xfffbffff, 0x4, 1b, x5, 12,0) + +inst_15: +// rs1==x2, rs2==x0, rs2_val == 4294836223, rs1_val == 2147483647 +// opcode: bgeu, op1:x2; op2:x0; op1val:0x7fffffff; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x2, x0, 0x7fffffff, 0x0, 0x2, 1b, x5, 16,0) + +inst_16: +// rs2_val == 4294901759, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffeffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffeffff, 0x4, 3f, x5, 20,0) + +inst_17: +// rs2_val == 4294934527, rs1_val == 4 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff7fff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff7fff, 0x6, 3f, x5, 24,0) + +inst_18: +// rs2_val == 4294950911, rs1_val == 4294443007 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0xffffbfff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfff7ffff, 0xffffbfff, 0x2, 1b, x5, 28,0) + +inst_19: +// rs2_val == 4294959103, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffffdfff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffffdfff, 0x20, 3f, x5, 32,0) + +inst_20: +// rs2_val == 4294963199, rs1_val == 4286578687 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0xffffefff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xff7fffff, 0xffffefff, 0x100, 3f, x5, 36,0) + +inst_21: +// rs2_val == 4294965247, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffff7ff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffff7ff, 0x400, 1b, x5, 40,0) + +inst_22: +// rs2_val == 4294966271, rs1_val == 268435456 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xfffffbff; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000000, 0xfffffbff, 0x8, 1b, x5, 44,0) + +inst_23: +// rs2_val == 4294966783, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffffdff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffffdff, 0x4, 3f, x5, 48,0) + +inst_24: +// rs2_val == 4294967167, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffffff7f; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffffff7f, 0x0, 3f, x5, 52,0) + +inst_25: +// rs2_val == 4294967231, rs1_val == 4294967293 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xffffffbf; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffd, 0xffffffbf, 0x0, 3f, x5, 56,0) + +inst_26: +// rs2_val == 4294967263, rs1_val == 16777216 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0xffffffdf; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0xffffffdf, 0x100, 3f, x5, 60,0) + +inst_27: +// rs2_val == 4294967279, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xffffffef; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xffffffef, 0x80, 3f, x5, 64,0) + +inst_28: +// rs2_val == 4294967287, rs1_val == 67108864 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000000; op2val:0xfffffff7; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000000, 0xfffffff7, 0xa, 1b, x5, 68,0) + +inst_29: +// rs2_val == 4294967291, rs1_val == 32768 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffffb; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000, 0xfffffffb, 0xa, 1b, x5, 72,0) + +inst_30: +// rs2_val == 4294967293, rs1_val == 524288 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000; op2val:0xfffffffd; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000, 0xfffffffd, 0x10, 3f, x5, 76,0) + +inst_31: +// rs2_val == 4294967294, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xdfffffff; op2val:0xfffffffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xdfffffff, 0xfffffffe, 0x6, 1b, x5, 80,0) + +inst_32: +// rs1_val == 4026531839, rs2_val == 1073741824 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xefffffff, 0x40000000, 0x2, 3f, x5, 84,0) + +inst_33: +// rs1_val == 4160749567, rs2_val == 67108864 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xf7ffffff, 0x4000000, 0x2, 3f, x5, 88,0) + +inst_34: +// rs1_val == 4227858431, rs2_val == 32768 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x8000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x8000, 0x4, 3f, x5, 92,0) + +inst_35: +// rs1_val == 4278190079, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xc; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfeffffff, 0xc, 0x4, 3f, x5, 96,0) + +inst_36: +// rs1_val == 4290772991, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0xffffffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffbfffff, 0xffffffff, 0x556, 1b, x5, 100,0) + +inst_37: +// rs1_val == 4292870143, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x8000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffdfffff, 0x8000, 0x10, 3f, x5, 104,0) + +inst_38: +// rs1_val == 4294705151, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfffffeff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffbffff, 0xfffffeff, 0x0, 1b, x5, 108,0) + +inst_39: +// rs1_val == 4294836223, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffdffff, 0x5, 0x0, 1b, x5, 112,0) + +inst_40: +// rs1_val == 4294901759, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0xfffff7ff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffeffff, 0xfffff7ff, 0x20, 3f, x5, 116,0) + +inst_41: +// rs1_val == 4294934527, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0xc; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0xc, 0xa, 1b, x5, 120,0) + +inst_42: +// rs1_val == 4294950911, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0xfffffffd; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffbfff, 0xfffffffd, 0x20, 3f, x5, 124,0) + +inst_43: +// rs1_val == 4294959103, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xfeffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffdfff, 0xfeffffff, 0x100, 3f, x5, 128,0) + +inst_44: +// rs1_val == 4294963199, rs2_val == 16777216 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x1000000, 0x10, 3f, x5, 132,0) + +inst_45: +// rs1_val == 4294965247, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0xfffffbff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0xfffffbff, 0x400, 3f, x5, 136,0) + +inst_46: +// rs1_val == 4294966271, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xefffffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffbff, 0xefffffff, 0x80, 3f, x5, 140,0) + +inst_47: +// rs1_val == 4294966783, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x1000000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffdff, 0x1000000, 0x6, 1b, x5, 144,0) + +inst_48: +// rs1_val == 4294967039, rs2_val == 268435456 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x10000000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffeff, 0x10000000, 0x0, 3f, x5, 148,0) + +inst_49: +// rs1_val == 4294967167, rs2_val == 256 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x100; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x100, 0x6, 3f, x5, 152,0) + +inst_50: +// rs1_val == 4294967231, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0xffffffbf; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffbf, 0xffffffbf, 0x100, 3f, x5, 156,0) + +inst_51: +// rs1_val == 4294967263, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xffffffbf; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0xffffffbf, 0x6, 1b, x5, 160,0) + +inst_52: +// rs1_val == 4294967279, rs2_val == 2048 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x800; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffef, 0x800, 0xa, 1b, x5, 164,0) + +inst_53: +// rs1_val == 4294967287, rs2_val == 134217728 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x8000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffff7, 0x8000000, 0x2, 1b, x5, 168,0) + +inst_54: +// rs1_val == 4294967291, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0xfffffeff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffb, 0xfffffeff, 0x400, 1b, x5, 172,0) + +inst_55: +// rs1_val == 4294967294, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0xe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffe, 0xe, 0x400, 3f, x5, 176,0) + +inst_56: +// rs2_val == 2147483648, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x80000000; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0x80000000, 0x80, 3f, x5, 180,0) + +inst_57: +// rs2_val == 536870912, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x20000000; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x20000000, 0x556, 1b, x5, 184,0) + +inst_58: +// rs2_val == 33554432, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x2000000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x2000000, 0x200, 3f, x5, 188,0) + +inst_59: +// rs2_val == 8388608, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x800000, 0x200, 3f, x5, 192,0) + +inst_60: +// rs2_val == 4194304, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x400000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0x400000, 0x6, 3f, x5, 196,0) + +inst_61: +// rs2_val == 2097152, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x200000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x200000, 0x8, 1b, x5, 200,0) + +inst_62: +// rs2_val == 1048576, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x100000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x100000, 0x0, 3f, x5, 204,0) + +inst_63: +// rs2_val == 524288, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x7; op2val:0x80000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7, 0x80000, 0x10, 3f, x5, 208,0) + +inst_64: +// rs2_val == 262144, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x40000, 0x0, 3f, x5, 212,0) + +inst_65: +// rs2_val == 131072, rs1_val == 65536 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x20000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x20000, 0x200, 3f, x5, 216,0) + +inst_66: +// rs2_val == 65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x10000, 0x40, 3f, x5, 220,0) + +inst_67: +// rs2_val == 16384, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xc; op2val:0x4000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xc, 0x4000, 0x2, 3f, x5, 224,0) + +inst_68: +// rs2_val == 8192, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2000, 0x6, 3f, x5, 228,0) + +inst_69: +// rs2_val == 4096, rs1_val == 32 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20; op2val:0x1000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20, 0x1000, 0x2, 1b, x5, 232,0) + +inst_70: +// rs2_val == 1024, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x400; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x400, 0x6, 1b, x5, 236,0) + +inst_71: +// rs2_val == 512, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x200, 0x4, 3f, x5, 240,0) + +inst_72: +// rs2_val == 128, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x80; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x80, 0x100, 3f, x5, 244,0) + +inst_73: +// rs2_val == 64, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x40; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x40, 0x8, 3f, x5, 248,0) + +inst_74: +// rs2_val == 32, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x20, 0x200, 3f, x5, 252,0) + +inst_75: +// rs2_val == 16, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x10; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x10, 0x6, 3f, x5, 256,0) + +inst_76: +// rs2_val == 8, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0x8; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0x8, 0x2, 1b, x5, 260,0) + +inst_77: +// rs2_val == 4, rs1_val==65536 and rs2_val==4 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x4, 0x2, 1b, x5, 264,0) + +inst_78: +// rs2_val == 2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x2, 0x4, 3f, x5, 268,0) + +inst_79: +// rs2_val == 1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x1; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x1, 0x10, 3f, x5, 272,0) + +inst_80: +// rs1_val == 2147483648, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000000; op2val:0xfffffff7; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000000, 0xfffffff7, 0x2, 1b, x5, 276,0) + +inst_81: +// rs1_val == 1073741824, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000000; op2val:0xb; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000000, 0xb, 0x100, 3f, x5, 280,0) + +inst_82: +// rs1_val == 536870912, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x800000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000000, 0x800000, 0x8, 3f, x5, 284,0) + +inst_83: +// rs1_val == 134217728, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000000; op2val:0xefffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000000, 0xefffffff, 0x100, 3f, x5, 288,0) + +inst_84: +// rs1_val == 33554432, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xb; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000000, 0xb, 0x200, 3f, x5, 292,0) + +inst_85: +// rs1_val == 8388608, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x800000; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800000, 0x4, 0x8, 1b, x5, 296,0) + +inst_86: +// rs1_val == 4194304, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x400000; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400000, 0x1, 0x0, 3f, x5, 300,0) + +inst_87: +// rs1_val == 2097152, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x200000; op2val:0x7fffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200000, 0x7fffffff, 0x400, 1b, x5, 304,0) + +inst_88: +// rs1_val == 1048576, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x100000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100000, 0x33333333, 0x2, 1b, x5, 308,0) + +inst_89: +// rs1_val == 262144, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000, 0x80, 0x8, 3f, x5, 312,0) + +inst_90: +// rs1_val == 131072, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000; op2val:0x20000000; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000, 0x20000000, 0x20, 3f, x5, 316,0) + +inst_91: +// rs1_val == 16384, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000, 0x66666666, 0x6, 3f, x5, 320,0) + +inst_92: +// rs1_val == 8192, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000, 0x66666666, 0x2, 1b, x5, 324,0) + +inst_93: +// rs1_val == 4096, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffeff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000, 0xfffffeff, 0x556, 1b, x5, 328,0) + +inst_94: +// rs1_val == 2048, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x800; op2val:0xfffffffd; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800, 0xfffffffd, 0x80, 3f, x5, 332,0) + +inst_95: +// rs1_val == 1024, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x400; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400, 0x0, 0x6, 3f, x5, 336,0) + +inst_96: +// rs1_val == 512, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x200; op2val:0xefffffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200, 0xefffffff, 0x556, 1b, x5, 340,0) + +inst_97: +// rs1_val == 256, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x100; op2val:0xb505; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100, 0xb505, 0x200, 3f, x5, 344,0) + +inst_98: +// rs1_val == 128, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80, 0x0, 0x4, 3f, x5, 348,0) + +inst_99: +// rs1_val == 64, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffefff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40, 0xffffefff, 0x556, 1b, x5, 352,0) + +inst_100: +// rs1_val == 16, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10, 0xfffffeff, 0x100, 3f, x5, 356,0) + +inst_101: +// rs1_val == 8, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8; op2val:0xffffefff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8, 0xffffefff, 0x6, 3f, x5, 360,0) + +inst_102: +// rs1_val==65536 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x10000, 0x0, 3f, x5, 364,0) + +inst_103: +// rs1_val==65536 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x1, 0x80, 3f, x5, 368,0) + +inst_104: +// rs1_val==65536 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb505, 0x10, 3f, x5, 372,0) + +inst_105: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666667, 0x556, 1b, x5, 376,0) + +inst_106: +// rs1_val==65536 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333334, 0x80, 3f, x5, 380,0) + +inst_107: +// rs1_val==65536 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x6, 0x400, 1b, x5, 384,0) + +inst_108: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaab, 0x100, 3f, x5, 388,0) + +inst_109: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555556, 0x20, 3f, x5, 392,0) + +inst_110: +// rs1_val==65536 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xfffe, 0x4, 3f, x5, 396,0) + +inst_111: +// rs1_val==65536 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x0, 0x100, 3f, x5, 400,0) + +inst_112: +// rs1_val==65536 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb503, 0x8, 1b, x5, 404,0) + +inst_113: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666665, 0x400, 1b, x5, 408,0) + +inst_114: +// rs1_val==65536 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333332, 0x8, 3f, x5, 412,0) + +inst_115: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaa9, 0x200, 3f, x5, 416,0) + +inst_116: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555554, 0x8, 1b, x5, 420,0) + +inst_117: +// rs1_val==65536 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x2, 0x40, 3f, x5, 424,0) + +inst_118: +// rs1_val==65536 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xffff, 0x80, 3f, x5, 428,0) + +inst_119: +// rs1_val==65536 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb504, 0x100, 3f, x5, 432,0) + +inst_120: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666666, 0x4, 3f, x5, 436,0) + +inst_121: +// rs1_val==65536 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x5, 440,0) + +inst_122: +// rs1_val==65536 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x5, 0x4, 1b, x5, 444,0) + +inst_123: +// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaaa, 0x2, 3f, x5, 448,0) + +inst_124: +// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555555, 0x20, 3f, x5, 452,0) + +inst_125: +// rs1_val==65536 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x3, 0x20, 3f, x5, 456,0) + +inst_126: +// rs1_val==1 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x10000, 0x4, 1b, x5, 460,0) + +inst_127: +// rs1_val==1 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x1, 0x100, 3f, x5, 464,0) + +inst_128: +// rs1_val==1 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb505, 0x80, 3f, x5, 468,0) + +inst_129: +// rs1_val==1 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666667, 0x2, 1b, x5, 472,0) + +inst_130: +// rs1_val==1 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333334, 0x4, 3f, x5, 476,0) + +inst_131: +// rs1_val==1 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x6, 0xa, 1b, x5, 480,0) + +inst_132: +// rs1_val==1 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaab, 0x400, 3f, x5, 484,0) + +inst_133: +// rs1_val==1 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555556, 0x400, 3f, x5, 488,0) + +inst_134: +// rs1_val==1 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x4, 0x80, 3f, x5, 492,0) + +inst_135: +// rs1_val==1 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xfffe, 0x400, 1b, x5, 496,0) + +inst_136: +// rs1_val==1 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x0, 0x2, 3f, x5, 500,0) + +inst_137: +// rs1_val==1 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb503, 0x8, 1b, x5, 504,0) + +inst_138: +// rs1_val==1 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666665, 0x556, 1b, x5, 508,0) + +inst_139: +// rs1_val==1 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333332, 0x40, 3f, x5, 512,0) + +inst_140: +// rs1_val==1 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaa9, 0x400, 3f, x5, 516,0) + +inst_141: +// rs1_val==1 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555554, 0x40, 3f, x5, 520,0) + +inst_142: +// rs1_val==1 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x2, 0x100, 3f, x5, 524,0) + +inst_143: +// rs1_val==1 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xffff, 0x20, 3f, x5, 528,0) + +inst_144: +// rs1_val==1 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb504, 0x4, 3f, x5, 532,0) + +inst_145: +// rs1_val==1 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666666, 0x10, 3f, x5, 536,0) + +inst_146: +// rs1_val==1 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333333, 0x8, 1b, x5, 540,0) + +inst_147: +// rs1_val==1 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x5, 0x8, 3f, x5, 544,0) + +inst_148: +// rs1_val==1 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaaa, 0x40, 3f, x5, 548,0) + +inst_149: +// rs1_val==1 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555555, 0x6, 1b, x5, 552,0) + +inst_150: +// rs1_val==1 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x3, 0x10, 3f, x5, 556,0) + +inst_151: +// rs1_val==46341 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x10000, 0x8, 3f, x5, 560,0) + +inst_152: +// rs1_val==46341 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x1, 0x200, 3f, x5, 564,0) + +inst_153: +// rs1_val==46341 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb505, 0x400, 3f, x5, 568,0) + +inst_154: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666667, 0x556, 1b, x5, 572,0) + +inst_155: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333334, 0x10, 3f, x5, 576,0) + +inst_156: +// rs1_val==46341 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x6, 0x20, 3f, x5, 580,0) + +inst_157: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaab, 0x4, 1b, x5, 584,0) + +inst_158: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555556, 0x6, 3f, x5, 588,0) + +inst_159: +// rs1_val==46341 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x4, 0x4, 1b, x5, 592,0) + +inst_160: +// rs1_val==46341 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xfffe, 0x556, 1b, x5, 596,0) + +inst_161: +// rs1_val==46341 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x0, 0x6, 1b, x5, 600,0) + +inst_162: +// rs1_val==46341 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb503, 0xa, 1b, x5, 604,0) + +inst_163: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x5, 608,0) + +inst_164: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333332, 0x200, 3f, x5, 612,0) + +inst_165: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaa9, 0x0, 3f, x5, 616,0) + +inst_166: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555554, 0x2, 1b, x5, 620,0) + +inst_167: +// rs1_val==46341 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x2, 0x80, 3f, x5, 624,0) + +inst_168: +// rs1_val==46341 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xffff, 0x6, 1b, x5, 628,0) + +inst_169: +// rs1_val==46341 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb504, 0x40, 3f, x5, 632,0) + +inst_170: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666666, 0x6, 1b, x5, 636,0) + +inst_171: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333333, 0xa, 1b, x5, 640,0) + +inst_172: +// rs1_val==46341 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x5, 0x20, 3f, x5, 644,0) + +inst_173: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaaa, 0x20, 3f, x5, 648,0) + +inst_174: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x5, 652,0) + +inst_175: +// rs1_val==46341 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x3, 0x40, 3f, x5, 656,0) + +inst_176: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x10000, 0x4, 3f, x5, 660,0) + +inst_177: +// rs1_val==1717986919 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x1, 0x200, 3f, x5, 664,0) + +inst_178: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb505, 0x400, 1b, x5, 668,0) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666667, 0x6, 3f, x5, 672,0) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333334, 0x400, 1b, x5, 676,0) + +inst_181: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x6, 0x6, 3f, x5, 680,0) + +inst_182: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaab, 0x400, 1b, x5, 684,0) + +inst_183: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555556, 0x6, 3f, x5, 688,0) + +inst_184: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x4, 0x8, 1b, x5, 692,0) + +inst_185: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xfffe, 0x2, 3f, x5, 696,0) + +inst_186: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x0, 0x6, 1b, x5, 700,0) + +inst_187: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb503, 0x80, 3f, x5, 704,0) + +inst_188: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666665, 0x8, 1b, x5, 708,0) + +inst_189: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333332, 0x8, 1b, x5, 712,0) + +inst_190: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaa9, 0x20, 3f, x5, 716,0) + +inst_191: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555554, 0x200, 3f, x5, 720,0) + +inst_192: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x2, 0x4, 3f, x5, 724,0) + +inst_193: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xffff, 0x10, 3f, x5, 728,0) + +inst_194: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x5, 732,0) + +inst_195: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666666, 0x8, 1b, x5, 736,0) + +inst_196: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333333, 0x0, 3f, x5, 740,0) + +inst_197: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x5, 0x6, 3f, x5, 744,0) + +inst_198: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaaa, 0x556, 1b, x5, 748,0) + +inst_199: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555555, 0x80, 3f, x5, 752,0) + +inst_200: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x3, 0x4, 3f, x5, 756,0) + +inst_201: +// rs1_val==858993460 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x10000, 0x8, 3f, x5, 760,0) + +inst_202: +// rs1_val==858993460 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x1, 0x8, 3f, x5, 764,0) + +inst_203: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb505, 0x0, 3f, x5, 768,0) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x5, 772,0) + +inst_205: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333334, 0x200, 3f, x5, 776,0) + +inst_206: +// rs1_val==858993460 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x6, 0x4, 3f, x5, 780,0) + +inst_207: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaab, 0x8, 3f, x5, 784,0) + +inst_208: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555556, 0x10, 3f, x5, 788,0) + +inst_209: +// rs1_val==858993460 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x4, 0x40, 3f, x5, 792,0) + +inst_210: +// rs1_val==858993460 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xfffe, 0x10, 3f, x5, 796,0) + +inst_211: +// rs1_val==858993460 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x0, 0x400, 1b, x5, 800,0) + +inst_212: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x5, 804,0) + +inst_213: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666665, 0x6, 1b, x5, 808,0) + +inst_214: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333332, 0x2, 3f, x5, 812,0) + +inst_215: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaa9, 0x8, 3f, x5, 816,0) + +inst_216: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555554, 0x4, 3f, x5, 820,0) + +inst_217: +// rs1_val==858993460 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x2, 0x20, 3f, x5, 824,0) + +inst_218: +// rs1_val==858993460 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xffff, 0x40, 3f, x5, 828,0) + +inst_219: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb504, 0x10, 3f, x5, 832,0) + +inst_220: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666666, 0x4, 3f, x5, 836,0) + +inst_221: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333333, 0x400, 3f, x5, 840,0) + +inst_222: +// rs1_val==858993460 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x5, 0x0, 3f, x5, 844,0) + +inst_223: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaaa, 0x2, 3f, x5, 848,0) + +inst_224: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555555, 0x0, 1b, x5, 852,0) + +inst_225: +// rs1_val==858993460 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x3, 0x2, 1b, x5, 856,0) + +inst_226: +// rs1_val==6 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x10000, 0x4, 3f, x5, 860,0) + +inst_227: +// rs1_val==6 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x1, 0x20, 3f, x5, 864,0) + +inst_228: +// rs1_val==6 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb505, 0x100, 3f, x5, 868,0) + +inst_229: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666667, 0x2, 3f, x5, 872,0) + +inst_230: +// rs1_val==6 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333334, 0x556, 1b, x5, 876,0) + +inst_231: +// rs1_val==6 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x6, 0x4, 3f, x5, 880,0) + +inst_232: +// rs1_val==6 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaab, 0x20, 3f, x5, 884,0) + +inst_233: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555556, 0x4, 3f, x5, 888,0) + +inst_234: +// rs1_val==6 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x4, 0x4, 1b, x5, 892,0) + +inst_235: +// rs1_val==6 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffe, 0x6, 1b, x5, 896,0) + +inst_236: +// rs1_val==6 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x0, 0x556, 1b, x5, 900,0) + +inst_237: +// rs1_val==6 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb503, 0x10, 3f, x5, 904,0) + +inst_238: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666665, 0x8, 3f, x5, 908,0) + +inst_239: +// rs1_val==6 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333332, 0x4, 1b, x5, 912,0) + +inst_240: +// rs1_val==6 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaa9, 0x4, 1b, x5, 916,0) + +inst_241: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555554, 0x200, 3f, x5, 920,0) + +inst_242: +// rs1_val==6 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x2, 0x4, 3f, x5, 924,0) + +inst_243: +// rs1_val==6 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xffff, 0x4, 1b, x5, 928,0) + +inst_244: +// rs1_val==6 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb504, 0x80, 3f, x5, 932,0) + +inst_245: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666666, 0x6, 1b, x5, 936,0) + +inst_246: +// rs1_val==6 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333333, 0x2, 3f, x5, 940,0) + +inst_247: +// rs1_val==6 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x5, 0x40, 3f, x5, 944,0) + +inst_248: +// rs1_val==6 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaaa, 0x80, 3f, x5, 948,0) + +inst_249: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555555, 0x556, 1b, x5, 952,0) + +inst_250: +// rs1_val==6 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x3, 0x6, 1b, x5, 956,0) + +inst_251: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x10000, 0x400, 1b, x5, 960,0) + +inst_252: +// rs1_val==2863311531 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x1, 0xa, 1b, x5, 964,0) + +inst_253: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb505, 0x100, 3f, x5, 968,0) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666667, 0x100, 3f, x5, 972,0) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 1b, x5, 976,0) + +inst_256: +// rs1_val==2863311531 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x5, 980,0) + +inst_257: +// rs1_val==2863311531 and rs2_val==2863311531, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 1b, x5, 984,0) + +inst_258: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555556, 0x8, 3f, x5, 988,0) + +inst_259: +// rs1_val==2863311531 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x4, 0x6, 3f, x5, 992,0) + +inst_260: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xfffe, 0x6, 1b, x5, 996,0) + +inst_261: +// rs1_val==2863311531 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x0, 0x400, 1b, x5, 1000,0) + +inst_262: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb503, 0x8, 1b, x5, 1004,0) + +inst_263: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666665, 0x2, 3f, x5, 1008,0) + +inst_264: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333332, 0x0, 3f, x5, 1012,0) + +inst_265: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x8, 1b, x5, 1016,0) + +inst_266: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555554, 0x40, 3f, x5, 1020,0) + +inst_267: +// rs1_val==2863311531 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x2, 0x2, 1b, x5, 1024,0) + +inst_268: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xffff, 0x200, 3f, x5, 1028,0) + +inst_269: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb504, 0x8, 3f, x5, 1032,0) + +inst_270: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666666, 0x80, 3f, x5, 1036,0) + +inst_271: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333333, 0x6, 1b, x5, 1040,0) + +inst_272: +// rs1_val==2863311531 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x5, 0x2, 3f, x5, 1044,0) + +inst_273: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0xa, 1b, x5, 1048,0) + +inst_274: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555555, 0x40, 3f, x5, 1052,0) + +inst_275: +// rs1_val==2863311531 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x3, 0x6, 1b, x5, 1056,0) + +inst_276: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x10000, 0x4, 1b, x5, 1060,0) + +inst_277: +// rs1_val==1431655766 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x1, 0x0, 1b, x5, 1064,0) + +inst_278: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb505, 0x8, 1b, x5, 1068,0) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666667, 0x4, 1b, x5, 1072,0) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333334, 0x20, 3f, x5, 1076,0) + +inst_281: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x6, 0x6, 3f, x5, 1080,0) + +inst_282: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaab, 0x400, 3f, x5, 1084,0) + +inst_283: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555556, 0x20, 3f, x5, 1088,0) + +inst_284: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x4, 0x4, 3f, x5, 1092,0) + +inst_285: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xfffe, 0x8, 3f, x5, 1096,0) + +inst_286: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x0, 0x400, 3f, x5, 1100,0) + +inst_287: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x5, 1104,0) + +inst_288: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x5, 1108,0) + +inst_289: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333332, 0x556, 1b, x5, 1112,0) + +inst_290: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaa9, 0x6, 3f, x5, 1116,0) + +inst_291: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555554, 0x2, 3f, x5, 1120,0) + +inst_292: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x2, 0x20, 3f, x5, 1124,0) + +inst_293: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xffff, 0x400, 3f, x5, 1128,0) + +inst_294: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb504, 0x80, 3f, x5, 1132,0) + +inst_295: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666666, 0x80, 3f, x5, 1136,0) + +inst_296: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333333, 0x6, 1b, x5, 1140,0) + +inst_297: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x5, 0x80, 3f, x5, 1144,0) + +inst_298: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaaa, 0x8, 3f, x5, 1148,0) + +inst_299: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555555, 0x400, 3f, x5, 1152,0) + +inst_300: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x3, 0x2, 1b, x5, 1156,0) + +inst_301: +// rs1_val==4 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x10000, 0x2, 1b, x5, 1160,0) + +inst_302: +// rs1_val==4 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x1, 0x4, 1b, x5, 1164,0) + +inst_303: +// rs1_val==4 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb505, 0x2, 3f, x5, 1168,0) + +inst_304: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666667, 0x6, 3f, x5, 1172,0) + +inst_305: +// rs1_val==4 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333334, 0x0, 1b, x5, 1176,0) + +inst_306: +// rs1_val==4 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x6, 0x4, 1b, x5, 1180,0) + +inst_307: +// rs1_val==4 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaab, 0x4, 3f, x5, 1184,0) + +inst_308: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555556, 0x4, 3f, x5, 1188,0) + +inst_309: +// rs1_val==4 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x4, 0x0, 1b, x5, 1192,0) + +inst_310: +// rs1_val==4 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffe, 0x200, 3f, x5, 1196,0) + +inst_311: +// rs1_val==4 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x0, 0x2, 1b, x5, 1200,0) + +inst_312: +// rs1_val==4 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb503, 0xa, 1b, x5, 1204,0) + +inst_313: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666665, 0x8, 3f, x5, 1208,0) + +inst_314: +// rs1_val==4 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333332, 0x400, 1b, x5, 1212,0) + +inst_315: +// rs1_val==4 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaa9, 0x200, 3f, x5, 1216,0) + +inst_316: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555554, 0x100, 3f, x5, 1220,0) + +inst_317: +// rs1_val==4 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x2, 0x2, 3f, x5, 1224,0) + +inst_318: +// rs1_val==4 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff, 0x100, 3f, x5, 1228,0) + +inst_319: +// rs1_val==4 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb504, 0xa, 1b, x5, 1232,0) + +inst_320: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666666, 0x10, 3f, x5, 1236,0) + +inst_321: +// rs1_val==4 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333333, 0x80, 3f, x5, 1240,0) + +inst_322: +// rs1_val==4 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x5, 0x2, 1b, x5, 1244,0) + +inst_323: +// rs1_val==4 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaaa, 0x200, 3f, x5, 1248,0) + +inst_324: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555555, 0x10, 3f, x5, 1252,0) + +inst_325: +// rs1_val==4 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x3, 0x20, 3f, x5, 1256,0) + +inst_326: +// rs1_val==65534 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x5, 1260,0) + +inst_327: +// rs1_val==65534 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x1, 0x40, 3f, x5, 1264,0) + +inst_328: +// rs1_val==65534 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb505, 0x0, 3f, x5, 1268,0) + +inst_329: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666667, 0xa, 1b, x5, 1272,0) + +inst_330: +// rs1_val==65534 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x5, 1276,0) + +inst_331: +// rs1_val==65534 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x6, 0x20, 3f, x5, 1280,0) + +inst_332: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 1b, x5, 1284,0) + +inst_333: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555556, 0x10, 3f, x5, 1288,0) + +inst_334: +// rs1_val==65534 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x4, 0x400, 3f, x5, 1292,0) + +inst_335: +// rs1_val==65534 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xfffe, 0x556, 1b, x5, 1296,0) + +inst_336: +// rs1_val==65534 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x0, 0x2, 3f, x5, 1300,0) + +inst_337: +// rs1_val==65534 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb503, 0x0, 1b, x5, 1304,0) + +inst_338: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666665, 0x8, 1b, x5, 1308,0) + +inst_339: +// rs1_val==65534 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333332, 0x40, 3f, x5, 1312,0) + +inst_340: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaa9, 0x2, 1b, x5, 1316,0) + +inst_341: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555554, 0x6, 1b, x5, 1320,0) + +inst_342: +// rs1_val==65534 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x2, 0x2, 1b, x5, 1324,0) + +inst_343: +// rs1_val==65534 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xffff, 0xa, 1b, x5, 1328,0) + +inst_344: +// rs1_val==65534 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb504, 0x2, 3f, x5, 1332,0) + +inst_345: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x5, 1336,0) + +inst_346: +// rs1_val==65534 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333333, 0x2, 1b, x5, 1340,0) + +inst_347: +// rs1_val==65534 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x5, 0x400, 1b, x5, 1344,0) + +inst_348: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaaa, 0xa, 1b, x5, 1348,0) + +inst_349: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555555, 0x20, 3f, x5, 1352,0) + +inst_350: +// rs1_val==65534 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x3, 0x6, 1b, x5, 1356,0) + +inst_351: +// rs1_val==0 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x10000, 0x10, 3f, x5, 1360,0) + +inst_352: +// rs1_val==0 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x1, 0x6, 1b, x5, 1364,0) + +inst_353: +// rs1_val==0 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb505, 0x6, 3f, x5, 1368,0) + +inst_354: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666667, 0x400, 1b, x5, 1372,0) + +inst_355: +// rs1_val==0 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333334, 0x8, 1b, x5, 1376,0) + +inst_356: +// rs1_val==0 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x6, 0x80, 3f, x5, 1380,0) + +inst_357: +// rs1_val==0 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaab, 0x100, 3f, x5, 1384,0) + +inst_358: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555556, 0x0, 1b, x5, 1388,0) + +inst_359: +// rs1_val==0 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x4, 0x4, 3f, x5, 1392,0) + +inst_360: +// rs1_val==0 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xfffe, 0x200, 3f, x5, 1396,0) + +inst_361: +// rs1_val==0 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x0, 0x2, 1b, x5, 1400,0) + +inst_362: +// rs1_val==0 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb503, 0x400, 1b, x5, 1404,0) + +inst_363: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666665, 0x80, 3f, x5, 1408,0) + +inst_364: +// rs1_val==0 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333332, 0x200, 3f, x5, 1412,0) + +inst_365: +// rs1_val==0 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaa9, 0x6, 1b, x5, 1416,0) + +inst_366: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555554, 0xa, 1b, x5, 1420,0) + +inst_367: +// rs1_val==0 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x2, 0x4, 1b, x5, 1424,0) + +inst_368: +// rs1_val==0 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xffff, 0x556, 1b, x5, 1428,0) + +inst_369: +// rs1_val==0 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb504, 0x80, 3f, x5, 1432,0) + +inst_370: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666666, 0x2, 3f, x5, 1436,0) + +inst_371: +// rs1_val==0 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333333, 0x400, 3f, x5, 1440,0) + +inst_372: +// rs1_val==0 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x5, 0x4, 1b, x5, 1444,0) + +inst_373: +// rs1_val==0 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaaa, 0xa, 1b, x5, 1448,0) + +inst_374: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555555, 0x0, 1b, x5, 1452,0) + +inst_375: +// rs1_val==0 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x3, 0x10, 3f, x5, 1456,0) + +inst_376: +// rs1_val==46339 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x10000, 0x2, 1b, x5, 1460,0) + +inst_377: +// rs1_val==46339 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x1, 0x2, 3f, x5, 1464,0) + +inst_378: +// rs1_val==46339 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb505, 0x0, 3f, x5, 1468,0) + +inst_379: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666667, 0x0, 3f, x5, 1472,0) + +inst_380: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x5, 1476,0) + +inst_381: +// rs1_val==46339 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x6, 0x200, 3f, x5, 1480,0) + +inst_382: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaab, 0x4, 1b, x5, 1484,0) + +inst_383: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x5, 1488,0) + +inst_384: +// rs1_val==46339 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x4, 0x8, 3f, x5, 1492,0) + +inst_385: +// rs1_val==46339 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xfffe, 0x100, 3f, x5, 1496,0) + +inst_386: +// rs1_val==46339 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x0, 0x4, 1b, x5, 1500,0) + +inst_387: +// rs1_val==46339 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb503, 0x4, 1b, x5, 1504,0) + +inst_388: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666665, 0x4, 1b, x5, 1508,0) + +inst_389: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333332, 0x2, 1b, x5, 1512,0) + +inst_390: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaa9, 0x40, 3f, x5, 1516,0) + +inst_391: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555554, 0x400, 1b, x5, 1520,0) + +inst_392: +// rs1_val==46339 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x2, 0x10, 3f, x5, 1524,0) + +inst_393: +// rs1_val==46339 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xffff, 0x4, 1b, x5, 1528,0) + +inst_394: +// rs1_val==46339 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb504, 0x10, 3f, x5, 1532,0) + +inst_395: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x5, 1536,0) + +inst_396: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x5, 1540,0) + +inst_397: +// rs1_val==46339 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x5, 0xa, 1b, x5, 1544,0) + +inst_398: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaaa, 0x556, 1b, x5, 1548,0) + +inst_399: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555555, 0x0, 3f, x5, 1552,0) + +inst_400: +// rs1_val==46339 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x3, 0x40, 3f, x5, 1556,0) + +inst_401: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x10000, 0x6, 1b, x5, 1560,0) + +inst_402: +// rs1_val==1717986917 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x1, 0xa, 1b, x5, 1564,0) + +inst_403: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb505, 0x0, 3f, x5, 1568,0) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666667, 0x6, 3f, x5, 1572,0) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x5, 1576,0) + +inst_406: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x6, 0x0, 1b, x5, 1580,0) + +inst_407: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaab, 0x8, 3f, x5, 1584,0) + +inst_408: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555556, 0x200, 3f, x5, 1588,0) + +inst_409: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x4, 0x6, 1b, x5, 1592,0) + +inst_410: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xfffe, 0x200, 3f, x5, 1596,0) + +inst_411: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x0, 0x400, 1b, x5, 1600,0) + +inst_412: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x5, 1604,0) + +inst_413: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666665, 0x20, 3f, x5, 1608,0) + +inst_414: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333332, 0x0, 3f, x5, 1612,0) + +inst_415: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaa9, 0x4, 1b, x5, 1616,0) + +inst_416: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x5, 1620,0) + +inst_417: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x2, 0x40, 3f, x5, 1624,0) + +inst_418: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffff, 0x6, 3f, x5, 1628,0) + +inst_419: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb504, 0x80, 3f, x5, 1632,0) + +inst_420: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x5, 1636,0) + +inst_421: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333333, 0x10, 3f, x5, 1640,0) + +inst_422: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x5, 0x0, 1b, x5, 1644,0) + +inst_423: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaaa, 0x556, 1b, x5, 1648,0) + +inst_424: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555555, 0x200, 3f, x5, 1652,0) + +inst_425: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x3, 0x8, 1b, x5, 1656,0) + +inst_426: +// rs1_val==858993458 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x10000, 0x100, 3f, x5, 1660,0) + +inst_427: +// rs1_val==858993458 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x1, 0x8, 1b, x5, 1664,0) + +inst_428: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb505, 0x2, 1b, x5, 1668,0) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x5, 1672,0) + +inst_430: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333334, 0x10, 3f, x5, 1676,0) + +inst_431: +// rs1_val==858993458 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x6, 0xa, 1b, x5, 1680,0) + +inst_432: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaab, 0x100, 3f, x5, 1684,0) + +inst_433: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x5, 1688,0) + +inst_434: +// rs1_val==858993458 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x4, 0x100, 3f, x5, 1692,0) + +inst_435: +// rs1_val==858993458 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffe, 0x2, 3f, x5, 1696,0) + +inst_436: +// rs1_val==858993458 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x0, 0x80, 3f, x5, 1700,0) + +inst_437: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb503, 0x556, 1b, x5, 1704,0) + +inst_438: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666665, 0x400, 1b, x5, 1708,0) + +inst_439: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333332, 0x10, 3f, x5, 1712,0) + +inst_440: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaa9, 0x6, 1b, x5, 1716,0) + +inst_441: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x5, 1720,0) + +inst_442: +// rs1_val==858993458 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x2, 0x10, 3f, x5, 1724,0) + +inst_443: +// rs1_val==858993458 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xffff, 0x6, 3f, x5, 1728,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb504, 0x6, 1b, x5, 1732,0) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666666, 0x100, 3f, x5, 1736,0) + +inst_446: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333333, 0x2, 1b, x5, 1740,0) + +inst_447: +// rs1_val==858993458 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x5, 0x2, 1b, x5, 1744,0) + +inst_448: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaaa, 0x80, 3f, x5, 1748,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555555, 0x80, 3f, x5, 1752,0) + +inst_450: +// rs1_val==858993458 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x3, 0x200, 3f, x5, 1756,0) + +inst_451: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x10000, 0x20, 3f, x5, 1760,0) + +inst_452: +// rs1_val==2863311529 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x1, 0x556, 1b, x5, 1764,0) + +inst_453: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb505, 0x40, 3f, x5, 1768,0) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666667, 0x40, 3f, x5, 1772,0) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333334, 0x400, 3f, x5, 1776,0) + +inst_456: +// rs1_val==2863311529 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x6, 0x6, 3f, x5, 1780,0) + +inst_457: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x80, 3f, x5, 1784,0) + +inst_458: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555556, 0x4, 3f, x5, 1788,0) + +inst_459: +// rs1_val==2863311529 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x4, 0x6, 1b, x5, 1792,0) + +inst_460: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xfffe, 0x200, 3f, x5, 1796,0) + +inst_461: +// rs1_val==2863311529 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x0, 0x4, 1b, x5, 1800,0) + +inst_462: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb503, 0x80, 3f, x5, 1804,0) + +inst_463: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666665, 0x400, 3f, x5, 1808,0) + +inst_464: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333332, 0x4, 3f, x5, 1812,0) + +inst_465: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, 1b, x5, 1816,0) + +inst_466: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 1b, x5, 1820,0) + +inst_467: +// rs1_val==2863311529 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x2, 0x6, 3f, x5, 1824,0) + +inst_468: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xffff, 0x200, 3f, x5, 1828,0) + +inst_469: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb504, 0x400, 1b, x5, 1832,0) + +inst_470: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666666, 0x100, 3f, x5, 1836,0) + +inst_471: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333333, 0x400, 1b, x5, 1840,0) + +inst_472: +// rs1_val==2863311529 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x5, 0x2, 3f, x5, 1844,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x4, 0x10, 3f, x5, 1848,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x5, 1852,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x0, 0x200, 3f, x5, 1856,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x5, 1860,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666665, 0x8, 1b, x5, 1864,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333332, 0x80, 3f, x5, 1868,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaa9, 0x100, 3f, x5, 1872,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x5, 1876,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x2, 0x80, 3f, x5, 1880,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xffff, 0x20, 3f, x5, 1884,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb504, 0x556, 1b, x5, 1888,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666666, 0x6, 1b, x5, 1892,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333333, 0x556, 1b, x5, 1896,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x5, 0x20, 3f, x5, 1900,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaaa, 0x20, 3f, x5, 1904,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555555, 0x100, 3f, x5, 1908,0) + +inst_489: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x3, 0x556, 1b, x5, 1912,0) + +inst_490: +// rs1_val==2 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x10000, 0x4, 3f, x5, 1916,0) + +inst_491: +// rs1_val==2 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x1, 0x40, 3f, x5, 1920,0) + +inst_492: +// rs1_val==2 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb505, 0x2, 3f, x5, 1924,0) + +inst_493: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666667, 0x2, 1b, x5, 1928,0) + +inst_494: +// rs1_val==2 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333334, 0x8, 3f, x5, 1932,0) + +inst_495: +// rs1_val==2 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x6, 0x8, 1b, x5, 1936,0) + +inst_496: +// rs1_val==2 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaab, 0x4, 1b, x5, 1940,0) + +inst_497: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555556, 0x100, 3f, x5, 1944,0) + +inst_498: +// rs1_val==2 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x4, 0x556, 1b, x5, 1948,0) + +inst_499: +// rs1_val==2 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xfffe, 0x200, 3f, x5, 1952,0) + +inst_500: +// rs1_val==2 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x0, 0x400, 3f, x5, 1956,0) + +inst_501: +// rs1_val==2 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb503, 0x0, 3f, x5, 1960,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666665, 0x4, 3f, x5, 1964,0) + +inst_503: +// rs1_val==2 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333332, 0x20, 3f, x5, 1968,0) + +inst_504: +// rs1_val==2 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaa9, 0x0, 1b, x5, 1972,0) + +inst_505: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555554, 0x200, 3f, x5, 1976,0) + +inst_506: +// rs1_val==2 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2, 0x400, 3f, x5, 1980,0) + +inst_507: +// rs1_val==2 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xffff, 0x556, 1b, x5, 1984,0) + +inst_508: +// rs1_val==2 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb504, 0x8, 3f, x5, 1988,0) + +inst_509: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666666, 0x40, 3f, x5, 1992,0) + +inst_510: +// rs1_val==2 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333333, 0xa, 1b, x5, 1996,0) + +inst_511: +// rs1_val==2 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x5, 0x10, 3f, x5, 2000,0) + +inst_512: +// rs1_val==2 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaaa, 0x400, 1b, x5, 2004,0) + +inst_513: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555555, 0xa, 1b, x5, 2008,0) + +inst_514: +// rs1_val==2 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x3, 0x6, 3f, x5, 2012,0) + +inst_515: +// rs1_val==65535 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x10000, 0x556, 1b, x5, 2016,0) + +inst_516: +// rs1_val==65535 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x1, 0x4, 1b, x5, 2020,0) + +inst_517: +// rs1_val==65535 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb505, 0x8, 3f, x5, 2024,0) + +inst_518: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666667, 0x4, 1b, x5, 2028,0) + +inst_519: +// rs1_val==65535 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333334, 0x20, 3f, x5, 2032,0) + +inst_520: +// rs1_val==65535 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x6, 0x4, 1b, x5, 2036,0) + +inst_521: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaab, 0x100, 3f, x5, 2040,0) + +inst_522: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555556, 0x20, 3f, x5, 2044,0) +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_523: +// rs1_val==65535 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x4, 0x100, 3f, x5, 0,0) + +inst_524: +// rs1_val==65535 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xfffe, 0x6, 1b, x5, 4,0) + +inst_525: +// rs1_val==65535 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x0, 0x556, 1b, x5, 8,0) + +inst_526: +// rs1_val==65535 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb503, 0x100, 3f, x5, 12,0) + +inst_527: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666665, 0x2, 1b, x5, 16,0) + +inst_528: +// rs1_val==65535 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x5, 20,0) + +inst_529: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaa9, 0x200, 3f, x5, 24,0) + +inst_530: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555554, 0x20, 3f, x5, 28,0) + +inst_531: +// rs1_val==65535 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x2, 0x6, 1b, x5, 32,0) + +inst_532: +// rs1_val==65535 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xffff, 0x0, 1b, x5, 36,0) + +inst_533: +// rs1_val==65535 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb504, 0x200, 3f, x5, 40,0) + +inst_534: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x5, 44,0) + +inst_535: +// rs1_val==65535 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333333, 0xa, 1b, x5, 48,0) + +inst_536: +// rs1_val==65535 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x5, 0x80, 3f, x5, 52,0) + +inst_537: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaaa, 0x40, 3f, x5, 56,0) + +inst_538: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555555, 0x2, 3f, x5, 60,0) + +inst_539: +// rs1_val==65535 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x3, 0x8, 3f, x5, 64,0) + +inst_540: +// rs1_val==46340 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x10000, 0x6, 1b, x5, 68,0) + +inst_541: +// rs1_val==46340 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x1, 0x2, 1b, x5, 72,0) + +inst_542: +// rs1_val==46340 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb505, 0x40, 3f, x5, 76,0) + +inst_543: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x5, 80,0) + +inst_544: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333334, 0x400, 3f, x5, 84,0) + +inst_545: +// rs1_val==46340 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x6, 0x2, 1b, x5, 88,0) + +inst_546: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaab, 0x556, 1b, x5, 92,0) + +inst_547: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x5, 96,0) + +inst_548: +// rs1_val==46340 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x4, 0x8, 1b, x5, 100,0) + +inst_549: +// rs1_val==46340 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xfffe, 0x80, 3f, x5, 104,0) + +inst_550: +// rs1_val==46340 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x0, 0x2, 3f, x5, 108,0) + +inst_551: +// rs1_val==46340 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb503, 0xa, 1b, x5, 112,0) + +inst_552: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666665, 0x8, 3f, x5, 116,0) + +inst_553: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333332, 0x4, 1b, x5, 120,0) + +inst_554: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaa9, 0x200, 3f, x5, 124,0) + +inst_555: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555554, 0x100, 3f, x5, 128,0) + +inst_556: +// rs1_val==46340 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x2, 0x200, 3f, x5, 132,0) + +inst_557: +// rs1_val==46340 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xffff, 0x100, 3f, x5, 136,0) + +inst_558: +// rs1_val==46340 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb504, 0x400, 1b, x5, 140,0) + +inst_559: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x5, 144,0) + +inst_560: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333333, 0x200, 3f, x5, 148,0) + +inst_561: +// rs1_val==46340 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x5, 0x556, 1b, x5, 152,0) + +inst_562: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x5, 156,0) + +inst_563: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x5, 160,0) + +inst_564: +// rs1_val==46340 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x3, 0x8, 3f, x5, 164,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x10000, 0x10, 3f, x5, 168,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x1, 0x200, 3f, x5, 172,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb505, 0x4, 1b, x5, 176,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x5, 180,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333334, 0x2, 1b, x5, 184,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x6, 0x8, 1b, x5, 188,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaab, 0x6, 3f, x5, 192,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x5, 196,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x4, 0x40, 3f, x5, 200,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xfffe, 0x200, 3f, x5, 204,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x0, 0x0, 1b, x5, 208,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb503, 0x0, 1b, x5, 212,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x5, 216,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333332, 0x2, 3f, x5, 220,0) + +inst_579: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaa9, 0x10, 3f, x5, 224,0) + +inst_580: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555554, 0x556, 1b, x5, 228,0) + +inst_581: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x2, 0x400, 1b, x5, 232,0) + +inst_582: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xffff, 0x200, 3f, x5, 236,0) + +inst_583: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb504, 0x2, 1b, x5, 240,0) + +inst_584: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666666, 0x200, 3f, x5, 244,0) + +inst_585: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333333, 0x10, 3f, x5, 248,0) + +inst_586: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x5, 0x400, 3f, x5, 252,0) + +inst_587: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaaa, 0x4, 1b, x5, 256,0) + +inst_588: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555555, 0x2, 3f, x5, 260,0) + +inst_589: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x3, 0x8, 1b, x5, 264,0) + +inst_590: +// rs1_val==858993459 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x10000, 0x2, 1b, x5, 268,0) + +inst_591: +// rs1_val==858993459 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x1, 0x0, 1b, x5, 272,0) + +inst_592: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb505, 0x2, 3f, x5, 276,0) + +inst_593: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666667, 0x6, 3f, x5, 280,0) + +inst_594: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333334, 0x400, 1b, x5, 284,0) + +inst_595: +// rs1_val==858993459 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x6, 0x0, 3f, x5, 288,0) + +inst_596: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaab, 0x10, 3f, x5, 292,0) + +inst_597: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555556, 0x200, 3f, x5, 296,0) + +inst_598: +// rs1_val==858993459 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x4, 0x8, 1b, x5, 300,0) + +inst_599: +// rs1_val==858993459 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xfffe, 0xa, 1b, x5, 304,0) + +inst_600: +// rs1_val==858993459 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x0, 0x0, 1b, x5, 308,0) + +inst_601: +// rs1_val==2863311529 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x3, 0x6, 1b, x5, 312,0) + +inst_602: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x5, 316,0) + +inst_603: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666665, 0x100, 3f, x5, 320,0) + +inst_604: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x5, 324,0) + +inst_605: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaa9, 0x100, 3f, x5, 328,0) + +inst_606: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555554, 0x8, 1b, x5, 332,0) + +inst_607: +// rs1_val==858993459 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x2, 0x80, 3f, x5, 336,0) + +inst_608: +// rs1_val==858993459 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xffff, 0x556, 1b, x5, 340,0) + +inst_609: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb504, 0x8, 1b, x5, 344,0) + +inst_610: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666666, 0x0, 3f, x5, 348,0) + +inst_611: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333333, 0x2, 1b, x5, 352,0) + +inst_612: +// rs1_val==858993459 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x5, 0x80, 3f, x5, 356,0) + +inst_613: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaaa, 0x6, 1b, x5, 360,0) + +inst_614: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x5, 364,0) + +inst_615: +// rs1_val==858993459 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x3, 0x0, 3f, x5, 368,0) + +inst_616: +// rs1_val==5 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x10000, 0x100, 3f, x5, 372,0) + +inst_617: +// rs1_val==5 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x1, 0x6, 3f, x5, 376,0) + +inst_618: +// rs1_val==5 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb505, 0xa, 1b, x5, 380,0) + +inst_619: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666667, 0x400, 1b, x5, 384,0) + +inst_620: +// rs1_val==5 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333334, 0x8, 3f, x5, 388,0) + +inst_621: +// rs1_val==5 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x6, 0x2, 1b, x5, 392,0) + +inst_622: +// rs1_val==5 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaab, 0x100, 3f, x5, 396,0) + +inst_623: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555556, 0x4, 3f, x5, 400,0) + +inst_624: +// rs1_val==5 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x4, 0x0, 3f, x5, 404,0) + +inst_625: +// rs1_val==5 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xfffe, 0x400, 3f, x5, 408,0) + +inst_626: +// rs1_val==5 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x0, 0x200, 3f, x5, 412,0) + +inst_627: +// rs1_val==5 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb503, 0x556, 1b, x5, 416,0) + +inst_628: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666665, 0x6, 1b, x5, 420,0) + +inst_629: +// rs1_val==5 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333332, 0x556, 1b, x5, 424,0) + +inst_630: +// rs1_val==5 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaa9, 0x6, 3f, x5, 428,0) + +inst_631: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555554, 0x556, 1b, x5, 432,0) + +inst_632: +// rs1_val==5 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x2, 0x6, 3f, x5, 436,0) + +inst_633: +// rs1_val==5 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xffff, 0xa, 1b, x5, 440,0) + +inst_634: +// rs1_val==5 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb504, 0x556, 1b, x5, 444,0) + +inst_635: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666666, 0x2, 3f, x5, 448,0) + +inst_636: +// rs1_val==5 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333333, 0x6, 3f, x5, 452,0) + +inst_637: +// rs1_val==5 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x5, 0x6, 1b, x5, 456,0) + +inst_638: +// rs1_val==5 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaaa, 0xa, 1b, x5, 460,0) + +inst_639: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555555, 0x0, 1b, x5, 464,0) + +inst_640: +// rs1_val==5 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x3, 0x0, 3f, x5, 468,0) + +inst_641: +// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x10000, 0x6, 1b, x5, 472,0) + +inst_642: +// rs1_val==2863311530 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x1, 0x556, 1b, x5, 476,0) + +inst_643: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb505, 0x10, 3f, x5, 480,0) + +inst_644: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666667, 0x0, 1b, x5, 484,0) + +inst_645: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333334, 0x4, 1b, x5, 488,0) + +inst_646: +// rs1_val==2863311530 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x6, 0x20, 3f, x5, 492,0) + +inst_647: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x0, 3f, x5, 496,0) + +inst_648: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555556, 0x8, 3f, x5, 500,0) + +inst_649: +// rs1_val==2863311530 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x4, 0x80, 3f, x5, 504,0) + +inst_650: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xfffe, 0x20, 3f, x5, 508,0) + +inst_651: +// rs1_val==2863311530 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x0, 0x6, 3f, x5, 512,0) + +inst_652: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb503, 0x400, 1b, x5, 516,0) + +inst_653: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666665, 0x20, 3f, x5, 520,0) + +inst_654: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333332, 0x0, 3f, x5, 524,0) + +inst_655: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x40, 3f, x5, 528,0) + +inst_656: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555554, 0x40, 3f, x5, 532,0) + +inst_657: +// rs1_val==2863311530 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x2, 0x0, 1b, x5, 536,0) + +inst_658: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xffff, 0x40, 3f, x5, 540,0) + +inst_659: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb504, 0x0, 1b, x5, 544,0) + +inst_660: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666666, 0x400, 3f, x5, 548,0) + +inst_661: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333333, 0x100, 3f, x5, 552,0) + +inst_662: +// rs1_val==2863311530 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x5, 0x100, 3f, x5, 556,0) + +inst_663: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x6, 3f, x5, 560,0) + +inst_664: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555555, 0x400, 1b, x5, 564,0) + +inst_665: +// rs1_val==2863311530 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x3, 0x20, 3f, x5, 568,0) + +inst_666: +// rs1_val==1431655765 and rs2_val==65536, rs1_val == 1431655765 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x10000, 0x400, 3f, x5, 572,0) + +inst_667: +// rs1_val==1431655765 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x1, 0x8, 1b, x5, 576,0) + +inst_668: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb505, 0x10, 3f, x5, 580,0) + +inst_669: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x5, 584,0) + +inst_670: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x5, 588,0) + +inst_671: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x6, 0x80, 3f, x5, 592,0) + +inst_672: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaab, 0x8, 3f, x5, 596,0) + +inst_673: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555556, 0x200, 3f, x5, 600,0) + +inst_674: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x4, 0x556, 1b, x5, 604,0) + +inst_675: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xfffe, 0x4, 3f, x5, 608,0) + +inst_676: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x0, 0x200, 3f, x5, 612,0) + +inst_677: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb503, 0x8, 3f, x5, 616,0) + +inst_678: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666665, 0xa, 1b, x5, 620,0) + +inst_679: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333332, 0x4, 1b, x5, 624,0) + +inst_680: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaa9, 0x100, 3f, x5, 628,0) + +inst_681: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555554, 0x100, 3f, x5, 632,0) + +inst_682: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x2, 0x8, 1b, x5, 636,0) + +inst_683: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xffff, 0x0, 1b, x5, 640,0) + +inst_684: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb504, 0x20, 3f, x5, 644,0) + +inst_685: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x5, 648,0) + +inst_686: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x5, 652,0) + +inst_687: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x5, 0xa, 1b, x5, 656,0) + +inst_688: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaaa, 0x8, 3f, x5, 660,0) + +inst_689: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x5, 664,0) + +inst_690: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x3, 0x8, 3f, x5, 668,0) + +inst_691: +// rs1_val==3 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x10000, 0x400, 1b, x5, 672,0) + +inst_692: +// rs1_val==3 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x1, 0x400, 1b, x5, 676,0) + +inst_693: +// rs1_val==3 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb505, 0x8, 3f, x5, 680,0) + +inst_694: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666667, 0x0, 3f, x5, 684,0) + +inst_695: +// rs1_val==3 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333334, 0x8, 1b, x5, 688,0) + +inst_696: +// rs1_val==3 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x6, 0x0, 3f, x5, 692,0) + +inst_697: +// rs1_val==3 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaab, 0x400, 3f, x5, 696,0) + +inst_698: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555556, 0x400, 3f, x5, 700,0) + +inst_699: +// rs1_val==3 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x4, 0x8, 1b, x5, 704,0) + +inst_700: +// rs1_val==3 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xfffe, 0x8, 3f, x5, 708,0) + +inst_701: +// rs1_val==3 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x0, 0xa, 1b, x5, 712,0) + +inst_702: +// rs1_val==3 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb503, 0x40, 3f, x5, 716,0) + +inst_703: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666665, 0x200, 3f, x5, 720,0) + +inst_704: +// rs1_val==3 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333332, 0x200, 3f, x5, 724,0) + +inst_705: +// rs1_val==3 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaa9, 0x4, 1b, x5, 728,0) + +inst_706: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555554, 0x2, 3f, x5, 732,0) + +inst_707: +// rs1_val==3 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x2, 0x400, 1b, x5, 736,0) + +inst_708: +// rs1_val==3 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xffff, 0x6, 3f, x5, 740,0) + +inst_709: +// rs1_val==3 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb504, 0x2, 3f, x5, 744,0) + +inst_710: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666666, 0x8, 1b, x5, 748,0) + +inst_711: +// rs1_val==3 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333333, 0x2, 1b, x5, 752,0) + +inst_712: +// rs1_val==3 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x5, 0x4, 3f, x5, 756,0) + +inst_713: +// rs1_val==3 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaaa, 0x0, 1b, x5, 760,0) + +inst_714: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555555, 0x0, 3f, x5, 764,0) + +inst_715: +// rs1_val==3 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x3, 0x200, 3f, x5, 768,0) + +inst_716: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x200, 3f, x5, 772,0) + +inst_717: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555555, 0x20, 3f, x5, 776,0) + +inst_718: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x10000, 0x4, 1b, x5, 780,0) + +inst_719: +// rs1_val==1431655764 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x1, 0x6, 3f, x5, 784,0) + +inst_720: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb505, 0x2, 3f, x5, 788,0) + +inst_721: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666667, 0x4, 1b, x5, 792,0) + +inst_722: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x5, 796,0) + +inst_723: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x6, 0xa, 1b, x5, 800,0) + +inst_724: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaab, 0x6, 1b, x5, 804,0) + +inst_725: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x5, 808,0) + +inst_726: +// rs2_val == 3221225471, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xbfffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xbfffffff, 0xa, 1b, x5, 812,0) + +inst_727: +// rs2_val == 4294836223, rs1_val == 2147483647 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffdffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7fffffff, 0xfffdffff, 0x2, 1b, x5, 816,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 11*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 205*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S new file mode 100644 index 000000000..886a17eba --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S @@ -0,0 +1,3010 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the blt instruction of the RISC-V E extension for the blt covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",blt) + +RVTEST_SIGBASE( x6,signature_x6_1) + +inst_0: +// rs1 == rs2, rs1==x7, rs2==x7, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs2_val == -65, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x7; op2:x7; op1val:-0x10001; op2val:-0x10001; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x4, x7, x7, -0x10001, -0x10001, 0x80, 3f, x6, 0,0) + +inst_1: +// rs1 != rs2, rs1==x9, rs2==x15, rs2_val == 2147483647, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x9; op2:x15; op1val:0x4; op2val:0x7fffffff; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x4, x9, x15, 0x4, 0x7fffffff, 0x0, 3f, x6, 4,0) + +inst_2: +// rs1==x12, rs2==x3, rs2_val == -1073741825, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x12; op2:x3; op1val:0x4; op2val:-0x40000001; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x4, x12, x3, 0x4, -0x40000001, 0x400, 3f, x6, 8,0) + +inst_3: +// rs1==x2, rs2==x5, rs2_val == -536870913, +// opcode: blt, op1:x2; op2:x5; op1val:0x0; op2val:-0x20000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x4, x2, x5, 0x0, -0x20000001, 0x6, 1b, x6, 12,0) + +inst_4: +// rs1==x11, rs2==x0, rs2_val == -268435457, rs1_val == 1048576 +// opcode: blt, op1:x11; op2:x0; op1val:0x100000; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x4, x11, x0, 0x100000, 0x0, 0x200, 3f, x6, 16,0) + +inst_5: +// rs1==x3, rs2==x13, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -9 +// opcode: blt, op1:x3; op2:x13; op1val:-0x9; op2val:-0x8000001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x4, x3, x13, -0x9, -0x8000001, 0x4, 1b, x6, 20,0) + +inst_6: +// rs1==x5, rs2==x12, rs2_val == -67108865, +// opcode: blt, op1:x5; op2:x12; op1val:-0x1; op2val:-0x4000001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x4, x5, x12, -0x1, -0x4000001, 0x2, 1b, x6, 24,0) + +inst_7: +// rs1==x1, rs2==x14, rs2_val == -33554433, +// opcode: blt, op1:x1; op2:x14; op1val:-0x10001; op2val:-0x2000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x4, x1, x14, -0x10001, -0x2000001, 0x6, 1b, x6, 28,0) + +inst_8: +// rs1==x13, rs2==x4, rs2_val == -16777217, rs1_val == 1024 +// opcode: blt, op1:x13; op2:x4; op1val:0x400; op2val:-0x1000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x5, x13, x4, 0x400, -0x1000001, 0x6, 3f, x6, 32,0) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_9: +// rs1==x14, rs2==x8, rs2_val == -8388609, rs1_val == -2049 +// opcode: blt, op1:x14; op2:x8; op1val:-0x801; op2val:-0x800001; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x5, x14, x8, -0x801, -0x800001, 0x8, 3f, x3, 0,0) + +inst_10: +// rs1==x8, rs2==x10, rs2_val == -4194305, +// opcode: blt, op1:x8; op2:x10; op1val:-0x1; op2val:-0x400001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x8, x10, -0x1, -0x400001, 0x2, 1b, x3, 4,0) + +inst_11: +// rs1==x0, rs2==x2, rs2_val == -2097153, +// opcode: blt, op1:x0; op2:x2; op1val:0x0; op2val:-0x200001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x0, x2, 0x0, -0x200001, 0x2, 3f, x3, 8,0) + +inst_12: +// rs1==x4, rs2==x1, rs2_val == -1048577, rs1_val == 67108864 +// opcode: blt, op1:x4; op2:x1; op1val:0x4000000; op2val:-0x100001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x4, x1, 0x4000000, -0x100001, 0x2, 1b, x3, 12,0) + +inst_13: +// rs1==x10, rs2==x6, rs2_val == -524289, rs1_val == -8388609 +// opcode: blt, op1:x10; op2:x6; op1val:-0x800001; op2val:-0x80001; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x5, x10, x6, -0x800001, -0x80001, 0x556, 1b, x3, 16,0) + +inst_14: +// rs1==x15, rs2==x11, rs2_val == -262145, +// opcode: blt, op1:x15; op2:x11; op1val:-0xb503; op2val:-0x40001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x5, x15, x11, -0xb503, -0x40001, 0x200, 3f, x3, 20,0) + +inst_15: +// rs1==x6, rs2==x9, rs2_val == -131073, rs1_val == -1025 +// opcode: blt, op1:x6; op2:x9; op1val:-0x401; op2val:-0x20001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x5, x6, x9, -0x401, -0x20001, 0x4, 3f, x3, 24,0) + +inst_16: +// rs2_val == -65537, rs1_val == 2048 +// opcode: blt, op1:x10; op2:x11; op1val:0x800; op2val:-0x10001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x800, -0x10001, 0x0, 3f, x3, 28,0) + +inst_17: +// rs2_val == -32769, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x8001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x8001, 0x0, 1b, x3, 32,0) + +inst_18: +// rs2_val == -16385, rs1_val == -5 +// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:-0x4001; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, -0x4001, 0x40, 3f, x3, 36,0) + +inst_19: +// rs2_val == -8193, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x2001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x2001, 0x4, 1b, x3, 40,0) + +inst_20: +// rs2_val == -4097, +// opcode: blt, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x1001; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000000, -0x1001, 0x80, 3f, x3, 44,0) + +inst_21: +// rs2_val == -2049, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x801; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x801, 0x6, 3f, x3, 48,0) + +inst_22: +// rs2_val == -1025, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1048577 +// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, -0x401, 0x8, 1b, x3, 52,0) + +inst_23: +// rs2_val == -513, rs1_val == -67108865 +// opcode: blt, op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x201; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x4000001, -0x201, 0x0, 1b, x3, 56,0) + +inst_24: +// rs2_val == -257, rs1_val == -134217729 +// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, -0x101, 0x6, 1b, x3, 60,0) + +inst_25: +// rs2_val == -129, rs1_val == 32 +// opcode: blt, op1:x10; op2:x11; op1val:0x20; op2val:-0x81; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20, -0x81, 0x0, 1b, x3, 64,0) + +inst_26: +// rs2_val == -33, rs1_val == 2 +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x21; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x21, 0x20, 3f, x3, 68,0) + +inst_27: +// rs2_val == -17, +// opcode: blt, op1:x10; op2:x11; op1val:-0x6; op2val:-0x11; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x6, -0x11, 0x100, 3f, x3, 72,0) + +inst_28: +// rs2_val == -9, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x9; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x9, 0x400, 3f, x3, 76,0) + +inst_29: +// rs2_val == -5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x5, 0x6, 3f, x3, 80,0) + +inst_30: +// rs2_val == -3, rs1_val == -268435457 +// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, -0x3, 0x400, 3f, x3, 84,0) + +inst_31: +// rs2_val == -2, rs1_val == -129 +// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:-0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, -0x2, 0x400, 1b, x3, 88,0) + +inst_32: +// rs1_val == 2147483647, rs2_val == 8 +// opcode: blt, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x8; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x7fffffff, 0x8, 0x80, 3f, x3, 92,0) + +inst_33: +// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 134217728 +// opcode: blt, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x8000000; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000001, 0x8000000, 0x40, 3f, x3, 96,0) + +inst_34: +// rs1_val == -536870913, +// opcode: blt, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x20000001, -0x201, 0x4, 3f, x3, 100,0) + +inst_35: +// rs1_val == -33554433, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2000001, -0x55555555, 0x4, 1b, x3, 104,0) + +inst_36: +// rs1_val == -16777217, +// opcode: blt, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x800001; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x1000001, -0x800001, 0x556, 1b, x3, 108,0) + +inst_37: +// rs1_val == -4194305, rs2_val == 1048576 +// opcode: blt, op1:x10; op2:x11; op1val:-0x400001; op2val:0x100000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x400001, 0x100000, 0x6, 3f, x3, 112,0) + +inst_38: +// rs1_val == -2097153, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x8000000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x8000000, 0x0, 3f, x3, 116,0) + +inst_39: +// rs1_val == -524289, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, -0x200001, 0x2, 3f, x3, 120,0) + +inst_40: +// rs1_val == -262145, rs2_val == 524288 +// opcode: blt, op1:x10; op2:x11; op1val:-0x40001; op2val:0x80000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40001, 0x80000, 0x2, 3f, x3, 124,0) + +inst_41: +// rs1_val == -131073, rs2_val == 131072 +// opcode: blt, op1:x10; op2:x11; op1val:-0x20001; op2val:0x20000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x20001, 0x20000, 0x400, 3f, x3, 128,0) + +inst_42: +// rs1_val == -32769, +// opcode: blt, op1:x10; op2:x11; op1val:-0x8001; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8001, -0xb503, 0x4, 1b, x3, 132,0) + +inst_43: +// rs1_val == -16385, +// opcode: blt, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x40001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x4001, -0x40001, 0x2, 3f, x3, 136,0) + +inst_44: +// rs1_val == -8193, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x40000001; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2001, -0x40000001, 0x20, 3f, x3, 140,0) + +inst_45: +// rs1_val == -4097, rs2_val == 256 +// opcode: blt, op1:x10; op2:x11; op1val:-0x1001; op2val:0x100; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x1001, 0x100, 0x4, 3f, x3, 144,0) + +inst_46: +// rs1_val == -513, rs2_val == 1431655765 +// opcode: blt, op1:x10; op2:x11; op1val:-0x201; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x201, 0x55555555, 0x8, 1b, x3, 148,0) + +inst_47: +// rs1_val == -257, +// opcode: blt, op1:x10; op2:x11; op1val:-0x101; op2val:-0x801; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x101, -0x801, 0x2, 3f, x3, 152,0) + +inst_48: +// rs1_val == -65, rs2_val == 2 +// opcode: blt, op1:x10; op2:x11; op1val:-0x41; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x41, 0x2, 0x400, 1b, x3, 156,0) + +inst_49: +// rs1_val == -33, +// opcode: blt, op1:x10; op2:x11; op1val:-0x21; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x21, 0x55555554, 0x80, 3f, x3, 160,0) + +inst_50: +// rs1_val == -17, +// opcode: blt, op1:x10; op2:x11; op1val:-0x11; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x11, 0x66666667, 0x10, 3f, x3, 164,0) + +inst_51: +// rs1_val == -3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x5, 0x100, 3f, x3, 168,0) + +inst_52: +// rs1_val == -2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2; op2val:-0x2001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2, -0x2001, 0x0, 3f, x3, 172,0) + +inst_53: +// rs2_val == -2147483648, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x80000000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x80000000, 0x8, 1b, x3, 176,0) + +inst_54: +// rs2_val == 1073741824, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x40000000, 0x2, 3f, x3, 180,0) + +inst_55: +// rs2_val == 536870912, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20000000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x20000000, 0x2, 1b, x3, 184,0) + +inst_56: +// rs2_val == 268435456, +// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, 0x10000000, 0x6, 3f, x3, 188,0) + +inst_57: +// rs2_val == 67108864, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x4000000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x4000000, 0x400, 3f, x3, 192,0) + +inst_58: +// rs2_val == 33554432, +// opcode: blt, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x9, 0x2000000, 0xa, 1b, x3, 196,0) + +inst_59: +// rs2_val == 16777216, rs1_val == 2097152 +// opcode: blt, op1:x10; op2:x11; op1val:0x200000; op2val:0x1000000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x200000, 0x1000000, 0x100, 3f, x3, 200,0) + +inst_60: +// rs2_val == 8388608, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x800000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x800000, 0x100, 3f, x3, 204,0) + +inst_61: +// rs2_val == 4194304, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x400000; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x400000, 0x20, 3f, x3, 208,0) + +inst_62: +// rs2_val == 2097152, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200000, 0xa, 1b, x3, 212,0) + +inst_63: +// rs2_val == 262144, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x40000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x40000, 0x100, 3f, x3, 216,0) + +inst_64: +// rs2_val == 65536, rs1_val == 8192 +// opcode: blt, op1:x10; op2:x11; op1val:0x2000; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000, 0x10000, 0x6, 3f, x3, 220,0) + +inst_65: +// rs2_val == 32768, +// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x8000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, 0x8000, 0x2, 3f, x3, 224,0) + +inst_66: +// rs2_val == 16384, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4000, 0x400, 3f, x3, 228,0) + +inst_67: +// rs2_val == 8192, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2000; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2000, 0x10, 3f, x3, 232,0) + +inst_68: +// rs2_val == 4096, +// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:0x1000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, 0x1000, 0x6, 3f, x3, 236,0) + +inst_69: +// rs2_val == 2048, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x800; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x800, 0x2, 3f, x3, 240,0) + +inst_70: +// rs2_val == 1024, +// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x400; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, 0x400, 0x556, 1b, x3, 244,0) + +inst_71: +// rs2_val == 512, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200, 0x80, 3f, x3, 248,0) + +inst_72: +// rs2_val == 128, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x80; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x80, 0x40, 3f, x3, 252,0) + +inst_73: +// rs2_val == 64, +// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:0x40; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, 0x40, 0x10, 3f, x3, 256,0) + +inst_74: +// rs2_val == 32, +// opcode: blt, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x20; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3fffffff, 0x20, 0x0, 1b, x3, 260,0) + +inst_75: +// rs2_val == 16, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x10; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x10, 0x100, 3f, x3, 264,0) + +inst_76: +// rs2_val == 4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, 0x4, 0x4, 1b, x3, 268,0) + +inst_77: +// rs2_val == 1, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x1, 0x4, 1b, x3, 272,0) + +inst_78: +// rs1_val == -2147483648, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80000000, -0x2, 0x6, 1b, x3, 276,0) + +inst_79: +// rs1_val == 1073741824, rs2_val == -1431655766 +// opcode: blt, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000000, -0x55555556, 0x20, 3f, x3, 280,0) + +inst_80: +// rs1_val == 536870912, +// opcode: blt, op1:x10; op2:x11; op1val:0x20000000; op2val:0x4000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000000, 0x4000, 0x0, 1b, x3, 284,0) + +inst_81: +// rs1_val == 268435456, +// opcode: blt, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x41; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000000, -0x41, 0xa, 1b, x3, 288,0) + +inst_82: +// rs1_val == 134217728, +// opcode: blt, op1:x10; op2:x11; op1val:0x8000000; op2val:0x8000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000000, 0x8000, 0x0, 3f, x3, 292,0) + +inst_83: +// rs1_val == 33554432, +// opcode: blt, op1:x10; op2:x11; op1val:0x2000000; op2val:0x8000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000000, 0x8000000, 0xa, 1b, x3, 296,0) + +inst_84: +// rs1_val == 16777216, +// opcode: blt, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000000, 0x40000, 0x8, 3f, x3, 300,0) + +inst_85: +// rs1_val == 8388608, +// opcode: blt, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x800000, 0x40000, 0x8, 1b, x3, 304,0) + +inst_86: +// rs1_val == 4194304, +// opcode: blt, op1:x10; op2:x11; op1val:0x400000; op2val:-0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x400000, -0x5, 0x556, 1b, x3, 308,0) + +inst_87: +// rs1_val == 524288, +// opcode: blt, op1:x10; op2:x11; op1val:0x80000; op2val:-0x80000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x80000, -0x80000000, 0xa, 1b, x3, 312,0) + +inst_88: +// rs1_val == 262144, +// opcode: blt, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000, 0x1, 0xa, 1b, x3, 316,0) + +inst_89: +// rs1_val == 131072, +// opcode: blt, op1:x10; op2:x11; op1val:0x20000; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000, 0x33333332, 0x2, 3f, x3, 320,0) + +inst_90: +// rs1_val == 65536, +// opcode: blt, op1:x10; op2:x11; op1val:0x10000; op2val:0x2000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000, 0x2000, 0x100, 3f, x3, 324,0) + +inst_91: +// rs1_val == 32768, +// opcode: blt, op1:x10; op2:x11; op1val:0x8000; op2val:0x4000; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000, 0x4000, 0x40, 3f, x3, 328,0) + +inst_92: +// rs1_val == 16384, +// opcode: blt, op1:x10; op2:x11; op1val:0x4000; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4000, -0x81, 0x4, 3f, x3, 332,0) + +inst_93: +// rs1_val == 4096, +// opcode: blt, op1:x10; op2:x11; op1val:0x1000; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000, 0x2000000, 0xa, 1b, x3, 336,0) + +inst_94: +// rs1_val == 512, +// opcode: blt, op1:x10; op2:x11; op1val:0x200; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x200, -0x201, 0x4, 1b, x3, 340,0) + +inst_95: +// rs1_val == 256, +// opcode: blt, op1:x10; op2:x11; op1val:0x100; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x100, 0x6, 0x556, 1b, x3, 344,0) + +inst_96: +// rs1_val == 128, +// opcode: blt, op1:x10; op2:x11; op1val:0x80; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x80, -0xb503, 0x400, 1b, x3, 348,0) + +inst_97: +// rs1_val == 64, +// opcode: blt, op1:x10; op2:x11; op1val:0x40; op2val:0x10; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40, 0x10, 0x20, 3f, x3, 352,0) + +inst_98: +// rs1_val == 16, +// opcode: blt, op1:x10; op2:x11; op1val:0x10; op2val:-0x4001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10, -0x4001, 0x200, 3f, x3, 356,0) + +inst_99: +// rs1_val == 8, +// opcode: blt, op1:x10; op2:x11; op1val:0x8; op2val:0x40000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8, 0x40000, 0x2, 3f, x3, 360,0) + +inst_100: +// rs1_val == 1, +// opcode: blt, op1:x10; op2:x11; op1val:0x1; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1, 0x2000000, 0xa, 1b, x3, 364,0) + +inst_101: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb505, 0x0, 1b, x3, 368,0) + +inst_102: +// rs1_val==46341 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb503, 0x200, 3f, x3, 372,0) + +inst_103: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666667, 0x8, 3f, x3, 376,0) + +inst_104: +// rs1_val==46341 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x3, 380,0) + +inst_105: +// rs1_val==46341 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x6, 0x6, 1b, x3, 384,0) + +inst_106: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555555, 0x80, 3f, x3, 388,0) + +inst_107: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555556, 0x0, 3f, x3, 392,0) + +inst_108: +// rs1_val==46341 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x4, 0xa, 1b, x3, 396,0) + +inst_109: +// rs1_val==46341 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb503, 0x0, 1b, x3, 400,0) + +inst_110: +// rs1_val==46341 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x0, 0x4, 3f, x3, 404,0) + +inst_111: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666665, 0x20, 3f, x3, 408,0) + +inst_112: +// rs1_val==46341 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333332, 0x400, 1b, x3, 412,0) + +inst_113: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x3, 416,0) + +inst_114: +// rs1_val==46341 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x2, 0x8, 3f, x3, 420,0) + +inst_115: +// rs1_val==46341 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb504, 0x80, 3f, x3, 424,0) + +inst_116: +// rs1_val==46341 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb504, 0x6, 1b, x3, 428,0) + +inst_117: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666666, 0x40, 3f, x3, 432,0) + +inst_118: +// rs1_val==46341 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333333, 0x8, 1b, x3, 436,0) + +inst_119: +// rs1_val==46341 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x5, 0x4, 1b, x3, 440,0) + +inst_120: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555556, 0x2, 3f, x3, 444,0) + +inst_121: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x3, 448,0) + +inst_122: +// rs1_val==46341 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x3, 0x400, 1b, x3, 452,0) + +inst_123: +// rs1_val==-46339 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb505, 0x20, 3f, x3, 456,0) + +inst_124: +// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb503, 0x4, 3f, x3, 460,0) + +inst_125: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666667, 0x556, 1b, x3, 464,0) + +inst_126: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333334, 0x8, 3f, x3, 468,0) + +inst_127: +// rs1_val==-46339 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x6, 0x556, 1b, x3, 472,0) + +inst_128: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555555, 0x2, 1b, x3, 476,0) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x3, 480,0) + +inst_130: +// rs1_val==-46339 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x4, 0x20, 3f, x3, 484,0) + +inst_131: +// rs1_val==-46339 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb503, 0x80, 3f, x3, 488,0) + +inst_132: +// rs1_val==-46339 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x0, 0x8, 1b, x3, 492,0) + +inst_133: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666665, 0x20, 3f, x3, 496,0) + +inst_134: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333332, 0x0, 3f, x3, 500,0) + +inst_135: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555554, 0x200, 3f, x3, 504,0) + +inst_136: +// rs1_val==-46339 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x2, 0xa, 1b, x3, 508,0) + +inst_137: +// rs1_val==-46339 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb504, 0x2, 3f, x3, 512,0) + +inst_138: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb504, 0x8, 1b, x3, 516,0) + +inst_139: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666666, 0x400, 1b, x3, 520,0) + +inst_140: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333333, 0xa, 1b, x3, 524,0) + +inst_141: +// rs1_val==-46339 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x5, 0x0, 1b, x3, 528,0) + +inst_142: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555556, 0x100, 3f, x3, 532,0) + +inst_143: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555555, 0x4, 1b, x3, 536,0) + +inst_144: +// rs1_val==-46339 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x3, 0xa, 1b, x3, 540,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb505, 0x0, 3f, x3, 544,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb503, 0x8, 3f, x3, 548,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666667, 0x556, 1b, x3, 552,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x3, 556,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x6, 0xa, 1b, x3, 560,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555555, 0x40, 3f, x3, 564,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x3, 568,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x4, 0x0, 3f, x3, 572,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb503, 0x4, 3f, x3, 576,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x0, 0x400, 3f, x3, 580,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666665, 0x4, 1b, x3, 584,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333332, 0x2, 1b, x3, 588,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555554, 0x40, 3f, x3, 592,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x2, 0x10, 3f, x3, 596,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb504, 0x400, 3f, x3, 600,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb504, 0x6, 1b, x3, 604,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x3, 608,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333333, 0x4, 3f, x3, 612,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x5, 0x6, 3f, x3, 616,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555556, 0x80, 3f, x3, 620,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 1b, x3, 624,0) + +inst_166: +// rs1_val==1717986919 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x3, 0x0, 3f, x3, 628,0) + +inst_167: +// rs1_val==858993460 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x3, 632,0) + +inst_168: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb503, 0x4, 3f, x3, 636,0) + +inst_169: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x3, 640,0) + +inst_170: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333334, 0x20, 3f, x3, 644,0) + +inst_171: +// rs1_val==858993460 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x6, 0x0, 1b, x3, 648,0) + +inst_172: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555555, 0x400, 3f, x3, 652,0) + +inst_173: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555556, 0x6, 3f, x3, 656,0) + +inst_174: +// rs1_val==858993460 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x4, 0x8, 1b, x3, 660,0) + +inst_175: +// rs1_val==858993460 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb503, 0x2, 3f, x3, 664,0) + +inst_176: +// rs1_val==858993460 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x0, 0x6, 3f, x3, 668,0) + +inst_177: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666665, 0x0, 1b, x3, 672,0) + +inst_178: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333332, 0x2, 1b, x3, 676,0) + +inst_179: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555554, 0x6, 3f, x3, 680,0) + +inst_180: +// rs1_val==858993460 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x2, 0x200, 3f, x3, 684,0) + +inst_181: +// rs1_val==858993460 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb504, 0x4, 3f, x3, 688,0) + +inst_182: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb504, 0x20, 3f, x3, 692,0) + +inst_183: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666666, 0x2, 3f, x3, 696,0) + +inst_184: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333333, 0x4, 1b, x3, 700,0) + +inst_185: +// rs1_val==858993460 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x5, 0x6, 1b, x3, 704,0) + +inst_186: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555556, 0x4, 1b, x3, 708,0) + +inst_187: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555555, 0x80, 3f, x3, 712,0) + +inst_188: +// rs1_val==858993460 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x3, 0x40, 3f, x3, 716,0) + +inst_189: +// rs1_val==6 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb505, 0x556, 1b, x3, 720,0) + +inst_190: +// rs1_val==6 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb503, 0x80, 3f, x3, 724,0) + +inst_191: +// rs1_val==6 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x3, 728,0) + +inst_192: +// rs1_val==6 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333334, 0x400, 3f, x3, 732,0) + +inst_193: +// rs1_val==6 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x6, 0x200, 3f, x3, 736,0) + +inst_194: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555555, 0x0, 1b, x3, 740,0) + +inst_195: +// rs1_val==6 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555556, 0x40, 3f, x3, 744,0) + +inst_196: +// rs1_val==6 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x4, 0x4, 3f, x3, 748,0) + +inst_197: +// rs1_val==6 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb503, 0x2, 3f, x3, 752,0) + +inst_198: +// rs1_val==6 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x0, 0x6, 1b, x3, 756,0) + +inst_199: +// rs1_val==6 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666665, 0xa, 1b, x3, 760,0) + +inst_200: +// rs1_val==6 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x3, 764,0) + +inst_201: +// rs1_val==6 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555554, 0x20, 3f, x3, 768,0) + +inst_202: +// rs1_val==6 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x2, 0x0, 3f, x3, 772,0) + +inst_203: +// rs1_val==6 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x3, 776,0) + +inst_204: +// rs1_val==6 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb504, 0x200, 3f, x3, 780,0) + +inst_205: +// rs1_val==6 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666666, 0x40, 3f, x3, 784,0) + +inst_206: +// rs1_val==6 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333333, 0xa, 1b, x3, 788,0) + +inst_207: +// rs1_val==6 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x5, 0x200, 3f, x3, 792,0) + +inst_208: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555556, 0x0, 3f, x3, 796,0) + +inst_209: +// rs1_val==6 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555555, 0x2, 1b, x3, 800,0) + +inst_210: +// rs1_val==6 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x3, 0x40, 3f, x3, 804,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x3, 808,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb503, 0x2, 1b, x3, 812,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666667, 0x6, 1b, x3, 816,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333334, 0x556, 1b, x3, 820,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x6, 0x10, 3f, x3, 824,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555555, 0x20, 3f, x3, 828,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555556, 0x40, 3f, x3, 832,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x4, 0x8, 1b, x3, 836,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb503, 0x0, 1b, x3, 840,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x0, 0x0, 1b, x3, 844,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666665, 0x20, 3f, x3, 848,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333332, 0x556, 1b, x3, 852,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555554, 0x40, 3f, x3, 856,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x2, 0x400, 1b, x3, 860,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb504, 0x556, 1b, x3, 864,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb504, 0x10, 3f, x3, 868,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666666, 0x6, 3f, x3, 872,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333333, 0x400, 3f, x3, 876,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x5, 0x80, 3f, x3, 880,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555556, 0x4, 3f, x3, 884,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555555, 0x20, 3f, x3, 888,0) + +inst_232: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x3, 0x4, 1b, x3, 892,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb505, 0x80, 3f, x3, 896,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb503, 0x0, 3f, x3, 900,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666667, 0x10, 3f, x3, 904,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333334, 0x40, 3f, x3, 908,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x6, 0x556, 1b, x3, 912,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555555, 0x10, 3f, x3, 916,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x3, 920,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x4, 0x6, 3f, x3, 924,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb503, 0x20, 3f, x3, 928,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x0, 0x556, 1b, x3, 932,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x3, 936,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x3, 940,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555554, 0x8, 3f, x3, 944,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x2, 0xa, 1b, x3, 948,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x3, 952,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb504, 0x40, 3f, x3, 956,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 3f, x3, 960,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x3, 964,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x5, 0x556, 1b, x3, 968,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555556, 0x2, 3f, x3, 972,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555555, 0x100, 3f, x3, 976,0) + +inst_254: +// rs1_val==1431655766 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x3, 980,0) + +inst_255: +// rs1_val==4 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb505, 0x8, 1b, x3, 984,0) + +inst_256: +// rs1_val==4 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb503, 0x0, 1b, x3, 988,0) + +inst_257: +// rs1_val==4 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666667, 0x20, 3f, x3, 992,0) + +inst_258: +// rs1_val==4 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x3, 996,0) + +inst_259: +// rs1_val==4 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x6, 0x2, 1b, x3, 1000,0) + +inst_260: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555555, 0x100, 3f, x3, 1004,0) + +inst_261: +// rs1_val==4 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555556, 0x4, 3f, x3, 1008,0) + +inst_262: +// rs1_val==4 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x4, 0x400, 1b, x3, 1012,0) + +inst_263: +// rs1_val==4 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb503, 0x0, 3f, x3, 1016,0) + +inst_264: +// rs1_val==4 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x0, 0x2, 1b, x3, 1020,0) + +inst_265: +// rs1_val==4 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666665, 0x4, 3f, x3, 1024,0) + +inst_266: +// rs1_val==4 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333332, 0x100, 3f, x3, 1028,0) + +inst_267: +// rs1_val==4 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555554, 0x2, 1b, x3, 1032,0) + +inst_268: +// rs1_val==4 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x2, 0x6, 1b, x3, 1036,0) + +inst_269: +// rs1_val==4 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb504, 0x20, 3f, x3, 1040,0) + +inst_270: +// rs1_val==4 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb504, 0x400, 1b, x3, 1044,0) + +inst_271: +// rs1_val==4 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666666, 0x10, 3f, x3, 1048,0) + +inst_272: +// rs1_val==4 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333333, 0x2, 3f, x3, 1052,0) + +inst_273: +// rs1_val==4 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x3, 1056,0) + +inst_274: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555556, 0x2, 3f, x3, 1060,0) + +inst_275: +// rs1_val==4 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555555, 0x8, 1b, x3, 1064,0) + +inst_276: +// rs1_val==4 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x3, 0x556, 1b, x3, 1068,0) + +inst_277: +// rs1_val==46339 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb505, 0x2, 3f, x3, 1072,0) + +inst_278: +// rs1_val==46339 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb503, 0x6, 3f, x3, 1076,0) + +inst_279: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x3, 1080,0) + +inst_280: +// rs1_val==46339 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333334, 0x400, 3f, x3, 1084,0) + +inst_281: +// rs1_val==46339 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x3, 1088,0) + +inst_282: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555555, 0x20, 3f, x3, 1092,0) + +inst_283: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x3, 1096,0) + +inst_284: +// rs1_val==46339 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x4, 0x100, 3f, x3, 1100,0) + +inst_285: +// rs1_val==46339 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb503, 0x400, 3f, x3, 1104,0) + +inst_286: +// rs1_val==46339 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x0, 0x200, 3f, x3, 1108,0) + +inst_287: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666665, 0x4, 3f, x3, 1112,0) + +inst_288: +// rs1_val==46339 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333332, 0x4, 1b, x3, 1116,0) + +inst_289: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555554, 0x40, 3f, x3, 1120,0) + +inst_290: +// rs1_val==46339 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x2, 0x80, 3f, x3, 1124,0) + +inst_291: +// rs1_val==46339 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb504, 0x8, 3f, x3, 1128,0) + +inst_292: +// rs1_val==46339 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb504, 0x10, 3f, x3, 1132,0) + +inst_293: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x3, 1136,0) + +inst_294: +// rs1_val==46339 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333333, 0x200, 3f, x3, 1140,0) + +inst_295: +// rs1_val==46339 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x5, 0x200, 3f, x3, 1144,0) + +inst_296: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555556, 0x2, 1b, x3, 1148,0) + +inst_297: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x3, 1152,0) + +inst_298: +// rs1_val==46339 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x3, 0x2, 3f, x3, 1156,0) + +inst_299: +// rs1_val==0 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb505, 0x0, 3f, x3, 1160,0) + +inst_300: +// rs1_val==0 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb503, 0x4, 1b, x3, 1164,0) + +inst_301: +// rs1_val==0 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666667, 0x6, 1b, x3, 1168,0) + +inst_302: +// rs1_val==0 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333334, 0x80, 3f, x3, 1172,0) + +inst_303: +// rs1_val==0 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x6, 0x0, 1b, x3, 1176,0) + +inst_304: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555555, 0x0, 1b, x3, 1180,0) + +inst_305: +// rs1_val==0 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555556, 0x8, 1b, x3, 1184,0) + +inst_306: +// rs1_val==0 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x4, 0x80, 3f, x3, 1188,0) + +inst_307: +// rs1_val==0 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb503, 0x8, 3f, x3, 1192,0) + +inst_308: +// rs1_val==0 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x0, 0x4, 1b, x3, 1196,0) + +inst_309: +// rs1_val==0 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666665, 0x10, 3f, x3, 1200,0) + +inst_310: +// rs1_val==0 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333332, 0x0, 3f, x3, 1204,0) + +inst_311: +// rs1_val==0 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555554, 0x40, 3f, x3, 1208,0) + +inst_312: +// rs1_val==0 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x2, 0x20, 3f, x3, 1212,0) + +inst_313: +// rs1_val==0 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb504, 0x100, 3f, x3, 1216,0) + +inst_314: +// rs1_val==0 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb504, 0x556, 1b, x3, 1220,0) + +inst_315: +// rs1_val==0 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666666, 0x8, 1b, x3, 1224,0) + +inst_316: +// rs1_val==0 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333333, 0x4, 3f, x3, 1228,0) + +inst_317: +// rs1_val==0 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x5, 0x20, 3f, x3, 1232,0) + +inst_318: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x3, 1236,0) + +inst_319: +// rs1_val==0 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555555, 0x4, 1b, x3, 1240,0) + +inst_320: +// rs1_val==0 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x3, 0x400, 3f, x3, 1244,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb505, 0x100, 3f, x3, 1248,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb503, 0x400, 1b, x3, 1252,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666667, 0x2, 3f, x3, 1256,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333334, 0x400, 3f, x3, 1260,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x6, 0x10, 3f, x3, 1264,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 1b, x3, 1268,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555556, 0xa, 1b, x3, 1272,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x4, 0x4, 3f, x3, 1276,0) + +inst_329: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x3, 1280,0) + +inst_330: +// rs1_val==1717986917 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x0, 0x2, 3f, x3, 1284,0) + +inst_331: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x3, 1288,0) + +inst_332: +// rs1_val==858993459 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x0, 0x0, 3f, x3, 1292,0) + +inst_333: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x3, 1296,0) + +inst_334: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x3, 1300,0) + +inst_335: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555554, 0x400, 3f, x3, 1304,0) + +inst_336: +// rs1_val==858993459 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x2, 0x10, 3f, x3, 1308,0) + +inst_337: +// rs1_val==858993459 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x3, 1312,0) + +inst_338: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb504, 0x80, 3f, x3, 1316,0) + +inst_339: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x3, 1320,0) + +inst_340: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x3, 1324,0) + +inst_341: +// rs1_val==858993459 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x5, 0x6, 1b, x3, 1328,0) + +inst_342: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x3, 1332,0) + +inst_343: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x3, 1336,0) + +inst_344: +// rs1_val==858993459 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x3, 0x400, 3f, x3, 1340,0) + +inst_345: +// rs1_val==5 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb505, 0x4, 1b, x3, 1344,0) + +inst_346: +// rs1_val==5 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb503, 0x2, 1b, x3, 1348,0) + +inst_347: +// rs1_val==5 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666667, 0x4, 3f, x3, 1352,0) + +inst_348: +// rs1_val==5 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333334, 0x4, 1b, x3, 1356,0) + +inst_349: +// rs1_val==5 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x6, 0x2, 1b, x3, 1360,0) + +inst_350: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555555, 0x400, 3f, x3, 1364,0) + +inst_351: +// rs1_val==5 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555556, 0x6, 1b, x3, 1368,0) + +inst_352: +// rs1_val==5 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x4, 0x6, 3f, x3, 1372,0) + +inst_353: +// rs1_val==5 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x3, 1376,0) + +inst_354: +// rs1_val==5 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x0, 0x400, 3f, x3, 1380,0) + +inst_355: +// rs1_val==5 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666665, 0x400, 3f, x3, 1384,0) + +inst_356: +// rs1_val==5 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333332, 0x0, 3f, x3, 1388,0) + +inst_357: +// rs1_val==5 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555554, 0x2, 1b, x3, 1392,0) + +inst_358: +// rs1_val==5 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x2, 0x20, 3f, x3, 1396,0) + +inst_359: +// rs1_val==5 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb504, 0x40, 3f, x3, 1400,0) + +inst_360: +// rs1_val==5 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb504, 0x4, 1b, x3, 1404,0) + +inst_361: +// rs1_val==5 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666666, 0x0, 3f, x3, 1408,0) + +inst_362: +// rs1_val==5 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333333, 0x556, 1b, x3, 1412,0) + +inst_363: +// rs1_val==5 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x5, 0x200, 3f, x3, 1416,0) + +inst_364: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555556, 0x400, 3f, x3, 1420,0) + +inst_365: +// rs1_val==5 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555555, 0x400, 1b, x3, 1424,0) + +inst_366: +// rs1_val==5 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x3, 0x4, 3f, x3, 1428,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x3, 1432,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb503, 0x8, 1b, x3, 1436,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666667, 0x6, 1b, x3, 1440,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333334, 0xa, 1b, x3, 1444,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x6, 0x4, 3f, x3, 1448,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555555, 0x200, 3f, x3, 1452,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555556, 0x80, 3f, x3, 1456,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x3, 1460,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb503, 0x0, 1b, x3, 1464,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x0, 0xa, 1b, x3, 1468,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666665, 0x556, 1b, x3, 1472,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333332, 0x20, 3f, x3, 1476,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555554, 0x8, 1b, x3, 1480,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x2, 0x6, 3f, x3, 1484,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x3, 1488,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb504, 0x556, 1b, x3, 1492,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666666, 0x556, 1b, x3, 1496,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333333, 0x20, 3f, x3, 1500,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x5, 0x0, 3f, x3, 1504,0) + +inst_386: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555556, 0x6, 3f, x3, 1508,0) + +inst_387: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555555, 0x2, 1b, x3, 1512,0) + +inst_388: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x3, 0xa, 1b, x3, 1516,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb505, 0x4, 3f, x3, 1520,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x3, 1524,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x3, 1528,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x3, 1532,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x6, 0x4, 1b, x3, 1536,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555555, 0x4, 1b, x3, 1540,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555556, 0x8, 1b, x3, 1544,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x4, 0x10, 3f, x3, 1548,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb503, 0x400, 1b, x3, 1552,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x0, 0x556, 1b, x3, 1556,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666665, 0x80, 3f, x3, 1560,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333332, 0x10, 3f, x3, 1564,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555554, 0x200, 3f, x3, 1568,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x2, 0x0, 3f, x3, 1572,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb504, 0x10, 3f, x3, 1576,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb504, 0x8, 3f, x3, 1580,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666666, 0x200, 3f, x3, 1584,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333333, 0x400, 3f, x3, 1588,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x5, 0x8, 1b, x3, 1592,0) + +inst_408: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555556, 0x200, 3f, x3, 1596,0) + +inst_409: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555555, 0x4, 3f, x3, 1600,0) + +inst_410: +// rs1_val==1431655765 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x3, 0x400, 3f, x3, 1604,0) + +inst_411: +// rs1_val==3 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb505, 0x6, 3f, x3, 1608,0) + +inst_412: +// rs1_val==3 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb503, 0xa, 1b, x3, 1612,0) + +inst_413: +// rs1_val==3 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666667, 0x20, 3f, x3, 1616,0) + +inst_414: +// rs1_val==3 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333334, 0x8, 1b, x3, 1620,0) + +inst_415: +// rs1_val==3 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x6, 0x100, 3f, x3, 1624,0) + +inst_416: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x3, 1628,0) + +inst_417: +// rs1_val==3 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555556, 0x10, 3f, x3, 1632,0) + +inst_418: +// rs1_val==3 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x4, 0x8, 3f, x3, 1636,0) + +inst_419: +// rs1_val==3 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb503, 0x4, 3f, x3, 1640,0) + +inst_420: +// rs1_val==3 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x0, 0x2, 1b, x3, 1644,0) + +inst_421: +// rs1_val==3 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666665, 0x400, 1b, x3, 1648,0) + +inst_422: +// rs1_val==3 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333332, 0x200, 3f, x3, 1652,0) + +inst_423: +// rs1_val==3 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555554, 0x0, 3f, x3, 1656,0) + +inst_424: +// rs1_val==3 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2, 0x200, 3f, x3, 1660,0) + +inst_425: +// rs1_val==3 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb504, 0x556, 1b, x3, 1664,0) + +inst_426: +// rs1_val==3 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb504, 0x40, 3f, x3, 1668,0) + +inst_427: +// rs1_val==3 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666666, 0x4, 1b, x3, 1672,0) + +inst_428: +// rs1_val==3 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333333, 0x0, 1b, x3, 1676,0) + +inst_429: +// rs1_val==3 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x5, 0x4, 3f, x3, 1680,0) + +inst_430: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555556, 0xa, 1b, x3, 1684,0) + +inst_431: +// rs1_val==3 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555555, 0x556, 1b, x3, 1688,0) + +inst_432: +// rs1_val==3 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x3, 0x6, 3f, x3, 1692,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333332, 0x0, 1b, x3, 1696,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555554, 0x8, 3f, x3, 1700,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x2, 0x8, 1b, x3, 1704,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb504, 0x20, 3f, x3, 1708,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x3, 1712,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666666, 0x400, 1b, x3, 1716,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333333, 0x8, 1b, x3, 1720,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x5, 0x8, 1b, x3, 1724,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555556, 0x20, 3f, x3, 1728,0) + +inst_442: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 1b, x3, 1732,0) + +inst_443: +// rs1_val==1717986917 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x3, 0x0, 3f, x3, 1736,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb505, 0x8, 1b, x3, 1740,0) + +inst_445: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb503, 0x20, 3f, x3, 1744,0) + +inst_446: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666667, 0x400, 1b, x3, 1748,0) + +inst_447: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333334, 0x0, 1b, x3, 1752,0) + +inst_448: +// rs1_val==858993458 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x3, 1756,0) + +inst_449: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x3, 1760,0) + +inst_450: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x3, 1764,0) + +inst_451: +// rs1_val==858993458 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x4, 0x4, 1b, x3, 1768,0) + +inst_452: +// rs1_val==858993458 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb503, 0x0, 1b, x3, 1772,0) + +inst_453: +// rs1_val==858993458 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x0, 0x8, 3f, x3, 1776,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666665, 0x400, 3f, x3, 1780,0) + +inst_455: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333332, 0x8, 3f, x3, 1784,0) + +inst_456: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x3, 1788,0) + +inst_457: +// rs1_val==858993458 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x2, 0x4, 1b, x3, 1792,0) + +inst_458: +// rs1_val==858993458 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x3, 1796,0) + +inst_459: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb504, 0x10, 3f, x3, 1800,0) + +inst_460: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666666, 0x200, 3f, x3, 1804,0) + +inst_461: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333333, 0x6, 3f, x3, 1808,0) + +inst_462: +// rs1_val==858993458 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x5, 0x400, 1b, x3, 1812,0) + +inst_463: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555556, 0xa, 1b, x3, 1816,0) + +inst_464: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555555, 0x6, 3f, x3, 1820,0) + +inst_465: +// rs1_val==858993458 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x3, 1824,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb505, 0x40, 3f, x3, 1828,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb503, 0x40, 3f, x3, 1832,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666667, 0x200, 3f, x3, 1836,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x3, 1840,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x6, 0x400, 1b, x3, 1844,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x3, 1848,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555556, 0x40, 3f, x3, 1852,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x4, 0x0, 3f, x3, 1856,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb503, 0x0, 1b, x3, 1860,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x0, 0x10, 3f, x3, 1864,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666665, 0x20, 3f, x3, 1868,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x3, 1872,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555554, 0x400, 1b, x3, 1876,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x2, 0x200, 3f, x3, 1880,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x3, 1884,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb504, 0x0, 3f, x3, 1888,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666666, 0x4, 1b, x3, 1892,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 3f, x3, 1896,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x5, 0x100, 3f, x3, 1900,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555556, 0x0, 1b, x3, 1904,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x3, 1908,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x3, 0x20, 3f, x3, 1912,0) + +inst_488: +// rs1_val==2 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb505, 0x2, 3f, x3, 1916,0) + +inst_489: +// rs1_val==2 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb503, 0x2, 3f, x3, 1920,0) + +inst_490: +// rs1_val==2 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666667, 0x4, 1b, x3, 1924,0) + +inst_491: +// rs1_val==2 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333334, 0x10, 3f, x3, 1928,0) + +inst_492: +// rs1_val==2 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x6, 0xa, 1b, x3, 1932,0) + +inst_493: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555555, 0x4, 3f, x3, 1936,0) + +inst_494: +// rs1_val==2 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555556, 0x2, 1b, x3, 1940,0) + +inst_495: +// rs1_val==2 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x4, 0x8, 1b, x3, 1944,0) + +inst_496: +// rs1_val==2 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb503, 0x4, 1b, x3, 1948,0) + +inst_497: +// rs1_val==2 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x0, 0x6, 1b, x3, 1952,0) + +inst_498: +// rs1_val==2 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666665, 0x40, 3f, x3, 1956,0) + +inst_499: +// rs1_val==2 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333332, 0x400, 3f, x3, 1960,0) + +inst_500: +// rs1_val==2 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555554, 0x40, 3f, x3, 1964,0) + +inst_501: +// rs1_val==2 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x2, 0x2, 3f, x3, 1968,0) + +inst_502: +// rs1_val==2 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb504, 0x4, 1b, x3, 1972,0) + +inst_503: +// rs1_val==2 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb504, 0x8, 3f, x3, 1976,0) + +inst_504: +// rs1_val==2 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666666, 0x200, 3f, x3, 1980,0) + +inst_505: +// rs1_val==2 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333333, 0x0, 3f, x3, 1984,0) + +inst_506: +// rs1_val==2 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x5, 0x8, 1b, x3, 1988,0) + +inst_507: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555556, 0x40, 3f, x3, 1992,0) + +inst_508: +// rs1_val==2 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555555, 0x2, 3f, x3, 1996,0) + +inst_509: +// rs1_val==2 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x3, 0x100, 3f, x3, 2000,0) + +inst_510: +// rs1_val==46340 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb505, 0x4, 3f, x3, 2004,0) + +inst_511: +// rs1_val==46340 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb503, 0x100, 3f, x3, 2008,0) + +inst_512: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x3, 2012,0) + +inst_513: +// rs1_val==46340 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333334, 0x6, 1b, x3, 2016,0) + +inst_514: +// rs1_val==46340 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x6, 0x2, 1b, x3, 2020,0) + +inst_515: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555555, 0x6, 3f, x3, 2024,0) + +inst_516: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555556, 0x8, 1b, x3, 2028,0) + +inst_517: +// rs1_val==46340 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x3, 2032,0) + +inst_518: +// rs1_val==46340 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb503, 0x6, 1b, x3, 2036,0) + +inst_519: +// rs1_val==46340 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x0, 0x40, 3f, x3, 2040,0) + +inst_520: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666665, 0x4, 3f, x3, 2044,0) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_521: +// rs1_val==46340 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333332, 0xa, 1b, x3, 0,0) + +inst_522: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555554, 0x556, 1b, x3, 4,0) + +inst_523: +// rs1_val==46340 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x2, 0x8, 1b, x3, 8,0) + +inst_524: +// rs1_val==46340 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb504, 0xa, 1b, x3, 12,0) + +inst_525: +// rs1_val==46340 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb504, 0x0, 1b, x3, 16,0) + +inst_526: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666666, 0x6, 1b, x3, 20,0) + +inst_527: +// rs1_val==46340 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333333, 0xa, 1b, x3, 24,0) + +inst_528: +// rs1_val==46340 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x5, 0x80, 3f, x3, 28,0) + +inst_529: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555556, 0x80, 3f, x3, 32,0) + +inst_530: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555555, 0x6, 3f, x3, 36,0) + +inst_531: +// rs1_val==46340 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x3, 0x80, 3f, x3, 40,0) + +inst_532: +// rs1_val==-46340 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb505, 0x0, 1b, x3, 44,0) + +inst_533: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb503, 0x10, 3f, x3, 48,0) + +inst_534: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666667, 0x8, 3f, x3, 52,0) + +inst_535: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333334, 0xa, 1b, x3, 56,0) + +inst_536: +// rs1_val==-46340 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x6, 0xa, 1b, x3, 60,0) + +inst_537: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x3, 64,0) + +inst_538: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555556, 0x400, 3f, x3, 68,0) + +inst_539: +// rs1_val==-46340 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x4, 0x80, 3f, x3, 72,0) + +inst_540: +// rs1_val==-46340 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb503, 0x8, 3f, x3, 76,0) + +inst_541: +// rs1_val==-46340 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x3, 80,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x3, 84,0) + +inst_543: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333332, 0x400, 1b, x3, 88,0) + +inst_544: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555554, 0x2, 3f, x3, 92,0) + +inst_545: +// rs1_val==-46340 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x2, 0x100, 3f, x3, 96,0) + +inst_546: +// rs1_val==-46340 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb504, 0x2, 1b, x3, 100,0) + +inst_547: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb504, 0x200, 3f, x3, 104,0) + +inst_548: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666666, 0x4, 3f, x3, 108,0) + +inst_549: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333333, 0x556, 1b, x3, 112,0) + +inst_550: +// rs1_val==-46340 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x5, 0x40, 3f, x3, 116,0) + +inst_551: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555556, 0x0, 1b, x3, 120,0) + +inst_552: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555555, 0x10, 3f, x3, 124,0) + +inst_553: +// rs1_val==-46340 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x3, 128,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x3, 132,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb503, 0xa, 1b, x3, 136,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666667, 0x400, 1b, x3, 140,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333334, 0x200, 3f, x3, 144,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x6, 0x0, 1b, x3, 148,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555555, 0x40, 3f, x3, 152,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x3, 156,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4, 0x400, 3f, x3, 160,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb503, 0x80, 3f, x3, 164,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x3, 168,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666665, 0x4, 1b, x3, 172,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333332, 0x10, 3f, x3, 176,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x3, 180,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x2, 0x2, 3f, x3, 184,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb504, 0x400, 1b, x3, 188,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb504, 0x400, 1b, x3, 192,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666666, 0x6, 3f, x3, 196,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333333, 0x8, 3f, x3, 200,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x5, 0x556, 1b, x3, 204,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555556, 0x8, 1b, x3, 208,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555555, 0x0, 1b, x3, 212,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x3, 0x8, 1b, x3, 216,0) + +inst_576: +// rs1_val==858993459 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb505, 0x10, 3f, x3, 220,0) + +inst_577: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb503, 0x80, 3f, x3, 224,0) + +inst_578: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666667, 0x556, 1b, x3, 228,0) + +inst_579: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333334, 0x2, 1b, x3, 232,0) + +inst_580: +// rs1_val==858993459 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x6, 0x2, 1b, x3, 236,0) + +inst_581: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555555, 0x400, 1b, x3, 240,0) + +inst_582: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x3, 244,0) + +inst_583: +// rs1_val==858993459 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x4, 0x20, 3f, x3, 248,0) + +inst_584: +// rs1_val==858993459 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb503, 0x80, 3f, x3, 252,0) + +inst_585: +// rs2_val == -268435457, rs1_val == 1048576 +// opcode: blt, op1:x10; op2:x11; op1val:0x100000; op2val:-0x10000001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x100000, -0x10000001, 0x200, 3f, x3, 256,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x6_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x6_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 65*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S new file mode 100644 index 000000000..f8042b147 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S @@ -0,0 +1,3715 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bltu instruction of the RISC-V E extension for the bltu covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bltu) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 == rs2, rs1==x4, rs2==x4, rs1_val > 0 and rs2_val > 0, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs2_val == 512 +// opcode: bltu, op1:x4; op2:x4; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x4, x4, 0xdfffffff, 0xdfffffff, 0x6, 3f, x1, 0,0) + +inst_1: +// rs1 != rs2, rs1==x5, rs2==x6, rs2_val == 2147483647, rs1_val == 268435456, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 +// opcode: bltu, op1:x5; op2:x6; op1val:0x10000000; op2val:0x7fffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x5, x6, 0x10000000, 0x7fffffff, 0x6, 3f, x1, 4,0) + +inst_2: +// rs1==x15, rs2==x8, rs2_val == 3221225471, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 +// opcode: bltu, op1:x15; op2:x8; op1val:0xdfffffff; op2val:0xbfffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x7, x15, x8, 0xdfffffff, 0xbfffffff, 0x8, 1b, x1, 8,0) + +inst_3: +// rs1==x13, rs2==x15, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 +// opcode: bltu, op1:x13; op2:x15; op1val:0x3; op2val:0xdfffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x7, x13, x15, 0x3, 0xdfffffff, 0x0, 1b, x1, 12,0) + +inst_4: +// rs1==x2, rs2==x14, rs2_val == 4026531839, rs1_val == 134217728 +// opcode: bltu, op1:x2; op2:x14; op1val:0x8000000; op2val:0xefffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x2, x14, 0x8000000, 0xefffffff, 0x6, 1b, x1, 16,0) + +inst_5: +// rs1==x9, rs2==x11, rs2_val == 4160749567, +// opcode: bltu, op1:x9; op2:x11; op1val:0x66666665; op2val:0xf7ffffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x7, x9, x11, 0x66666665, 0xf7ffffff, 0x4, 1b, x1, 20,0) + +inst_6: +// rs1==x3, rs2==x2, rs2_val == 4227858431, +// opcode: bltu, op1:x3; op2:x2; op1val:0xffffffff; op2val:0xfbffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x7, x3, x2, 0xffffffff, 0xfbffffff, 0x0, 1b, x1, 24,0) + +inst_7: +// rs1==x7, rs2==x5, rs2_val == 4261412863, rs1_val == 2 +// opcode: bltu, op1:x7; op2:x5; op1val:0x2; op2val:0xfdffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x4, x7, x5, 0x2, 0xfdffffff, 0x6, 3f, x1, 28,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x6, rs2==x12, rs2_val == 4278190079, +// opcode: bltu, op1:x6; op2:x12; op1val:0x66666665; op2val:0xfeffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x4, x6, x12, 0x66666665, 0xfeffffff, 0x8, 3f, x2, 0,0) + +inst_9: +// rs1==x1, rs2==x9, rs2_val == 4286578687, rs1_val == 4294967167 +// opcode: bltu, op1:x1; op2:x9; op1val:0xffffff7f; op2val:0xff7fffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x4, x1, x9, 0xffffff7f, 0xff7fffff, 0x4, 3f, x2, 4,0) + +inst_10: +// rs1==x12, rs2==x10, rs2_val == 4290772991, rs1_val == 2147483648 +// opcode: bltu, op1:x12; op2:x10; op1val:0x80000000; op2val:0xffbfffff; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x4, x12, x10, 0x80000000, 0xffbfffff, 0x20, 3f, x2, 8,0) + +inst_11: +// rs1==x14, rs2==x13, rs2_val == 4292870143, +// opcode: bltu, op1:x14; op2:x13; op1val:0x0; op2val:0xffdfffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x4, x14, x13, 0x0, 0xffdfffff, 0x0, 3f, x2, 12,0) + +inst_12: +// rs1==x10, rs2==x7, rs2_val == 4293918719, +// opcode: bltu, op1:x10; op2:x7; op1val:0xffffff7f; op2val:0xffefffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x4, x10, x7, 0xffffff7f, 0xffefffff, 0x100, 3f, x2, 16,0) + +inst_13: +// rs1==x0, rs2==x3, rs2_val == 4294443007, rs1_val == 4290772991 +// opcode: bltu, op1:x0; op2:x3; op1val:0x0; op2val:0xfff7ffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x4, x0, x3, 0x0, 0xfff7ffff, 0x6, 1b, x2, 20,0) + +inst_14: +// rs1==x11, rs2==x0, rs2_val == 4294705151, +// opcode: bltu, op1:x11; op2:x0; op1val:0x9; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x4, x11, x0, 0x9, 0x0, 0x40, 3f, x2, 24,0) + +inst_15: +// rs1==x8, rs2==x1, rs2_val == 4294836223, rs1_val == 4294836223 +// opcode: bltu, op1:x8; op2:x1; op1val:0xfffdffff; op2val:0xfffdffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x8, x1, 0xfffdffff, 0xfffdffff, 0x0, 3f, x2, 28,0) + +inst_16: +// rs2_val == 4294901759, rs1_val == 2147483647 +// opcode: bltu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffeffff; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7fffffff, 0xfffeffff, 0x556, 1b, x2, 32,0) + +inst_17: +// rs2_val == 4294934527, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff7fff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff7fff, 0x8, 3f, x2, 36,0) + +inst_18: +// rs2_val == 4294950911, rs1_val == 4026531839 +// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0xffffbfff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0xffffbfff, 0x80, 3f, x2, 40,0) + +inst_19: +// rs2_val == 4294959103, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0, rs1_val == 4294959103 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xffffdfff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0xffffdfff, 0x8, 1b, x2, 44,0) + +inst_20: +// rs2_val == 4294963199, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xffffefff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000000, 0xffffefff, 0x0, 1b, x2, 48,0) + +inst_21: +// rs2_val == 4294965247, rs1_val == 8192 +// opcode: bltu, op1:x10; op2:x11; op1val:0x2000; op2val:0xfffff7ff; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000, 0xfffff7ff, 0xa, 1b, x2, 52,0) + +inst_22: +// rs2_val == 4294966271, rs1_val == 1 +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffffbff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffffbff, 0x8, 1b, x2, 56,0) + +inst_23: +// rs2_val == 4294966783, +// opcode: bltu, op1:x10; op2:x11; op1val:0x12; op2val:0xfffffdff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x12, 0xfffffdff, 0x10, 3f, x2, 60,0) + +inst_24: +// rs2_val == 4294967039, rs1_val == 16 +// opcode: bltu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10, 0xfffffeff, 0x4, 3f, x2, 64,0) + +inst_25: +// rs2_val == 4294967167, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffffff7f; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffffff7f, 0x40, 3f, x2, 68,0) + +inst_26: +// rs2_val == 4294967231, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffffffbf; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffffffbf, 0x6, 1b, x2, 72,0) + +inst_27: +// rs2_val == 4294967263, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffffffdf; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffffffdf, 0x8, 3f, x2, 76,0) + +inst_28: +// rs2_val == 4294967279, rs1_val == 64 +// opcode: bltu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffffef; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40, 0xffffffef, 0x6, 3f, x2, 80,0) + +inst_29: +// rs2_val == 4294967287, +// opcode: bltu, op1:x10; op2:x11; op1val:0xe; op2val:0xfffffff7; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xe, 0xfffffff7, 0x40, 3f, x2, 84,0) + +inst_30: +// rs2_val == 4294967291, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffffffb; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffffffb, 0x2, 3f, x2, 88,0) + +inst_31: +// rs2_val == 4294967293, rs1_val == 131072 +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0xfffffffd; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0xfffffffd, 0x80, 3f, x2, 92,0) + +inst_32: +// rs2_val == 4294967294, +// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0xfffffffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0xfffffffe, 0x2, 1b, x2, 96,0) + +inst_33: +// rs1_val == 3221225471, rs2_val == 8192 +// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x2000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x2000, 0x4, 3f, x2, 100,0) + +inst_34: +// rs1_val == 4160749567, rs2_val == 67108864 +// opcode: bltu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf7ffffff, 0x4000000, 0x4, 1b, x2, 104,0) + +inst_35: +// rs1_val == 4227858431, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfbffffff, 0x55555556, 0x6, 1b, x2, 108,0) + +inst_36: +// rs1_val == 4261412863, rs2_val == 1431655765 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfdffffff; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfdffffff, 0x55555555, 0x40, 3f, x2, 112,0) + +inst_37: +// rs1_val == 4278190079, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfeffffff, 0xffff, 0x8, 3f, x2, 116,0) + +inst_38: +// rs1_val == 4286578687, +// opcode: bltu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0x200; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xff7fffff, 0x200, 0x4, 3f, x2, 120,0) + +inst_39: +// rs1_val == 4292870143, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffdfffff, 0x9, 0x2, 1b, x2, 124,0) + +inst_40: +// rs1_val == 4293918719, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x7fffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x7fffffff, 0xa, 1b, x2, 128,0) + +inst_41: +// rs1_val == 4294443007, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x55555555, 0x0, 3f, x2, 132,0) + +inst_42: +// rs1_val == 4294705151, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfff7ffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffbffff, 0xfff7ffff, 0x40, 3f, x2, 136,0) + +inst_43: +// rs1_val == 4294901759, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffeffff, 0x5, 0x4, 3f, x2, 140,0) + +inst_44: +// rs1_val == 4294934527, rs2_val == 256 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x100; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff7fff, 0x100, 0x10, 3f, x2, 144,0) + +inst_45: +// rs1_val == 4294950911, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffbfff, 0x33333334, 0x40, 3f, x2, 148,0) + +inst_46: +// rs1_val == 4294963199, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x55555556, 0x0, 1b, x2, 152,0) + +inst_47: +// rs1_val == 4294965247, rs2_val == 8 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x8; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffff7ff, 0x8, 0x556, 1b, x2, 156,0) + +inst_48: +// rs1_val == 4294966271, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xfffdffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffbff, 0xfffdffff, 0x100, 3f, x2, 160,0) + +inst_49: +// rs1_val == 4294966783, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x8; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffdff, 0x8, 0x4, 3f, x2, 164,0) + +inst_50: +// rs1_val == 4294967039, rs2_val == 131072 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x20000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffeff, 0x20000, 0x6, 3f, x2, 168,0) + +inst_51: +// rs1_val == 4294967231, rs2_val == 64 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0x40; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffbf, 0x40, 0x0, 3f, x2, 172,0) + +inst_52: +// rs1_val == 4294967263, rs2_val == 2863311530 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xaaaaaaaa; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffdf, 0xaaaaaaaa, 0x100, 3f, x2, 176,0) + +inst_53: +// rs1_val == 4294967279, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x11; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffef, 0x11, 0x556, 1b, x2, 180,0) + +inst_54: +// rs1_val == 4294967287, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffff7, 0x33333332, 0x0, 1b, x2, 184,0) + +inst_55: +// rs1_val == 4294967291, rs2_val == 2 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffb, 0x2, 0x100, 3f, x2, 188,0) + +inst_56: +// rs1_val == 4294967293, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffd, 0xfffe, 0x100, 3f, x2, 192,0) + +inst_57: +// rs1_val == 4294967294, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffe, 0x66666667, 0x200, 3f, x2, 196,0) + +inst_58: +// rs2_val == 2147483648, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x80000000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x80000000, 0x6, 3f, x2, 200,0) + +inst_59: +// rs2_val == 1073741824, +// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x40000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x40000000, 0x4, 3f, x2, 204,0) + +inst_60: +// rs2_val == 536870912, rs1_val == 4 +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x20000000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x20000000, 0x0, 3f, x2, 208,0) + +inst_61: +// rs2_val == 268435456, +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0x10000000; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0x10000000, 0x80, 3f, x2, 212,0) + +inst_62: +// rs2_val == 134217728, +// opcode: bltu, op1:x10; op2:x11; op1val:0x11; op2val:0x8000000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x11, 0x8000000, 0x8, 1b, x2, 216,0) + +inst_63: +// rs2_val == 33554432, rs1_val == 536870912 +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x2000000; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000000, 0x2000000, 0x556, 1b, x2, 220,0) + +inst_64: +// rs2_val == 16777216, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x1000000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffdffff, 0x1000000, 0x400, 1b, x2, 224,0) + +inst_65: +// rs2_val == 8388608, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x800000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x800000, 0x0, 3f, x2, 228,0) + +inst_66: +// rs2_val == 4194304, +// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x400000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0x400000, 0x4, 1b, x2, 232,0) + +inst_67: +// rs2_val == 2097152, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x200000; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x200000, 0x20, 3f, x2, 236,0) + +inst_68: +// rs2_val == 1048576, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x100000; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x100000, 0x556, 1b, x2, 240,0) + +inst_69: +// rs2_val == 524288, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x80000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x80000, 0x6, 3f, x2, 244,0) + +inst_70: +// rs2_val == 262144, +// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0x40000; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0x40000, 0x80, 3f, x2, 248,0) + +inst_71: +// rs2_val == 65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x10000, 0x4, 1b, x2, 252,0) + +inst_72: +// rs2_val == 32768, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x8000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x8000, 0x4, 3f, x2, 256,0) + +inst_73: +// rs2_val == 16384, +// opcode: bltu, op1:x10; op2:x11; op1val:0xf; op2val:0x4000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf, 0x4000, 0x4, 1b, x2, 260,0) + +inst_74: +// rs2_val == 4096, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x1000, 0x400, 1b, x2, 264,0) + +inst_75: +// rs2_val == 2048, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x800; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x800, 0x20, 3f, x2, 268,0) + +inst_76: +// rs2_val == 1024, rs1_val == 1431655765 +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x400, 0x6, 1b, x2, 272,0) + +inst_77: +// rs2_val == 128, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x80, 0x8, 3f, x2, 276,0) + +inst_78: +// rs2_val == 32, rs1_val == 4194304 +// opcode: bltu, op1:x10; op2:x11; op1val:0x400000; op2val:0x20; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400000, 0x20, 0x2, 3f, x2, 280,0) + +inst_79: +// rs2_val == 16, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0x10; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffbfffff, 0x10, 0x4, 1b, x2, 284,0) + +inst_80: +// rs2_val == 4, rs1_val == 67108864 +// opcode: bltu, op1:x10; op2:x11; op1val:0x4000000; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000000, 0x4, 0x556, 1b, x2, 288,0) + +inst_81: +// rs2_val == 1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x1, 0x0, 3f, x2, 292,0) + +inst_82: +// rs1_val == 1073741824, +// opcode: bltu, op1:x10; op2:x11; op1val:0x40000000; op2val:0x2000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000000, 0x2000000, 0x4, 1b, x2, 296,0) + +inst_83: +// rs1_val == 33554432, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000000, 0xaaaaaaab, 0x6, 1b, x2, 300,0) + +inst_84: +// rs1_val == 16777216, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000000, 0x40000000, 0x40, 3f, x2, 304,0) + +inst_85: +// rs1_val == 8388608, +// opcode: bltu, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800000, 0x40000, 0x40, 3f, x2, 308,0) + +inst_86: +// rs1_val == 2097152, +// opcode: bltu, op1:x10; op2:x11; op1val:0x200000; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200000, 0x55555556, 0xa, 1b, x2, 312,0) + +inst_87: +// rs1_val == 1048576, +// opcode: bltu, op1:x10; op2:x11; op1val:0x100000; op2val:0x80000000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100000, 0x80000000, 0x10, 3f, x2, 316,0) + +inst_88: +// rs1_val == 524288, +// opcode: bltu, op1:x10; op2:x11; op1val:0x80000; op2val:0xb; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80000, 0xb, 0x40, 3f, x2, 320,0) + +inst_89: +// rs1_val == 262144, +// opcode: bltu, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000, 0x1, 0x400, 1b, x2, 324,0) + +inst_90: +// rs1_val == 65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffff7ff; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffff7ff, 0x556, 1b, x2, 328,0) + +inst_91: +// rs1_val == 32768, +// opcode: bltu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffdff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8000, 0xfffffdff, 0x80, 3f, x2, 332,0) + +inst_92: +// rs1_val == 16384, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4000; op2val:0xfffffffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000, 0xfffffffe, 0x0, 3f, x2, 336,0) + +inst_93: +// rs1_val == 4096, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffffb; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000, 0xfffffffb, 0x0, 3f, x2, 340,0) + +inst_94: +// rs1_val == 2048, +// opcode: bltu, op1:x10; op2:x11; op1val:0x800; op2val:0x4000000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800, 0x4000000, 0x6, 1b, x2, 344,0) + +inst_95: +// rs1_val == 1024, +// opcode: bltu, op1:x10; op2:x11; op1val:0x400; op2val:0x13; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400, 0x13, 0x0, 3f, x2, 348,0) + +inst_96: +// rs1_val == 512, +// opcode: bltu, op1:x10; op2:x11; op1val:0x200; op2val:0x20; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200, 0x20, 0x8, 3f, x2, 352,0) + +inst_97: +// rs1_val == 256, +// opcode: bltu, op1:x10; op2:x11; op1val:0x100; op2val:0xfffff7ff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100, 0xfffff7ff, 0x6, 3f, x2, 356,0) + +inst_98: +// rs1_val == 128, +// opcode: bltu, op1:x10; op2:x11; op1val:0x80; op2val:0x7; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80, 0x7, 0x10, 3f, x2, 360,0) + +inst_99: +// rs1_val == 32, +// opcode: bltu, op1:x10; op2:x11; op1val:0x20; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20, 0x10000, 0x400, 1b, x2, 364,0) + +inst_100: +// rs1_val == 8, +// opcode: bltu, op1:x10; op2:x11; op1val:0x8; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8, 0x10000, 0x100, 3f, x2, 368,0) + +inst_101: +// rs1_val==65536 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x10000, 0x2, 1b, x2, 372,0) + +inst_102: +// rs1_val==65536 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x1, 0x6, 1b, x2, 376,0) + +inst_103: +// rs1_val==65536 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb505, 0x556, 1b, x2, 380,0) + +inst_104: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666667, 0x2, 1b, x2, 384,0) + +inst_105: +// rs1_val==65536 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333334, 0x4, 3f, x2, 388,0) + +inst_106: +// rs1_val==65536 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x6, 0x4, 3f, x2, 392,0) + +inst_107: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaab, 0x20, 3f, x2, 396,0) + +inst_108: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555556, 0x100, 3f, x2, 400,0) + +inst_109: +// rs1_val==65536 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x4, 0x20, 3f, x2, 404,0) + +inst_110: +// rs1_val==65536 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffe, 0x20, 3f, x2, 408,0) + +inst_111: +// rs1_val==65536 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x0, 0x0, 1b, x2, 412,0) + +inst_112: +// rs1_val==65536 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb503, 0x0, 1b, x2, 416,0) + +inst_113: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666665, 0x2, 1b, x2, 420,0) + +inst_114: +// rs1_val==65536 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333332, 0x556, 1b, x2, 424,0) + +inst_115: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaa9, 0x400, 3f, x2, 428,0) + +inst_116: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555554, 0x80, 3f, x2, 432,0) + +inst_117: +// rs1_val==65536 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x2, 0x556, 1b, x2, 436,0) + +inst_118: +// rs1_val==65536 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xffff, 0x0, 3f, x2, 440,0) + +inst_119: +// rs1_val==65536 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb504, 0x10, 3f, x2, 444,0) + +inst_120: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666666, 0x400, 1b, x2, 448,0) + +inst_121: +// rs1_val==65536 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x2, 452,0) + +inst_122: +// rs1_val==65536 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x5, 0x400, 1b, x2, 456,0) + +inst_123: +// rs1_val==65536 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaaa, 0x0, 1b, x2, 460,0) + +inst_124: +// rs1_val==65536 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555555, 0x2, 1b, x2, 464,0) + +inst_125: +// rs1_val==65536 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x3, 0x80, 3f, x2, 468,0) + +inst_126: +// rs1_val==1 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x10000, 0x6, 1b, x2, 472,0) + +inst_127: +// rs1_val==1 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x1, 0x0, 1b, x2, 476,0) + +inst_128: +// rs1_val==1 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb505, 0x2, 1b, x2, 480,0) + +inst_129: +// rs1_val==1 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666667, 0x200, 3f, x2, 484,0) + +inst_130: +// rs1_val==1 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333334, 0x4, 3f, x2, 488,0) + +inst_131: +// rs1_val==1 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x6, 0x20, 3f, x2, 492,0) + +inst_132: +// rs1_val==1 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaab, 0x80, 3f, x2, 496,0) + +inst_133: +// rs1_val==1 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555556, 0x400, 3f, x2, 500,0) + +inst_134: +// rs1_val==1 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x4, 0x4, 1b, x2, 504,0) + +inst_135: +// rs1_val==1 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffe, 0x40, 3f, x2, 508,0) + +inst_136: +// rs1_val==1 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x0, 0x0, 3f, x2, 512,0) + +inst_137: +// rs1_val==1 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb503, 0x10, 3f, x2, 516,0) + +inst_138: +// rs1_val==1 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666665, 0x400, 3f, x2, 520,0) + +inst_139: +// rs1_val==1 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333332, 0x8, 3f, x2, 524,0) + +inst_140: +// rs1_val==1 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaa9, 0x10, 3f, x2, 528,0) + +inst_141: +// rs1_val==1 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555554, 0x4, 1b, x2, 532,0) + +inst_142: +// rs1_val==1 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x2, 0x200, 3f, x2, 536,0) + +inst_143: +// rs1_val==1 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xffff, 0x400, 3f, x2, 540,0) + +inst_144: +// rs1_val==1 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb504, 0x6, 1b, x2, 544,0) + +inst_145: +// rs1_val==1 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666666, 0x556, 1b, x2, 548,0) + +inst_146: +// rs1_val==1 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333333, 0x0, 1b, x2, 552,0) + +inst_147: +// rs1_val==1 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x5, 0x200, 3f, x2, 556,0) + +inst_148: +// rs1_val==1 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaaa, 0x8, 1b, x2, 560,0) + +inst_149: +// rs1_val==1 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555555, 0x556, 1b, x2, 564,0) + +inst_150: +// rs1_val==1 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x3, 0x80, 3f, x2, 568,0) + +inst_151: +// rs1_val==46341 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x10000, 0x0, 3f, x2, 572,0) + +inst_152: +// rs1_val==46341 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x1, 0x2, 3f, x2, 576,0) + +inst_153: +// rs1_val==46341 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb505, 0x4, 1b, x2, 580,0) + +inst_154: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666667, 0x6, 3f, x2, 584,0) + +inst_155: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333334, 0x100, 3f, x2, 588,0) + +inst_156: +// rs1_val==46341 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x6, 0x80, 3f, x2, 592,0) + +inst_157: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaab, 0x100, 3f, x2, 596,0) + +inst_158: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555556, 0x556, 1b, x2, 600,0) + +inst_159: +// rs1_val==46341 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x4, 0x8, 1b, x2, 604,0) + +inst_160: +// rs1_val==46341 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xfffe, 0x8, 3f, x2, 608,0) + +inst_161: +// rs1_val==46341 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x0, 0x0, 3f, x2, 612,0) + +inst_162: +// rs1_val==46341 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb503, 0x20, 3f, x2, 616,0) + +inst_163: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666665, 0x10, 3f, x2, 620,0) + +inst_164: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333332, 0x20, 3f, x2, 624,0) + +inst_165: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaa9, 0xa, 1b, x2, 628,0) + +inst_166: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555554, 0x10, 3f, x2, 632,0) + +inst_167: +// rs1_val==46341 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x2, 0x8, 1b, x2, 636,0) + +inst_168: +// rs1_val==46341 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xffff, 0x6, 1b, x2, 640,0) + +inst_169: +// rs1_val==46341 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb504, 0x2, 1b, x2, 644,0) + +inst_170: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x2, 648,0) + +inst_171: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333333, 0x4, 3f, x2, 652,0) + +inst_172: +// rs1_val==46341 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x5, 0x2, 3f, x2, 656,0) + +inst_173: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaaa, 0x556, 1b, x2, 660,0) + +inst_174: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555555, 0x100, 3f, x2, 664,0) + +inst_175: +// rs1_val==46341 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x3, 0x6, 3f, x2, 668,0) + +inst_176: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x10000, 0x10, 3f, x2, 672,0) + +inst_177: +// rs1_val==1717986919 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x1, 0x4, 3f, x2, 676,0) + +inst_178: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb505, 0x556, 1b, x2, 680,0) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986919, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666667, 0x80, 3f, x2, 684,0) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x2, 688,0) + +inst_181: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x6, 0x0, 3f, x2, 692,0) + +inst_182: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaab, 0x6, 3f, x2, 696,0) + +inst_183: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555556, 0x556, 1b, x2, 700,0) + +inst_184: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x4, 0x4, 1b, x2, 704,0) + +inst_185: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xfffe, 0x20, 3f, x2, 708,0) + +inst_186: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x0, 0x0, 3f, x2, 712,0) + +inst_187: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb503, 0x6, 3f, x2, 716,0) + +inst_188: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666665, 0x400, 1b, x2, 720,0) + +inst_189: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x2, 724,0) + +inst_190: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaa9, 0x2, 1b, x2, 728,0) + +inst_191: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555554, 0x0, 1b, x2, 732,0) + +inst_192: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x2, 0xa, 1b, x2, 736,0) + +inst_193: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xffff, 0x40, 3f, x2, 740,0) + +inst_194: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb504, 0x8, 3f, x2, 744,0) + +inst_195: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x2, 748,0) + +inst_196: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333333, 0x40, 3f, x2, 752,0) + +inst_197: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x5, 0x40, 3f, x2, 756,0) + +inst_198: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaaa, 0x8, 3f, x2, 760,0) + +inst_199: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555555, 0x4, 1b, x2, 764,0) + +inst_200: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x3, 0x8, 3f, x2, 768,0) + +inst_201: +// rs1_val==858993460 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x10000, 0x2, 1b, x2, 772,0) + +inst_202: +// rs1_val==858993460 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x1, 0x4, 3f, x2, 776,0) + +inst_203: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb505, 0x4, 1b, x2, 780,0) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666667, 0x10, 3f, x2, 784,0) + +inst_205: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x2, 788,0) + +inst_206: +// rs1_val==858993460 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x6, 0x6, 1b, x2, 792,0) + +inst_207: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaab, 0x6, 1b, x2, 796,0) + +inst_208: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555556, 0x4, 1b, x2, 800,0) + +inst_209: +// rs1_val==858993460 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x4, 0x400, 3f, x2, 804,0) + +inst_210: +// rs1_val==858993460 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xfffe, 0x4, 1b, x2, 808,0) + +inst_211: +// rs1_val==858993460 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x0, 0x2, 1b, x2, 812,0) + +inst_212: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb503, 0x80, 3f, x2, 816,0) + +inst_213: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666665, 0x20, 3f, x2, 820,0) + +inst_214: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333332, 0xa, 1b, x2, 824,0) + +inst_215: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaa9, 0x4, 3f, x2, 828,0) + +inst_216: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555554, 0x4, 1b, x2, 832,0) + +inst_217: +// rs1_val==858993460 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x2, 0x2, 1b, x2, 836,0) + +inst_218: +// rs1_val==858993460 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffff, 0x0, 1b, x2, 840,0) + +inst_219: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 844,0) + +inst_220: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666666, 0x200, 3f, x2, 848,0) + +inst_221: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333333, 0x10, 3f, x2, 852,0) + +inst_222: +// rs1_val==858993460 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x5, 0x100, 3f, x2, 856,0) + +inst_223: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaaa, 0x6, 1b, x2, 860,0) + +inst_224: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555555, 0x6, 3f, x2, 864,0) + +inst_225: +// rs1_val==858993460 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x3, 0x400, 1b, x2, 868,0) + +inst_226: +// rs1_val==6 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x10000, 0x40, 3f, x2, 872,0) + +inst_227: +// rs1_val==6 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x1, 0x0, 3f, x2, 876,0) + +inst_228: +// rs1_val==6 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb505, 0xa, 1b, x2, 880,0) + +inst_229: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666667, 0x4, 1b, x2, 884,0) + +inst_230: +// rs1_val==6 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333334, 0x10, 3f, x2, 888,0) + +inst_231: +// rs1_val==6 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x6, 0x100, 3f, x2, 892,0) + +inst_232: +// rs1_val==6 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaab, 0x10, 3f, x2, 896,0) + +inst_233: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555556, 0x4, 1b, x2, 900,0) + +inst_234: +// rs1_val==6 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x4, 0x6, 3f, x2, 904,0) + +inst_235: +// rs1_val==6 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xfffe, 0x2, 3f, x2, 908,0) + +inst_236: +// rs1_val==6 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x0, 0x6, 3f, x2, 912,0) + +inst_237: +// rs1_val==6 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb503, 0x8, 3f, x2, 916,0) + +inst_238: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666665, 0x4, 3f, x2, 920,0) + +inst_239: +// rs1_val==6 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333332, 0x10, 3f, x2, 924,0) + +inst_240: +// rs1_val==6 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaa9, 0x400, 3f, x2, 928,0) + +inst_241: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555554, 0x0, 3f, x2, 932,0) + +inst_242: +// rs1_val==6 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x2, 0x0, 3f, x2, 936,0) + +inst_243: +// rs1_val==6 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xffff, 0x2, 1b, x2, 940,0) + +inst_244: +// rs1_val==6 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb504, 0x10, 3f, x2, 944,0) + +inst_245: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666666, 0x0, 3f, x2, 948,0) + +inst_246: +// rs1_val==6 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333333, 0x8, 1b, x2, 952,0) + +inst_247: +// rs1_val==6 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x5, 0x6, 1b, x2, 956,0) + +inst_248: +// rs1_val==6 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaaa, 0x40, 3f, x2, 960,0) + +inst_249: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x2, 964,0) + +inst_250: +// rs1_val==6 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x3, 0x400, 3f, x2, 968,0) + +inst_251: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x10000, 0x0, 3f, x2, 972,0) + +inst_252: +// rs1_val==2863311531 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x1, 0x0, 1b, x2, 976,0) + +inst_253: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb505, 0x8, 3f, x2, 980,0) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666667, 0x8, 3f, x2, 984,0) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 3f, x2, 988,0) + +inst_256: +// rs1_val==2863311531 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x2, 992,0) + +inst_257: +// rs1_val==2863311531 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 3f, x2, 996,0) + +inst_258: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555556, 0xa, 1b, x2, 1000,0) + +inst_259: +// rs1_val==2863311531 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x4, 0x0, 1b, x2, 1004,0) + +inst_260: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xfffe, 0x100, 3f, x2, 1008,0) + +inst_261: +// rs1_val==2863311531 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x0, 0x2, 3f, x2, 1012,0) + +inst_262: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb503, 0x6, 3f, x2, 1016,0) + +inst_263: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666665, 0x556, 1b, x2, 1020,0) + +inst_264: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333332, 0x4, 1b, x2, 1024,0) + +inst_265: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x6, 3f, x2, 1028,0) + +inst_266: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555554, 0x8, 3f, x2, 1032,0) + +inst_267: +// rs1_val==2863311531 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x2, 0x6, 3f, x2, 1036,0) + +inst_268: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffff, 0x100, 3f, x2, 1040,0) + +inst_269: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb504, 0x0, 1b, x2, 1044,0) + +inst_270: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666666, 0x20, 3f, x2, 1048,0) + +inst_271: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333333, 0x4, 3f, x2, 1052,0) + +inst_272: +// rs1_val==2863311531 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x5, 0x100, 3f, x2, 1056,0) + +inst_273: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0x2, 1b, x2, 1060,0) + +inst_274: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555555, 0x80, 3f, x2, 1064,0) + +inst_275: +// rs1_val==2863311531 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x3, 0x4, 3f, x2, 1068,0) + +inst_276: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x10000, 0x4, 3f, x2, 1072,0) + +inst_277: +// rs1_val==1431655766 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x1, 0xa, 1b, x2, 1076,0) + +inst_278: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x2, 1080,0) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x2, 1084,0) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x2, 1088,0) + +inst_281: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x6, 0x6, 1b, x2, 1092,0) + +inst_282: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaab, 0x6, 1b, x2, 1096,0) + +inst_283: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555556, 0x556, 1b, x2, 1100,0) + +inst_284: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x4, 0x6, 3f, x2, 1104,0) + +inst_285: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xfffe, 0x0, 1b, x2, 1108,0) + +inst_286: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x0, 0x6, 1b, x2, 1112,0) + +inst_287: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x2, 1116,0) + +inst_288: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666665, 0xa, 1b, x2, 1120,0) + +inst_289: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333332, 0x20, 3f, x2, 1124,0) + +inst_290: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaa9, 0x8, 3f, x2, 1128,0) + +inst_291: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555554, 0x6, 3f, x2, 1132,0) + +inst_292: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x2, 0x100, 3f, x2, 1136,0) + +inst_293: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xffff, 0x6, 1b, x2, 1140,0) + +inst_294: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x2, 1144,0) + +inst_295: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666666, 0x0, 3f, x2, 1148,0) + +inst_296: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333333, 0x2, 1b, x2, 1152,0) + +inst_297: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x5, 0x4, 3f, x2, 1156,0) + +inst_298: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaaa, 0x0, 3f, x2, 1160,0) + +inst_299: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555555, 0x8, 3f, x2, 1164,0) + +inst_300: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x3, 0x0, 3f, x2, 1168,0) + +inst_301: +// rs1_val==4 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x10000, 0x6, 1b, x2, 1172,0) + +inst_302: +// rs1_val==4 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x1, 0x6, 1b, x2, 1176,0) + +inst_303: +// rs1_val==4 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb505, 0x8, 3f, x2, 1180,0) + +inst_304: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666667, 0x0, 1b, x2, 1184,0) + +inst_305: +// rs1_val==4 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x2, 1188,0) + +inst_306: +// rs1_val==4 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x6, 0x200, 3f, x2, 1192,0) + +inst_307: +// rs1_val==4 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaab, 0x400, 1b, x2, 1196,0) + +inst_308: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555556, 0x0, 1b, x2, 1200,0) + +inst_309: +// rs1_val==4 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x4, 0x40, 3f, x2, 1204,0) + +inst_310: +// rs1_val==4 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xfffe, 0x6, 1b, x2, 1208,0) + +inst_311: +// rs1_val==4 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x0, 0x8, 1b, x2, 1212,0) + +inst_312: +// rs1_val==4 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb503, 0x8, 1b, x2, 1216,0) + +inst_313: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666665, 0x556, 1b, x2, 1220,0) + +inst_314: +// rs1_val==4 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333332, 0x8, 1b, x2, 1224,0) + +inst_315: +// rs1_val==4 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaa9, 0x0, 1b, x2, 1228,0) + +inst_316: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555554, 0x6, 1b, x2, 1232,0) + +inst_317: +// rs1_val==4 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x2, 0x100, 3f, x2, 1236,0) + +inst_318: +// rs1_val==4 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xffff, 0x6, 3f, x2, 1240,0) + +inst_319: +// rs1_val==4 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb504, 0x400, 3f, x2, 1244,0) + +inst_320: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666666, 0x100, 3f, x2, 1248,0) + +inst_321: +// rs1_val==4 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333333, 0x8, 1b, x2, 1252,0) + +inst_322: +// rs1_val==4 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x5, 0x10, 3f, x2, 1256,0) + +inst_323: +// rs1_val==4 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaaa, 0x400, 1b, x2, 1260,0) + +inst_324: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555555, 0xa, 1b, x2, 1264,0) + +inst_325: +// rs1_val==4 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x3, 0x2, 3f, x2, 1268,0) + +inst_326: +// rs1_val==65534 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x2, 1272,0) + +inst_327: +// rs1_val==65534 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x1, 0x6, 1b, x2, 1276,0) + +inst_328: +// rs1_val==65534 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb505, 0x2, 1b, x2, 1280,0) + +inst_329: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666667, 0x4, 1b, x2, 1284,0) + +inst_330: +// rs1_val==65534 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x2, 1288,0) + +inst_331: +// rs1_val==65534 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x6, 0x200, 3f, x2, 1292,0) + +inst_332: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 3f, x2, 1296,0) + +inst_333: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555556, 0x0, 3f, x2, 1300,0) + +inst_334: +// rs1_val==65534 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x4, 0x8, 1b, x2, 1304,0) + +inst_335: +// rs1_val==65534 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xfffe, 0x400, 3f, x2, 1308,0) + +inst_336: +// rs1_val==65534 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x0, 0x80, 3f, x2, 1312,0) + +inst_337: +// rs1_val==65534 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb503, 0x40, 3f, x2, 1316,0) + +inst_338: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666665, 0x6, 3f, x2, 1320,0) + +inst_339: +// rs1_val==65534 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333332, 0x100, 3f, x2, 1324,0) + +inst_340: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaa9, 0xa, 1b, x2, 1328,0) + +inst_341: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555554, 0x0, 1b, x2, 1332,0) + +inst_342: +// rs1_val==65534 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x2, 0x40, 3f, x2, 1336,0) + +inst_343: +// rs1_val==65534 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xffff, 0x6, 3f, x2, 1340,0) + +inst_344: +// rs1_val==65534 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb504, 0x6, 1b, x2, 1344,0) + +inst_345: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x2, 1348,0) + +inst_346: +// rs1_val==65534 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333333, 0x6, 3f, x2, 1352,0) + +inst_347: +// rs1_val==65534 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x5, 0x4, 3f, x2, 1356,0) + +inst_348: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaaa, 0x8, 3f, x2, 1360,0) + +inst_349: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555555, 0x0, 3f, x2, 1364,0) + +inst_350: +// rs1_val==65534 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x3, 0x0, 1b, x2, 1368,0) + +inst_351: +// rs1_val==0 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x10000, 0x8, 3f, x2, 1372,0) + +inst_352: +// rs1_val==0 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x1, 0x100, 3f, x2, 1376,0) + +inst_353: +// rs1_val==0 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb505, 0x40, 3f, x2, 1380,0) + +inst_354: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666667, 0x400, 3f, x2, 1384,0) + +inst_355: +// rs1_val==0 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333334, 0x10, 3f, x2, 1388,0) + +inst_356: +// rs1_val==0 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x6, 0x20, 3f, x2, 1392,0) + +inst_357: +// rs1_val==0 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaab, 0x2, 3f, x2, 1396,0) + +inst_358: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555556, 0x8, 1b, x2, 1400,0) + +inst_359: +// rs1_val==0 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x4, 0x400, 3f, x2, 1404,0) + +inst_360: +// rs1_val==0 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xfffe, 0x400, 3f, x2, 1408,0) + +inst_361: +// rs1_val==0 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x0, 0x0, 3f, x2, 1412,0) + +inst_362: +// rs1_val==0 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb503, 0x400, 3f, x2, 1416,0) + +inst_363: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666665, 0x20, 3f, x2, 1420,0) + +inst_364: +// rs1_val==0 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333332, 0x2, 1b, x2, 1424,0) + +inst_365: +// rs1_val==0 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaa9, 0x80, 3f, x2, 1428,0) + +inst_366: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555554, 0xa, 1b, x2, 1432,0) + +inst_367: +// rs1_val==0 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x2, 0x20, 3f, x2, 1436,0) + +inst_368: +// rs1_val==0 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xffff, 0x2, 3f, x2, 1440,0) + +inst_369: +// rs1_val==0 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb504, 0x8, 1b, x2, 1444,0) + +inst_370: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666666, 0x8, 1b, x2, 1448,0) + +inst_371: +// rs1_val==0 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333333, 0x400, 3f, x2, 1452,0) + +inst_372: +// rs1_val==0 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x5, 0x8, 3f, x2, 1456,0) + +inst_373: +// rs1_val==0 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaaa, 0x400, 1b, x2, 1460,0) + +inst_374: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555555, 0x2, 1b, x2, 1464,0) + +inst_375: +// rs1_val==0 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x3, 0x20, 3f, x2, 1468,0) + +inst_376: +// rs1_val==46339 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x10000, 0x200, 3f, x2, 1472,0) + +inst_377: +// rs1_val==46339 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x1, 0x8, 3f, x2, 1476,0) + +inst_378: +// rs1_val==46339 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb505, 0x400, 3f, x2, 1480,0) + +inst_379: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666667, 0x20, 3f, x2, 1484,0) + +inst_380: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333334, 0x2, 1b, x2, 1488,0) + +inst_381: +// rs1_val==46339 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x6, 0x20, 3f, x2, 1492,0) + +inst_382: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaab, 0x8, 3f, x2, 1496,0) + +inst_383: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1500,0) + +inst_384: +// rs1_val==46339 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x4, 0x0, 1b, x2, 1504,0) + +inst_385: +// rs1_val==46339 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xfffe, 0x556, 1b, x2, 1508,0) + +inst_386: +// rs1_val==46339 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x0, 0x6, 3f, x2, 1512,0) + +inst_387: +// rs1_val==46339 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb503, 0x20, 3f, x2, 1516,0) + +inst_388: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666665, 0x400, 1b, x2, 1520,0) + +inst_389: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333332, 0x100, 3f, x2, 1524,0) + +inst_390: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaa9, 0x400, 1b, x2, 1528,0) + +inst_391: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x2, 1532,0) + +inst_392: +// rs1_val==46339 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1536,0) + +inst_393: +// rs1_val==46339 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff, 0x4, 1b, x2, 1540,0) + +inst_394: +// rs1_val==46339 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb504, 0x8, 3f, x2, 1544,0) + +inst_395: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666666, 0x8, 1b, x2, 1548,0) + +inst_396: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1552,0) + +inst_397: +// rs1_val==46339 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x5, 0x8, 1b, x2, 1556,0) + +inst_398: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaaa, 0x200, 3f, x2, 1560,0) + +inst_399: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555555, 0x80, 3f, x2, 1564,0) + +inst_400: +// rs1_val==46339 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x2, 1568,0) + +inst_401: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x10000, 0x10, 3f, x2, 1572,0) + +inst_402: +// rs1_val==1717986917 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x1, 0x8, 3f, x2, 1576,0) + +inst_403: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb505, 0x80, 3f, x2, 1580,0) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666667, 0x4, 3f, x2, 1584,0) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1588,0) + +inst_406: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x6, 0x10, 3f, x2, 1592,0) + +inst_407: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaab, 0x556, 1b, x2, 1596,0) + +inst_408: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555556, 0x2, 3f, x2, 1600,0) + +inst_409: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1604,0) + +inst_410: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffe, 0x4, 1b, x2, 1608,0) + +inst_411: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x0, 0x6, 1b, x2, 1612,0) + +inst_412: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb503, 0x10, 3f, x2, 1616,0) + +inst_413: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x2, 1620,0) + +inst_414: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333332, 0x8, 1b, x2, 1624,0) + +inst_415: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaa9, 0x0, 1b, x2, 1628,0) + +inst_416: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x2, 1632,0) + +inst_417: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x2, 0x400, 3f, x2, 1636,0) + +inst_418: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xffff, 0x0, 3f, x2, 1640,0) + +inst_419: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb504, 0x10, 3f, x2, 1644,0) + +inst_420: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 3f, x2, 1648,0) + +inst_421: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333333, 0x400, 3f, x2, 1652,0) + +inst_422: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x5, 0x6, 3f, x2, 1656,0) + +inst_423: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaaa, 0x4, 3f, x2, 1660,0) + +inst_424: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x2, 1664,0) + +inst_425: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x3, 0x40, 3f, x2, 1668,0) + +inst_426: +// rs1_val==858993458 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x10000, 0x200, 3f, x2, 1672,0) + +inst_427: +// rs1_val==858993458 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x1, 0x40, 3f, x2, 1676,0) + +inst_428: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb505, 0x40, 3f, x2, 1680,0) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666667, 0x80, 3f, x2, 1684,0) + +inst_430: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1688,0) + +inst_431: +// rs1_val==858993458 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x6, 0x4, 1b, x2, 1692,0) + +inst_432: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaab, 0xa, 1b, x2, 1696,0) + +inst_433: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555556, 0x10, 3f, x2, 1700,0) + +inst_434: +// rs1_val==858993458 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x4, 0x2, 1b, x2, 1704,0) + +inst_435: +// rs1_val==858993458 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xfffe, 0x100, 3f, x2, 1708,0) + +inst_436: +// rs1_val==858993458 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x0, 0x556, 1b, x2, 1712,0) + +inst_437: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb503, 0x2, 1b, x2, 1716,0) + +inst_438: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1720,0) + +inst_439: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1724,0) + +inst_440: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaa9, 0x200, 3f, x2, 1728,0) + +inst_441: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x2, 1732,0) + +inst_442: +// rs1_val==858993458 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x2, 0x4, 3f, x2, 1736,0) + +inst_443: +// rs1_val==858993458 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xffff, 0x10, 3f, x2, 1740,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb504, 0x4, 3f, x2, 1744,0) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666666, 0x4, 1b, x2, 1748,0) + +inst_446: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1752,0) + +inst_447: +// rs1_val==858993458 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x2, 1756,0) + +inst_448: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaaa, 0x4, 1b, x2, 1760,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555555, 0x100, 3f, x2, 1764,0) + +inst_450: +// rs1_val==858993458 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x3, 0x80, 3f, x2, 1768,0) + +inst_451: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x10000, 0x100, 3f, x2, 1772,0) + +inst_452: +// rs1_val==2863311529 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x1, 0x6, 3f, x2, 1776,0) + +inst_453: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb505, 0x2, 3f, x2, 1780,0) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666667, 0x4, 3f, x2, 1784,0) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333334, 0x4, 1b, x2, 1788,0) + +inst_456: +// rs1_val==2863311529 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x6, 0x200, 3f, x2, 1792,0) + +inst_457: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x8, 3f, x2, 1796,0) + +inst_458: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555556, 0x556, 1b, x2, 1800,0) + +inst_459: +// rs1_val==2863311529 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x4, 0x8, 3f, x2, 1804,0) + +inst_460: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xfffe, 0x4, 3f, x2, 1808,0) + +inst_461: +// rs1_val==2863311529 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x0, 0x40, 3f, x2, 1812,0) + +inst_462: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb503, 0x400, 1b, x2, 1816,0) + +inst_463: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666665, 0x8, 1b, x2, 1820,0) + +inst_464: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333332, 0x0, 1b, x2, 1824,0) + +inst_465: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x400, 1b, x2, 1828,0) + +inst_466: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 3f, x2, 1832,0) + +inst_467: +// rs1_val==2863311529 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x2, 0x8, 1b, x2, 1836,0) + +inst_468: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xffff, 0x10, 3f, x2, 1840,0) + +inst_469: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb504, 0x8, 3f, x2, 1844,0) + +inst_470: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666666, 0x2, 1b, x2, 1848,0) + +inst_471: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333333, 0x80, 3f, x2, 1852,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x4, 0x4, 3f, x2, 1856,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x2, 1860,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x0, 0x40, 3f, x2, 1864,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb503, 0x6, 1b, x2, 1868,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666665, 0x8, 3f, x2, 1872,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1876,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaa9, 0x80, 3f, x2, 1880,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x2, 1884,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x2, 0x0, 3f, x2, 1888,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xffff, 0x100, 3f, x2, 1892,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb504, 0x0, 1b, x2, 1896,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666666, 0x8, 1b, x2, 1900,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333333, 0x6, 3f, x2, 1904,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x5, 0x4, 1b, x2, 1908,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaaa, 0x400, 3f, x2, 1912,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x2, 1916,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x3, 0x20, 3f, x2, 1920,0) + +inst_489: +// rs1_val==2 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x10000, 0x2, 3f, x2, 1924,0) + +inst_490: +// rs1_val==2 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x1, 0x6, 3f, x2, 1928,0) + +inst_491: +// rs1_val==2 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb505, 0x10, 3f, x2, 1932,0) + +inst_492: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666667, 0x400, 3f, x2, 1936,0) + +inst_493: +// rs1_val==2 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333334, 0x400, 1b, x2, 1940,0) + +inst_494: +// rs1_val==2 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x6, 0x6, 3f, x2, 1944,0) + +inst_495: +// rs1_val==2 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaab, 0x6, 3f, x2, 1948,0) + +inst_496: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555556, 0x10, 3f, x2, 1952,0) + +inst_497: +// rs1_val==2 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x4, 0x8, 3f, x2, 1956,0) + +inst_498: +// rs1_val==2 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xfffe, 0x0, 3f, x2, 1960,0) + +inst_499: +// rs1_val==2 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x0, 0x400, 1b, x2, 1964,0) + +inst_500: +// rs1_val==2 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb503, 0x0, 1b, x2, 1968,0) + +inst_501: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666665, 0x4, 3f, x2, 1972,0) + +inst_502: +// rs1_val==2 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333332, 0x400, 3f, x2, 1976,0) + +inst_503: +// rs1_val==2 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaa9, 0x2, 1b, x2, 1980,0) + +inst_504: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555554, 0x2, 1b, x2, 1984,0) + +inst_505: +// rs1_val==2 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x2, 0x4, 1b, x2, 1988,0) + +inst_506: +// rs1_val==2 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xffff, 0x80, 3f, x2, 1992,0) + +inst_507: +// rs1_val==2 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb504, 0x8, 3f, x2, 1996,0) + +inst_508: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666666, 0x40, 3f, x2, 2000,0) + +inst_509: +// rs1_val==2 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x2, 2004,0) + +inst_510: +// rs1_val==2 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x5, 0x40, 3f, x2, 2008,0) + +inst_511: +// rs1_val==2 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaaa, 0x200, 3f, x2, 2012,0) + +inst_512: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555555, 0x2, 3f, x2, 2016,0) + +inst_513: +// rs1_val==2 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x2, 2020,0) + +inst_514: +// rs1_val==65535 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x10000, 0x400, 1b, x2, 2024,0) + +inst_515: +// rs1_val==65535 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x1, 0x400, 1b, x2, 2028,0) + +inst_516: +// rs1_val==65535 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb505, 0x80, 3f, x2, 2032,0) + +inst_517: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666667, 0x556, 1b, x2, 2036,0) + +inst_518: +// rs1_val==65535 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333334, 0x200, 3f, x2, 2040,0) + +inst_519: +// rs1_val==65535 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x6, 0x4, 1b, x2, 2044,0) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaab, 0x20, 3f, x2, 0,0) + +inst_521: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555556, 0x100, 3f, x2, 4,0) + +inst_522: +// rs1_val==65535 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x4, 0x6, 1b, x2, 8,0) + +inst_523: +// rs1_val==65535 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xfffe, 0x6, 3f, x2, 12,0) + +inst_524: +// rs1_val==65535 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x0, 0x40, 3f, x2, 16,0) + +inst_525: +// rs1_val==65535 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb503, 0x0, 3f, x2, 20,0) + +inst_526: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666665, 0x200, 3f, x2, 24,0) + +inst_527: +// rs1_val==65535 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x2, 28,0) + +inst_528: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaa9, 0xa, 1b, x2, 32,0) + +inst_529: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555554, 0x200, 3f, x2, 36,0) + +inst_530: +// rs1_val==65535 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x2, 0x0, 3f, x2, 40,0) + +inst_531: +// rs1_val==65535 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xffff, 0x20, 3f, x2, 44,0) + +inst_532: +// rs1_val==65535 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb504, 0x8, 3f, x2, 48,0) + +inst_533: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x2, 52,0) + +inst_534: +// rs1_val==65535 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333333, 0x40, 3f, x2, 56,0) + +inst_535: +// rs1_val==65535 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x5, 0x2, 1b, x2, 60,0) + +inst_536: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaaa, 0x4, 1b, x2, 64,0) + +inst_537: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555555, 0x0, 1b, x2, 68,0) + +inst_538: +// rs1_val==65535 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x3, 0x400, 3f, x2, 72,0) + +inst_539: +// rs1_val==46340 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x10000, 0x8, 1b, x2, 76,0) + +inst_540: +// rs1_val==46340 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x1, 0x100, 3f, x2, 80,0) + +inst_541: +// rs1_val==46340 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb505, 0x8, 1b, x2, 84,0) + +inst_542: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666667, 0x2, 1b, x2, 88,0) + +inst_543: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333334, 0x6, 3f, x2, 92,0) + +inst_544: +// rs1_val==46340 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x6, 0x6, 1b, x2, 96,0) + +inst_545: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaab, 0x80, 3f, x2, 100,0) + +inst_546: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x2, 104,0) + +inst_547: +// rs1_val==46340 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x4, 0x400, 3f, x2, 108,0) + +inst_548: +// rs1_val==46340 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xfffe, 0x8, 3f, x2, 112,0) + +inst_549: +// rs1_val==46340 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x2, 116,0) + +inst_550: +// rs1_val==46340 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x2, 120,0) + +inst_551: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666665, 0xa, 1b, x2, 124,0) + +inst_552: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x2, 128,0) + +inst_553: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaa9, 0x4, 1b, x2, 132,0) + +inst_554: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555554, 0x10, 3f, x2, 136,0) + +inst_555: +// rs1_val==46340 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x2, 0x10, 3f, x2, 140,0) + +inst_556: +// rs1_val==46340 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xffff, 0x2, 1b, x2, 144,0) + +inst_557: +// rs1_val==46340 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb504, 0x2, 3f, x2, 148,0) + +inst_558: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x2, 152,0) + +inst_559: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333333, 0x4, 1b, x2, 156,0) + +inst_560: +// rs1_val==46340 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x5, 0x6, 1b, x2, 160,0) + +inst_561: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x2, 164,0) + +inst_562: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x2, 168,0) + +inst_563: +// rs1_val==46340 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x3, 0x0, 3f, x2, 172,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x10000, 0x100, 3f, x2, 176,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x1, 0x80, 3f, x2, 180,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb505, 0x0, 1b, x2, 184,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666667, 0x40, 3f, x2, 188,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333334, 0x6, 1b, x2, 192,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x6, 0x4, 1b, x2, 196,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaab, 0x4, 1b, x2, 200,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555556, 0x20, 3f, x2, 204,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x2, 208,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xfffe, 0x40, 3f, x2, 212,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x0, 0x10, 3f, x2, 216,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb503, 0x40, 3f, x2, 220,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666665, 0x8, 1b, x2, 224,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x2, 228,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaa9, 0x100, 3f, x2, 232,0) + +inst_579: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555554, 0x10, 3f, x2, 236,0) + +inst_580: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x2, 0x40, 3f, x2, 240,0) + +inst_581: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffff, 0x4, 1b, x2, 244,0) + +inst_582: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb504, 0x80, 3f, x2, 248,0) + +inst_583: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666666, 0x4, 3f, x2, 252,0) + +inst_584: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333333, 0x2, 3f, x2, 256,0) + +inst_585: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x2, 260,0) + +inst_586: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaaa, 0x6, 3f, x2, 264,0) + +inst_587: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x2, 268,0) + +inst_588: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x3, 0x4, 3f, x2, 272,0) + +inst_589: +// rs1_val==858993459 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x10000, 0x0, 1b, x2, 276,0) + +inst_590: +// rs1_val==858993459 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x1, 0x2, 3f, x2, 280,0) + +inst_591: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb505, 0xa, 1b, x2, 284,0) + +inst_592: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666667, 0x40, 3f, x2, 288,0) + +inst_593: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333334, 0x400, 3f, x2, 292,0) + +inst_594: +// rs1_val==858993459 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x6, 0x6, 3f, x2, 296,0) + +inst_595: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaab, 0xa, 1b, x2, 300,0) + +inst_596: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555556, 0x8, 3f, x2, 304,0) + +inst_597: +// rs1_val==858993459 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x4, 0x10, 3f, x2, 308,0) + +inst_598: +// rs1_val==858993459 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xfffe, 0x200, 3f, x2, 312,0) + +inst_599: +// rs1_val==858993459 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x0, 0x20, 3f, x2, 316,0) + +inst_600: +// rs1_val==2863311529 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x3, 0x2, 1b, x2, 320,0) + +inst_601: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb503, 0x40, 3f, x2, 324,0) + +inst_602: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666665, 0x6, 1b, x2, 328,0) + +inst_603: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333332, 0x2, 1b, x2, 332,0) + +inst_604: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaa9, 0x2, 3f, x2, 336,0) + +inst_605: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555554, 0x400, 1b, x2, 340,0) + +inst_606: +// rs1_val==858993459 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x2, 0x80, 3f, x2, 344,0) + +inst_607: +// rs1_val==858993459 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xffff, 0x2, 3f, x2, 348,0) + +inst_608: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb504, 0x8, 3f, x2, 352,0) + +inst_609: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666666, 0x0, 1b, x2, 356,0) + +inst_610: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333333, 0x100, 3f, x2, 360,0) + +inst_611: +// rs1_val==858993459 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x5, 0x4, 1b, x2, 364,0) + +inst_612: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaaa, 0x80, 3f, x2, 368,0) + +inst_613: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555555, 0x4, 3f, x2, 372,0) + +inst_614: +// rs1_val==858993459 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x3, 0x400, 1b, x2, 376,0) + +inst_615: +// rs1_val==5 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x10000, 0x400, 1b, x2, 380,0) + +inst_616: +// rs1_val==5 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x1, 0x8, 1b, x2, 384,0) + +inst_617: +// rs1_val==5 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb505, 0x2, 1b, x2, 388,0) + +inst_618: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666667, 0x400, 1b, x2, 392,0) + +inst_619: +// rs1_val==5 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333334, 0x10, 3f, x2, 396,0) + +inst_620: +// rs1_val==5 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x6, 0x40, 3f, x2, 400,0) + +inst_621: +// rs1_val==5 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaab, 0x6, 1b, x2, 404,0) + +inst_622: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555556, 0xa, 1b, x2, 408,0) + +inst_623: +// rs1_val==5 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x2, 412,0) + +inst_624: +// rs1_val==5 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xfffe, 0x10, 3f, x2, 416,0) + +inst_625: +// rs1_val==5 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x0, 0x0, 1b, x2, 420,0) + +inst_626: +// rs1_val==5 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb503, 0x400, 3f, x2, 424,0) + +inst_627: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666665, 0x20, 3f, x2, 428,0) + +inst_628: +// rs1_val==5 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333332, 0x10, 3f, x2, 432,0) + +inst_629: +// rs1_val==5 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaa9, 0x80, 3f, x2, 436,0) + +inst_630: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555554, 0x200, 3f, x2, 440,0) + +inst_631: +// rs1_val==5 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x2, 0x100, 3f, x2, 444,0) + +inst_632: +// rs1_val==5 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xffff, 0x0, 3f, x2, 448,0) + +inst_633: +// rs1_val==5 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb504, 0x40, 3f, x2, 452,0) + +inst_634: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x2, 456,0) + +inst_635: +// rs1_val==5 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333333, 0x8, 3f, x2, 460,0) + +inst_636: +// rs1_val==5 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x2, 464,0) + +inst_637: +// rs1_val==5 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaaa, 0x4, 3f, x2, 468,0) + +inst_638: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555555, 0x80, 3f, x2, 472,0) + +inst_639: +// rs1_val==5 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x3, 0x0, 1b, x2, 476,0) + +inst_640: +// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x10000, 0x20, 3f, x2, 480,0) + +inst_641: +// rs1_val==2863311530 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x1, 0x8, 3f, x2, 484,0) + +inst_642: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb505, 0x0, 1b, x2, 488,0) + +inst_643: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666667, 0x2, 1b, x2, 492,0) + +inst_644: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333334, 0x400, 1b, x2, 496,0) + +inst_645: +// rs1_val==2863311530 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x6, 0x200, 3f, x2, 500,0) + +inst_646: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x4, 3f, x2, 504,0) + +inst_647: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555556, 0x556, 1b, x2, 508,0) + +inst_648: +// rs1_val==2863311530 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x4, 0x0, 1b, x2, 512,0) + +inst_649: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xfffe, 0x40, 3f, x2, 516,0) + +inst_650: +// rs1_val==2863311530 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x0, 0x4, 3f, x2, 520,0) + +inst_651: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb503, 0x0, 3f, x2, 524,0) + +inst_652: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666665, 0x200, 3f, x2, 528,0) + +inst_653: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333332, 0x20, 3f, x2, 532,0) + +inst_654: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x2, 1b, x2, 536,0) + +inst_655: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555554, 0x10, 3f, x2, 540,0) + +inst_656: +// rs1_val==2863311530 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x2, 0x2, 1b, x2, 544,0) + +inst_657: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xffff, 0x100, 3f, x2, 548,0) + +inst_658: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb504, 0x200, 3f, x2, 552,0) + +inst_659: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666666, 0x10, 3f, x2, 556,0) + +inst_660: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333333, 0xa, 1b, x2, 560,0) + +inst_661: +// rs1_val==2863311530 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x5, 0x200, 3f, x2, 564,0) + +inst_662: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x4, 1b, x2, 568,0) + +inst_663: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555555, 0x4, 3f, x2, 572,0) + +inst_664: +// rs1_val==2863311530 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x3, 0x200, 3f, x2, 576,0) + +inst_665: +// rs1_val==1431655765 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x10000, 0x4, 1b, x2, 580,0) + +inst_666: +// rs1_val==1431655765 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x1, 0x4, 3f, x2, 584,0) + +inst_667: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb505, 0x2, 1b, x2, 588,0) + +inst_668: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666667, 0xa, 1b, x2, 592,0) + +inst_669: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333334, 0x8, 3f, x2, 596,0) + +inst_670: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 600,0) + +inst_671: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaab, 0x4, 3f, x2, 604,0) + +inst_672: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x2, 608,0) + +inst_673: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x4, 0x6, 1b, x2, 612,0) + +inst_674: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xfffe, 0x2, 3f, x2, 616,0) + +inst_675: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x0, 0x20, 3f, x2, 620,0) + +inst_676: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb503, 0xa, 1b, x2, 624,0) + +inst_677: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x2, 628,0) + +inst_678: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x2, 632,0) + +inst_679: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaa9, 0x20, 3f, x2, 636,0) + +inst_680: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555554, 0xa, 1b, x2, 640,0) + +inst_681: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x2, 0x0, 1b, x2, 644,0) + +inst_682: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xffff, 0x2, 3f, x2, 648,0) + +inst_683: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb504, 0x2, 3f, x2, 652,0) + +inst_684: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 656,0) + +inst_685: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333333, 0x2, 3f, x2, 660,0) + +inst_686: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x5, 0x100, 3f, x2, 664,0) + +inst_687: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaaa, 0x556, 1b, x2, 668,0) + +inst_688: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555555, 0x400, 3f, x2, 672,0) + +inst_689: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x3, 0x400, 1b, x2, 676,0) + +inst_690: +// rs1_val==3 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x10000, 0x2, 1b, x2, 680,0) + +inst_691: +// rs1_val==3 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x1, 0x400, 3f, x2, 684,0) + +inst_692: +// rs1_val==3 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb505, 0x10, 3f, x2, 688,0) + +inst_693: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666667, 0x0, 3f, x2, 692,0) + +inst_694: +// rs1_val==3 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 696,0) + +inst_695: +// rs1_val==3 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x6, 0x80, 3f, x2, 700,0) + +inst_696: +// rs1_val==3 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaab, 0x4, 1b, x2, 704,0) + +inst_697: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555556, 0x10, 3f, x2, 708,0) + +inst_698: +// rs1_val==3 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x4, 0x8, 1b, x2, 712,0) + +inst_699: +// rs1_val==3 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xfffe, 0xa, 1b, x2, 716,0) + +inst_700: +// rs1_val==3 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x0, 0x400, 1b, x2, 720,0) + +inst_701: +// rs1_val==3 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 724,0) + +inst_702: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666665, 0x4, 1b, x2, 728,0) + +inst_703: +// rs1_val==3 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333332, 0x556, 1b, x2, 732,0) + +inst_704: +// rs1_val==3 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaa9, 0x10, 3f, x2, 736,0) + +inst_705: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555554, 0x400, 3f, x2, 740,0) + +inst_706: +// rs1_val==3 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x2, 0x4, 3f, x2, 744,0) + +inst_707: +// rs1_val==3 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xffff, 0x200, 3f, x2, 748,0) + +inst_708: +// rs1_val==3 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb504, 0x40, 3f, x2, 752,0) + +inst_709: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x2, 756,0) + +inst_710: +// rs1_val==3 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333333, 0x80, 3f, x2, 760,0) + +inst_711: +// rs1_val==3 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 764,0) + +inst_712: +// rs1_val==3 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaaa, 0x6, 3f, x2, 768,0) + +inst_713: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555555, 0x8, 1b, x2, 772,0) + +inst_714: +// rs1_val==3 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x3, 0x4, 3f, x2, 776,0) + +inst_715: +// rs1_val==2863311529 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x5, 0x8, 1b, x2, 780,0) + +inst_716: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x6, 1b, x2, 784,0) + +inst_717: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555555, 0x400, 3f, x2, 788,0) + +inst_718: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x10000, 0x2, 1b, x2, 792,0) + +inst_719: +// rs1_val==1431655764 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x1, 0x556, 1b, x2, 796,0) + +inst_720: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb505, 0x0, 1b, x2, 800,0) + +inst_721: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666667, 0x100, 3f, x2, 804,0) + +inst_722: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333334, 0x6, 3f, x2, 808,0) + +inst_723: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x6, 0x2, 1b, x2, 812,0) + +inst_724: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaab, 0x556, 1b, x2, 816,0) + +inst_725: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555556, 0x80, 3f, x2, 820,0) + +inst_726: +// rs2_val == 4294705151, +// opcode: bltu, op1:x10; op2:x11; op1val:0x9; op2val:0xfffbffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x9, 0xfffbffff, 0x40, 3f, x2, 824,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 207*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S new file mode 100644 index 000000000..58a333c0e --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S @@ -0,0 +1,3010 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bne instruction of the RISC-V E extension for the bne covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bne) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x14, rs2==x14, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 +// opcode: bne, op1:x14; op2:x14; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x3, x14, x14, -0x2000001, -0x2000001, 0x8, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x9, rs2==x10, rs2_val == 2147483647, +// opcode: bne, op1:x9; op2:x10; op1val:0x9; op2val:0x7fffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x3, x9, x10, 0x9, 0x7fffffff, 0x6, 1b, x2, 4,0) + +inst_2: +// rs1==x12, rs2==x4, rs2_val == -1073741825, rs1_val == -257, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x12; op2:x4; op1val:-0x101; op2val:-0x40000001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x3, x12, x4, -0x101, -0x40000001, 0x10, 3f, x2, 8,0) + +inst_3: +// rs1==x6, rs2==x5, rs2_val == -536870913, rs1_val == -2097153 +// opcode: bne, op1:x6; op2:x5; op1val:-0x200001; op2val:-0x20000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x3, x6, x5, -0x200001, -0x20000001, 0x2, 1b, x2, 12,0) + +inst_4: +// rs1==x13, rs2==x0, rs2_val == -134217729, rs1_val == -16777217 +// opcode: bne, op1:x13; op2:x0; op1val:-0x1000001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x3, x13, x0, -0x1000001, 0x0, 0x6, 1b, x2, 16,0) + +inst_5: +// rs1==x7, rs2==x8, rs2_val == -67108865, rs1_val == 64, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x7; op2:x8; op1val:0x40; op2val:-0x4000001; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x3, x7, x8, 0x40, -0x4000001, 0x100, 3f, x2, 20,0) + +inst_6: +// rs1==x1, rs2==x13, rs2_val == -33554433, rs1_val == 4194304 +// opcode: bne, op1:x1; op2:x13; op1val:0x400000; op2val:-0x2000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x3, x1, x13, 0x400000, -0x2000001, 0x2, 1b, x2, 24,0) + +inst_7: +// rs1==x5, rs2==x11, rs2_val == -16777217, rs1_val == 2147483647 +// opcode: bne, op1:x5; op2:x11; op1val:0x7fffffff; op2val:-0x1000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x13, x5, x11, 0x7fffffff, -0x1000001, 0x2, 3f, x2, 28,0) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_8: +// rs1==x0, rs2==x1, rs2_val == -8388609, rs1_val == 8192 +// opcode: bne, op1:x0; op2:x1; op1val:0x0; op2val:-0x800001; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x13, x0, x1, 0x0, -0x800001, 0x4, 1b, x5, 0,0) + +inst_9: +// rs1==x4, rs2==x9, rs2_val == -4194305, rs1_val == -16385 +// opcode: bne, op1:x4; op2:x9; op1val:-0x4001; op2val:-0x400001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x13, x4, x9, -0x4001, -0x400001, 0x10, 3f, x5, 4,0) + +inst_10: +// rs1==x11, rs2==x15, rs2_val == -2097153, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -67108865 +// opcode: bne, op1:x11; op2:x15; op1val:-0x4000001; op2val:-0x200001; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x13, x11, x15, -0x4000001, -0x200001, 0x80, 3f, x5, 8,0) + +inst_11: +// rs1==x15, rs2==x3, rs2_val == -1048577, +// opcode: bne, op1:x15; op2:x3; op1val:0x6; op2val:-0x100001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x13, x15, x3, 0x6, -0x100001, 0x6, 1b, x5, 12,0) + +inst_12: +// rs1==x10, rs2==x7, rs2_val == -524289, rs1_val == -4097 +// opcode: bne, op1:x10; op2:x7; op1val:-0x1001; op2val:-0x80001; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x13, x10, x7, -0x1001, -0x80001, 0x556, 1b, x5, 16,0) + +inst_13: +// rs1==x3, rs2==x2, rs2_val == -262145, +// opcode: bne, op1:x3; op2:x2; op1val:0xb505; op2val:-0x40001; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x13, x3, x2, 0xb505, -0x40001, 0x0, 3f, x5, 20,0) + +inst_14: +// rs1==x2, rs2==x12, rs2_val == -131073, +// opcode: bne, op1:x2; op2:x12; op1val:-0x6; op2val:-0x20001; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x13, x2, x12, -0x6, -0x20001, 0x100, 3f, x5, 24,0) + +inst_15: +// rs1==x8, rs2==x6, rs2_val == -65537, +// opcode: bne, op1:x8; op2:x6; op1val:0x66666666; op2val:-0x10001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x13, x8, x6, 0x66666666, -0x10001, 0x200, 3f, x5, 28,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_16: +// rs2_val == -32769, rs1_val == -2147483648 +// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x8001; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, -0x8001, 0x400, 3f, x1, 0,0) + +inst_17: +// rs2_val == -16385, rs1_val == 32 +// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:-0x4001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, -0x4001, 0x6, 1b, x1, 4,0) + +inst_18: +// rs2_val == -8193, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x2001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x2001, 0x8, 3f, x1, 8,0) + +inst_19: +// rs2_val == -4097, rs1_val == 2048 +// opcode: bne, op1:x10; op2:x11; op1val:0x800; op2val:-0x1001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x800, -0x1001, 0x2, 3f, x1, 12,0) + +inst_20: +// rs2_val == -2049, rs1_val == -65537 +// opcode: bne, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x801; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x10001, -0x801, 0x0, 3f, x1, 16,0) + +inst_21: +// rs2_val == -1025, rs1_val == -262145 +// opcode: bne, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x401; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40001, -0x401, 0x0, 3f, x1, 20,0) + +inst_22: +// rs2_val == -513, rs1_val == -268435457 +// opcode: bne, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x201; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x10000001, -0x201, 0x2, 3f, x1, 24,0) + +inst_23: +// rs2_val == -257, +// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x101; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, -0x101, 0x8, 3f, x1, 28,0) + +inst_24: +// rs2_val == -129, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x81, 0x4, 1b, x1, 32,0) + +inst_25: +// rs2_val == -65, rs1_val == -2049 +// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:-0x41; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, -0x41, 0x8, 3f, x1, 36,0) + +inst_26: +// rs2_val == -33, +// opcode: bne, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x21; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000000, -0x21, 0x20, 3f, x1, 40,0) + +inst_27: +// rs2_val == -17, rs1_val == -1073741825 +// opcode: bne, op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x11; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000001, -0x11, 0x400, 3f, x1, 44,0) + +inst_28: +// rs2_val == -9, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x9; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x9, 0x100, 3f, x1, 48,0) + +inst_29: +// rs2_val == -5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x1001, -0x5, 0x4, 1b, x1, 52,0) + +inst_30: +// rs2_val == -3, rs1_val == 134217728 +// opcode: bne, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000000, -0x3, 0x556, 1b, x1, 56,0) + +inst_31: +// rs2_val == -2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x101; op2val:-0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x101, -0x2, 0x2, 3f, x1, 60,0) + +inst_32: +// rs1_val == -536870913, +// opcode: bne, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x1001; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x20000001, -0x1001, 0x80, 3f, x1, 64,0) + +inst_33: +// rs1_val == -134217729, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 2 +// opcode: bne, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8000001, 0x2, 0x0, 1b, x1, 68,0) + +inst_34: +// rs1_val == -8388609, +// opcode: bne, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x11; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x800001, -0x11, 0x200, 3f, x1, 72,0) + +inst_35: +// rs1_val == -4194305, +// opcode: bne, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x20000001; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x400001, -0x20000001, 0x40, 3f, x1, 76,0) + +inst_36: +// rs1_val == -1048577, +// opcode: bne, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x800001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x100001, -0x800001, 0x2, 1b, x1, 80,0) + +inst_37: +// rs1_val == -524289, +// opcode: bne, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80001, -0x200001, 0x10, 3f, x1, 84,0) + +inst_38: +// rs1_val == -131073, rs2_val == 1024 +// opcode: bne, op1:x10; op2:x11; op1val:-0x20001; op2val:0x400; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x20001, 0x400, 0x10, 3f, x1, 88,0) + +inst_39: +// rs1_val == -32769, +// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x80001; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, -0x80001, 0x4, 3f, x1, 92,0) + +inst_40: +// rs1_val == -8193, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x100001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2001, -0x100001, 0x2, 1b, x1, 96,0) + +inst_41: +// rs1_val == -1025, rs2_val == -1431655766 +// opcode: bne, op1:x10; op2:x11; op1val:-0x401; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x401, -0x55555556, 0x556, 1b, x1, 100,0) + +inst_42: +// rs1_val == -513, +// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x6, 0x20, 3f, x1, 104,0) + +inst_43: +// rs1_val == -129, +// opcode: bne, op1:x10; op2:x11; op1val:-0x81; op2val:-0x400001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x81, -0x400001, 0x6, 1b, x1, 108,0) + +inst_44: +// rs1_val == -65, +// opcode: bne, op1:x10; op2:x11; op1val:-0x41; op2val:-0x1001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x41, -0x1001, 0x200, 3f, x1, 112,0) + +inst_45: +// rs1_val == -33, +// opcode: bne, op1:x10; op2:x11; op1val:-0x21; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x21, -0x55555556, 0x80, 3f, x1, 116,0) + +inst_46: +// rs1_val == -17, +// opcode: bne, op1:x10; op2:x11; op1val:-0x11; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x11, -0x101, 0x6, 1b, x1, 120,0) + +inst_47: +// rs1_val == -9, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:-0x40001; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, -0x40001, 0x400, 1b, x1, 124,0) + +inst_48: +// rs1_val == -5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x5; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x5, 0x6, 0x80, 3f, x1, 128,0) + +inst_49: +// rs1_val == -3, rs2_val == 1431655765 +// opcode: bne, op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x3, 0x55555555, 0xa, 1b, x1, 132,0) + +inst_50: +// rs1_val == -2, rs2_val == 524288 +// opcode: bne, op1:x10; op2:x11; op1val:-0x2; op2val:0x80000; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2, 0x80000, 0x4, 3f, x1, 136,0) + +inst_51: +// rs2_val == -2147483648, rs1_val == -1431655766 +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x80000000, 0x2, 1b, x1, 140,0) + +inst_52: +// rs2_val == 1073741824, +// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:0x40000000; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, 0x40000000, 0x80, 3f, x1, 144,0) + +inst_53: +// rs2_val == 536870912, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20000000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x20000000, 0x8, 1b, x1, 148,0) + +inst_54: +// rs2_val == 268435456, rs1_val == 16384 +// opcode: bne, op1:x10; op2:x11; op1val:0x4000; op2val:0x10000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000, 0x10000000, 0x2, 3f, x1, 152,0) + +inst_55: +// rs2_val == 134217728, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x8000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x8000000, 0x2, 3f, x1, 156,0) + +inst_56: +// rs2_val == 67108864, rs1_val == 8 +// opcode: bne, op1:x10; op2:x11; op1val:0x8; op2val:0x4000000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8, 0x4000000, 0xa, 1b, x1, 160,0) + +inst_57: +// rs2_val == 33554432, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2000000, 0xa, 1b, x1, 164,0) + +inst_58: +// rs2_val == 16777216, +// opcode: bne, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x1000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x4000001, 0x1000000, 0x2, 1b, x1, 168,0) + +inst_59: +// rs2_val == 8388608, +// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:0x800000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, 0x800000, 0x8, 3f, x1, 172,0) + +inst_60: +// rs2_val == 4194304, +// opcode: bne, op1:x10; op2:x11; op1val:-0x6; op2val:0x400000; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x6, 0x400000, 0x200, 3f, x1, 176,0) + +inst_61: +// rs2_val == 2097152, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x200000; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x200000, 0x20, 3f, x1, 180,0) + +inst_62: +// rs2_val == 1048576, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x100000; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x100000, 0x0, 3f, x1, 184,0) + +inst_63: +// rs2_val == 262144, +// opcode: bne, op1:x10; op2:x11; op1val:0x2000; op2val:0x40000; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000, 0x40000, 0x80, 3f, x1, 188,0) + +inst_64: +// rs2_val == 131072, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x20000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x20000, 0xa, 1b, x1, 192,0) + +inst_65: +// rs2_val == 65536, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x10000, 0xa, 1b, x1, 196,0) + +inst_66: +// rs2_val == 32768, +// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:0x8000; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, 0x8000, 0x0, 1b, x1, 200,0) + +inst_67: +// rs2_val == 16384, +// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x4000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, 0x4000, 0xa, 1b, x1, 204,0) + +inst_68: +// rs2_val == 8192, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x2000, 0xa, 1b, x1, 208,0) + +inst_69: +// rs2_val == 4096, rs1_val == 32768 +// opcode: bne, op1:x10; op2:x11; op1val:0x8000; op2val:0x1000; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000, 0x1000, 0x6, 3f, x1, 212,0) + +inst_70: +// rs2_val == 2048, +// opcode: bne, op1:x10; op2:x11; op1val:0x40; op2val:0x800; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40, 0x800, 0x6, 3f, x1, 216,0) + +inst_71: +// rs2_val == 512, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x200, 0x400, 1b, x1, 220,0) + +inst_72: +// rs2_val == 256, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x100; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x100, 0x200, 3f, x1, 224,0) + +inst_73: +// rs2_val == 128, rs1_val == 65536 +// opcode: bne, op1:x10; op2:x11; op1val:0x10000; op2val:0x80; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000, 0x80, 0x80, 3f, x1, 228,0) + +inst_74: +// rs2_val == 64, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x40; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x40, 0x8, 3f, x1, 232,0) + +inst_75: +// rs2_val == 32, +// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x20; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x20, 0x40, 3f, x1, 236,0) + +inst_76: +// rs2_val == 16, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x10; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x10, 0x400, 3f, x1, 240,0) + +inst_77: +// rs2_val == 8, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x8; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x8, 0x80, 3f, x1, 244,0) + +inst_78: +// rs2_val == 4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, 0x4, 0x2, 1b, x1, 248,0) + +inst_79: +// rs2_val == 1, rs1_val == 2 +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x1, 0x4, 3f, x1, 252,0) + +inst_80: +// rs1_val == 1073741824, +// opcode: bne, op1:x10; op2:x11; op1val:0x40000000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000000, 0x33333333, 0x2, 1b, x1, 256,0) + +inst_81: +// rs1_val == 536870912, +// opcode: bne, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x9; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000000, -0x9, 0x4, 3f, x1, 260,0) + +inst_82: +// rs1_val == 268435456, +// opcode: bne, op1:x10; op2:x11; op1val:0x10000000; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000000, 0x4, 0x10, 3f, x1, 264,0) + +inst_83: +// rs1_val == 67108864, +// opcode: bne, op1:x10; op2:x11; op1val:0x4000000; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000000, 0x800000, 0x200, 3f, x1, 268,0) + +inst_84: +// rs1_val == 33554432, +// opcode: bne, op1:x10; op2:x11; op1val:0x2000000; op2val:0x3fffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000000, 0x3fffffff, 0x2, 3f, x1, 272,0) + +inst_85: +// rs1_val == 16777216, +// opcode: bne, op1:x10; op2:x11; op1val:0x1000000; op2val:-0x100001; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000000, -0x100001, 0x0, 3f, x1, 276,0) + +inst_86: +// rs1_val == 8388608, +// opcode: bne, op1:x10; op2:x11; op1val:0x800000; op2val:0x1000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x800000, 0x1000, 0x8, 1b, x1, 280,0) + +inst_87: +// rs1_val == 2097152, +// opcode: bne, op1:x10; op2:x11; op1val:0x200000; op2val:-0x401; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x200000, -0x401, 0x200, 3f, x1, 284,0) + +inst_88: +// rs1_val == 1048576, +// opcode: bne, op1:x10; op2:x11; op1val:0x100000; op2val:-0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x100000, -0x1, 0x8, 3f, x1, 288,0) + +inst_89: +// rs1_val == 524288, +// opcode: bne, op1:x10; op2:x11; op1val:0x80000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x80000, 0x0, 0x2, 3f, x1, 292,0) + +inst_90: +// rs1_val == 262144, +// opcode: bne, op1:x10; op2:x11; op1val:0x40000; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000, 0x33333333, 0x0, 3f, x1, 296,0) + +inst_91: +// rs1_val == 131072, +// opcode: bne, op1:x10; op2:x11; op1val:0x20000; op2val:-0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000, -0x5, 0x100, 3f, x1, 300,0) + +inst_92: +// rs1_val == 4096, +// opcode: bne, op1:x10; op2:x11; op1val:0x1000; op2val:0x100000; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000, 0x100000, 0x20, 3f, x1, 304,0) + +inst_93: +// rs1_val == 1024, +// opcode: bne, op1:x10; op2:x11; op1val:0x400; op2val:0x80; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x400, 0x80, 0x400, 1b, x1, 308,0) + +inst_94: +// rs1_val == 512, +// opcode: bne, op1:x10; op2:x11; op1val:0x200; op2val:-0x9; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x200, -0x9, 0x0, 3f, x1, 312,0) + +inst_95: +// rs1_val == 256, +// opcode: bne, op1:x10; op2:x11; op1val:0x100; op2val:0x8000; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x100, 0x8000, 0x556, 1b, x1, 316,0) + +inst_96: +// rs1_val == 128, +// opcode: bne, op1:x10; op2:x11; op1val:0x80; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x80, 0x55555556, 0x20, 3f, x1, 320,0) + +inst_97: +// rs1_val == 16, +// opcode: bne, op1:x10; op2:x11; op1val:0x10; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10, -0x81, 0x4, 1b, x1, 324,0) + +inst_98: +// rs1_val == 4, rs1_val==4 and rs2_val==0 +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x0, 0x556, 1b, x1, 328,0) + +inst_99: +// rs1_val == 1, +// opcode: bne, op1:x10; op2:x11; op1val:0x1; op2val:-0x4000001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1, -0x4000001, 0x200, 3f, x1, 332,0) + +inst_100: +// rs1_val==46341 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb505, 0xa, 1b, x1, 336,0) + +inst_101: +// rs1_val==46341 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb503, 0x4, 1b, x1, 340,0) + +inst_102: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666667, 0x200, 3f, x1, 344,0) + +inst_103: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x1, 348,0) + +inst_104: +// rs1_val==46341 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x6, 0x4, 3f, x1, 352,0) + +inst_105: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555555, 0x2, 3f, x1, 356,0) + +inst_106: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555556, 0x2, 3f, x1, 360,0) + +inst_107: +// rs1_val==46341 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x4, 0x6, 3f, x1, 364,0) + +inst_108: +// rs1_val==46341 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb503, 0x556, 1b, x1, 368,0) + +inst_109: +// rs1_val==46341 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x0, 0x6, 1b, x1, 372,0) + +inst_110: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666665, 0x6, 3f, x1, 376,0) + +inst_111: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333332, 0x400, 3f, x1, 380,0) + +inst_112: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x1, 384,0) + +inst_113: +// rs1_val==46341 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x2, 0x20, 3f, x1, 388,0) + +inst_114: +// rs1_val==46341 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb504, 0x200, 3f, x1, 392,0) + +inst_115: +// rs1_val==46341 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb504, 0x6, 3f, x1, 396,0) + +inst_116: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666666, 0x100, 3f, x1, 400,0) + +inst_117: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333333, 0x10, 3f, x1, 404,0) + +inst_118: +// rs1_val==46341 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x5, 0x2, 3f, x1, 408,0) + +inst_119: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555556, 0x6, 1b, x1, 412,0) + +inst_120: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 416,0) + +inst_121: +// rs1_val==46341 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x3, 0x6, 3f, x1, 420,0) + +inst_122: +// rs1_val==-46339 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb505, 0x4, 1b, x1, 424,0) + +inst_123: +// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb503, 0x400, 3f, x1, 428,0) + +inst_124: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666667, 0x100, 3f, x1, 432,0) + +inst_125: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333334, 0x4, 3f, x1, 436,0) + +inst_126: +// rs1_val==-46339 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x6, 0x10, 3f, x1, 440,0) + +inst_127: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555555, 0x556, 1b, x1, 444,0) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x1, 448,0) + +inst_129: +// rs1_val==-46339 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x4, 0x400, 3f, x1, 452,0) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb503, 0x400, 3f, x1, 456,0) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x0, 0x4, 3f, x1, 460,0) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666665, 0x6, 1b, x1, 464,0) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333332, 0x6, 1b, x1, 468,0) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555554, 0x2, 1b, x1, 472,0) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x2, 0x400, 3f, x1, 476,0) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb504, 0x2, 1b, x1, 480,0) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb504, 0x400, 3f, x1, 484,0) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666666, 0x4, 1b, x1, 488,0) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333333, 0x2, 3f, x1, 492,0) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x5, 0x400, 3f, x1, 496,0) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555556, 0x6, 1b, x1, 500,0) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555555, 0x20, 3f, x1, 504,0) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x3, 0x556, 1b, x1, 508,0) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x1, 512,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb503, 0x6, 1b, x1, 516,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666667, 0x2, 1b, x1, 520,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x1, 524,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x6, 0x0, 3f, x1, 528,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555555, 0x10, 3f, x1, 532,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555556, 0x8, 1b, x1, 536,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x4, 0x4, 3f, x1, 540,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb503, 0x4, 1b, x1, 544,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x0, 0x556, 1b, x1, 548,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666665, 0x2, 3f, x1, 552,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333332, 0x20, 3f, x1, 556,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x1, 560,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x2, 0x6, 3f, x1, 564,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb504, 0x20, 3f, x1, 568,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb504, 0x2, 1b, x1, 572,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x1, 576,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x1, 580,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x5, 0x400, 3f, x1, 584,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555556, 0x40, 3f, x1, 588,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555555, 0x4, 3f, x1, 592,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x3, 0x2, 1b, x1, 596,0) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb505, 0x556, 1b, x1, 600,0) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb503, 0x100, 3f, x1, 604,0) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666667, 0x200, 3f, x1, 608,0) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333334, 0x100, 3f, x1, 612,0) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x6, 0x0, 1b, x1, 616,0) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555555, 0x2, 3f, x1, 620,0) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x1, 624,0) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x4, 0x556, 1b, x1, 628,0) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb503, 0x40, 3f, x1, 632,0) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x0, 0x2, 1b, x1, 636,0) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666665, 0x40, 3f, x1, 640,0) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x1, 644,0) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555554, 0x6, 1b, x1, 648,0) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x2, 0x556, 1b, x1, 652,0) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb504, 0x2, 1b, x1, 656,0) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb504, 0x8, 3f, x1, 660,0) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666666, 0x0, 3f, x1, 664,0) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333333, 0x4, 3f, x1, 668,0) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x5, 0x6, 3f, x1, 672,0) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555556, 0x200, 3f, x1, 676,0) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555555, 0x0, 3f, x1, 680,0) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x3, 0x80, 3f, x1, 684,0) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 688,0) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb503, 0x20, 3f, x1, 692,0) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666667, 0x2, 3f, x1, 696,0) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333334, 0x40, 3f, x1, 700,0) + +inst_192: +// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x6, 0x4, 1b, x1, 704,0) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555555, 0x0, 1b, x1, 708,0) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555556, 0x2, 1b, x1, 712,0) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x4, 0x20, 3f, x1, 716,0) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb503, 0x400, 1b, x1, 720,0) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x0, 0xa, 1b, x1, 724,0) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666665, 0x4, 1b, x1, 728,0) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333332, 0x0, 3f, x1, 732,0) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555554, 0x4, 1b, x1, 736,0) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x2, 0x80, 3f, x1, 740,0) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb504, 0x4, 1b, x1, 744,0) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb504, 0x6, 3f, x1, 748,0) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666666, 0x2, 1b, x1, 752,0) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333333, 0x8, 3f, x1, 756,0) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 760,0) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555556, 0x80, 3f, x1, 764,0) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555555, 0x556, 1b, x1, 768,0) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x3, 0x6, 3f, x1, 772,0) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x1, 776,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb503, 0x40, 3f, x1, 780,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666667, 0xa, 1b, x1, 784,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333334, 0x400, 1b, x1, 788,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 792,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555555, 0x556, 1b, x1, 796,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555556, 0x0, 3f, x1, 800,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x4, 0x40, 3f, x1, 804,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb503, 0x556, 1b, x1, 808,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x0, 0x4, 3f, x1, 812,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666665, 0x80, 3f, x1, 816,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333332, 0x80, 3f, x1, 820,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555554, 0x0, 3f, x1, 824,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x2, 0x10, 3f, x1, 828,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb504, 0x8, 3f, x1, 832,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb504, 0x2, 3f, x1, 836,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666666, 0x20, 3f, x1, 840,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333333, 0x6, 1b, x1, 844,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x5, 0x2, 3f, x1, 848,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555556, 0x8, 3f, x1, 852,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555555, 0x10, 3f, x1, 856,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x3, 0x20, 3f, x1, 860,0) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x1, 864,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb503, 0x2, 1b, x1, 868,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x1, 872,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333334, 0x556, 1b, x1, 876,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x6, 0x556, 1b, x1, 880,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555555, 0xa, 1b, x1, 884,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555556, 0x6, 3f, x1, 888,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x4, 0x40, 3f, x1, 892,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb503, 0x4, 1b, x1, 896,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x0, 0x400, 1b, x1, 900,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x1, 904,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333332, 0x8, 3f, x1, 908,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555554, 0x0, 1b, x1, 912,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x2, 0x8, 1b, x1, 916,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x1, 920,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb504, 0x10, 3f, x1, 924,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666666, 0x8, 1b, x1, 928,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333333, 0x20, 3f, x1, 932,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x5, 0x4, 3f, x1, 936,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555556, 0x400, 3f, x1, 940,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x1, 944,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 948,0) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb505, 0x2, 1b, x1, 952,0) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb503, 0x0, 3f, x1, 956,0) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666667, 0x2, 1b, x1, 960,0) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333334, 0x0, 3f, x1, 964,0) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x6, 0x4, 3f, x1, 968,0) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555555, 0x0, 1b, x1, 972,0) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555556, 0x0, 3f, x1, 976,0) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x4, 0x0, 3f, x1, 980,0) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb503, 0x8, 3f, x1, 984,0) + +inst_263: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666665, 0x8, 1b, x1, 988,0) + +inst_264: +// rs1_val==4 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333332, 0x40, 3f, x1, 992,0) + +inst_265: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555554, 0x556, 1b, x1, 996,0) + +inst_266: +// rs1_val==4 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x2, 0x8, 1b, x1, 1000,0) + +inst_267: +// rs1_val==4 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb504, 0x20, 3f, x1, 1004,0) + +inst_268: +// rs1_val==4 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb504, 0x80, 3f, x1, 1008,0) + +inst_269: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666666, 0x556, 1b, x1, 1012,0) + +inst_270: +// rs1_val==4 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1016,0) + +inst_271: +// rs1_val==4 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x5, 0x100, 3f, x1, 1020,0) + +inst_272: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555556, 0x20, 3f, x1, 1024,0) + +inst_273: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555555, 0x8, 3f, x1, 1028,0) + +inst_274: +// rs1_val==4 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x3, 0x10, 3f, x1, 1032,0) + +inst_275: +// rs1_val==46339 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb505, 0x80, 3f, x1, 1036,0) + +inst_276: +// rs1_val==46339 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb503, 0x8, 1b, x1, 1040,0) + +inst_277: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666667, 0x400, 3f, x1, 1044,0) + +inst_278: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333334, 0x2, 3f, x1, 1048,0) + +inst_279: +// rs1_val==46339 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x6, 0x10, 3f, x1, 1052,0) + +inst_280: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1056,0) + +inst_281: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555556, 0x80, 3f, x1, 1060,0) + +inst_282: +// rs1_val==46339 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x4, 0x400, 3f, x1, 1064,0) + +inst_283: +// rs1_val==46339 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb503, 0xa, 1b, x1, 1068,0) + +inst_284: +// rs1_val==46339 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x0, 0x40, 3f, x1, 1072,0) + +inst_285: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666665, 0x6, 3f, x1, 1076,0) + +inst_286: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333332, 0x0, 3f, x1, 1080,0) + +inst_287: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555554, 0x556, 1b, x1, 1084,0) + +inst_288: +// rs1_val==46339 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x2, 0x10, 3f, x1, 1088,0) + +inst_289: +// rs1_val==46339 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb504, 0x20, 3f, x1, 1092,0) + +inst_290: +// rs1_val==46339 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb504, 0x2, 1b, x1, 1096,0) + +inst_291: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666666, 0x556, 1b, x1, 1100,0) + +inst_292: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333333, 0x4, 1b, x1, 1104,0) + +inst_293: +// rs1_val==46339 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x5, 0x20, 3f, x1, 1108,0) + +inst_294: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555556, 0x8, 3f, x1, 1112,0) + +inst_295: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555555, 0x2, 3f, x1, 1116,0) + +inst_296: +// rs1_val==46339 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x3, 0x0, 3f, x1, 1120,0) + +inst_297: +// rs1_val==0 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb505, 0x0, 3f, x1, 1124,0) + +inst_298: +// rs1_val==0 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb503, 0x80, 3f, x1, 1128,0) + +inst_299: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666667, 0x10, 3f, x1, 1132,0) + +inst_300: +// rs1_val==0 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333334, 0x80, 3f, x1, 1136,0) + +inst_301: +// rs1_val==0 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x6, 0x4, 1b, x1, 1140,0) + +inst_302: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555555, 0x0, 1b, x1, 1144,0) + +inst_303: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555556, 0x0, 3f, x1, 1148,0) + +inst_304: +// rs1_val==0 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x4, 0x556, 1b, x1, 1152,0) + +inst_305: +// rs1_val==0 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb503, 0x400, 3f, x1, 1156,0) + +inst_306: +// rs1_val==0 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x0, 0x8, 3f, x1, 1160,0) + +inst_307: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666665, 0x2, 1b, x1, 1164,0) + +inst_308: +// rs1_val==0 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333332, 0x400, 3f, x1, 1168,0) + +inst_309: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555554, 0x4, 3f, x1, 1172,0) + +inst_310: +// rs1_val==0 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x2, 0x8, 3f, x1, 1176,0) + +inst_311: +// rs1_val==0 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb504, 0x2, 1b, x1, 1180,0) + +inst_312: +// rs1_val==0 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb504, 0x400, 1b, x1, 1184,0) + +inst_313: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666666, 0x8, 1b, x1, 1188,0) + +inst_314: +// rs1_val==0 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333333, 0x200, 3f, x1, 1192,0) + +inst_315: +// rs1_val==0 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x5, 0x2, 3f, x1, 1196,0) + +inst_316: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1200,0) + +inst_317: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555555, 0x0, 1b, x1, 1204,0) + +inst_318: +// rs1_val==0 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x3, 0xa, 1b, x1, 1208,0) + +inst_319: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb505, 0x2, 3f, x1, 1212,0) + +inst_320: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb503, 0x20, 3f, x1, 1216,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666667, 0x400, 3f, x1, 1220,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x1, 1224,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x6, 0x4, 3f, x1, 1228,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555555, 0x0, 3f, x1, 1232,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555556, 0x6, 3f, x1, 1236,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x4, 0x8, 3f, x1, 1240,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x1, 1244,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x0, 0x0, 3f, x1, 1248,0) + +inst_329: +// rs1_val==858993459 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x0, 0x0, 3f, x1, 1252,0) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666665, 0x400, 3f, x1, 1256,0) + +inst_331: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x1, 1260,0) + +inst_332: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555554, 0xa, 1b, x1, 1264,0) + +inst_333: +// rs1_val==858993459 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x2, 0x2, 3f, x1, 1268,0) + +inst_334: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x1, 1272,0) + +inst_335: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb504, 0x0, 1b, x1, 1276,0) + +inst_336: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x1, 1280,0) + +inst_337: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x1, 1284,0) + +inst_338: +// rs1_val==858993459 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x5, 0x2, 1b, x1, 1288,0) + +inst_339: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1292,0) + +inst_340: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555555, 0x4, 1b, x1, 1296,0) + +inst_341: +// rs1_val==858993459 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x3, 0x4, 1b, x1, 1300,0) + +inst_342: +// rs1_val==5 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb505, 0x20, 3f, x1, 1304,0) + +inst_343: +// rs1_val==5 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb503, 0x400, 3f, x1, 1308,0) + +inst_344: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666667, 0x6, 1b, x1, 1312,0) + +inst_345: +// rs1_val==5 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333334, 0x100, 3f, x1, 1316,0) + +inst_346: +// rs1_val==5 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x6, 0x80, 3f, x1, 1320,0) + +inst_347: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555555, 0x8, 3f, x1, 1324,0) + +inst_348: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555556, 0x10, 3f, x1, 1328,0) + +inst_349: +// rs1_val==5 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x4, 0x400, 1b, x1, 1332,0) + +inst_350: +// rs1_val==5 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb503, 0x8, 3f, x1, 1336,0) + +inst_351: +// rs1_val==5 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x0, 0x8, 1b, x1, 1340,0) + +inst_352: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666665, 0x2, 1b, x1, 1344,0) + +inst_353: +// rs1_val==5 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333332, 0x6, 3f, x1, 1348,0) + +inst_354: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555554, 0x100, 3f, x1, 1352,0) + +inst_355: +// rs1_val==5 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x2, 0x6, 3f, x1, 1356,0) + +inst_356: +// rs1_val==5 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb504, 0x2, 1b, x1, 1360,0) + +inst_357: +// rs1_val==5 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb504, 0x6, 1b, x1, 1364,0) + +inst_358: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666666, 0x556, 1b, x1, 1368,0) + +inst_359: +// rs1_val==5 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333333, 0x4, 1b, x1, 1372,0) + +inst_360: +// rs1_val==5 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x5, 0x20, 3f, x1, 1376,0) + +inst_361: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1380,0) + +inst_362: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555555, 0x4, 3f, x1, 1384,0) + +inst_363: +// rs1_val==5 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x3, 0x8, 1b, x1, 1388,0) + +inst_364: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb505, 0x80, 3f, x1, 1392,0) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb503, 0x0, 3f, x1, 1396,0) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666667, 0x40, 3f, x1, 1400,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333334, 0x4, 1b, x1, 1404,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x6, 0x80, 3f, x1, 1408,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555555, 0x20, 3f, x1, 1412,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555556, 0x400, 1b, x1, 1416,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x4, 0x6, 1b, x1, 1420,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb503, 0x400, 3f, x1, 1424,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x0, 0x4, 3f, x1, 1428,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666665, 0x2, 1b, x1, 1432,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333332, 0x2, 1b, x1, 1436,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555554, 0x200, 3f, x1, 1440,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x2, 0x400, 3f, x1, 1444,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb504, 0x556, 1b, x1, 1448,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb504, 0x0, 1b, x1, 1452,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666666, 0x6, 1b, x1, 1456,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333333, 0x2, 3f, x1, 1460,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x5, 0x4, 1b, x1, 1464,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555556, 0x6, 1b, x1, 1468,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555555, 0x4, 3f, x1, 1472,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x3, 0x40, 3f, x1, 1476,0) + +inst_386: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb505, 0x6, 1b, x1, 1480,0) + +inst_387: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb503, 0x10, 3f, x1, 1484,0) + +inst_388: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666667, 0x8, 3f, x1, 1488,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x1, 1492,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x6, 0x556, 1b, x1, 1496,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555555, 0xa, 1b, x1, 1500,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x1, 1504,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x4, 0x0, 1b, x1, 1508,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb503, 0x10, 3f, x1, 1512,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1516,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666665, 0x20, 3f, x1, 1520,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x1, 1524,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555554, 0x400, 3f, x1, 1528,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x2, 0x4, 3f, x1, 1532,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x1, 1536,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb504, 0x6, 3f, x1, 1540,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x1, 1544,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x1, 1548,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x5, 0x200, 3f, x1, 1552,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555556, 0x100, 3f, x1, 1556,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555555, 0xa, 1b, x1, 1560,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x3, 0x8, 1b, x1, 1564,0) + +inst_408: +// rs1_val==3 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb505, 0x200, 3f, x1, 1568,0) + +inst_409: +// rs1_val==3 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb503, 0x4, 1b, x1, 1572,0) + +inst_410: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666667, 0x40, 3f, x1, 1576,0) + +inst_411: +// rs1_val==3 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333334, 0x2, 3f, x1, 1580,0) + +inst_412: +// rs1_val==3 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x6, 0x0, 3f, x1, 1584,0) + +inst_413: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555555, 0x4, 1b, x1, 1588,0) + +inst_414: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555556, 0x8, 3f, x1, 1592,0) + +inst_415: +// rs1_val==3 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x4, 0x4, 1b, x1, 1596,0) + +inst_416: +// rs1_val==3 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb503, 0x0, 1b, x1, 1600,0) + +inst_417: +// rs1_val==3 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x0, 0x200, 3f, x1, 1604,0) + +inst_418: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666665, 0x6, 3f, x1, 1608,0) + +inst_419: +// rs1_val==3 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333332, 0x4, 3f, x1, 1612,0) + +inst_420: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555554, 0x4, 1b, x1, 1616,0) + +inst_421: +// rs1_val==3 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x2, 0x100, 3f, x1, 1620,0) + +inst_422: +// rs1_val==3 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb504, 0x400, 1b, x1, 1624,0) + +inst_423: +// rs1_val==3 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb504, 0x10, 3f, x1, 1628,0) + +inst_424: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666666, 0x6, 3f, x1, 1632,0) + +inst_425: +// rs1_val==3 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333333, 0x6, 3f, x1, 1636,0) + +inst_426: +// rs1_val==3 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x5, 0x4, 1b, x1, 1640,0) + +inst_427: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555556, 0x8, 1b, x1, 1644,0) + +inst_428: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555555, 0x2, 1b, x1, 1648,0) + +inst_429: +// rs1_val==3 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x3, 0x400, 3f, x1, 1652,0) + +inst_430: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666665, 0x200, 3f, x1, 1656,0) + +inst_431: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333332, 0x6, 3f, x1, 1660,0) + +inst_432: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x1, 1664,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x2, 0x6, 1b, x1, 1668,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb504, 0x8, 1b, x1, 1672,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb504, 0xa, 1b, x1, 1676,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666666, 0x100, 3f, x1, 1680,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333333, 0x2, 1b, x1, 1684,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x5, 0x20, 3f, x1, 1688,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555556, 0xa, 1b, x1, 1692,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555555, 0xa, 1b, x1, 1696,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x3, 0x200, 3f, x1, 1700,0) + +inst_442: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb505, 0xa, 1b, x1, 1704,0) + +inst_443: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb503, 0x400, 3f, x1, 1708,0) + +inst_444: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x1, 1712,0) + +inst_445: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333334, 0x100, 3f, x1, 1716,0) + +inst_446: +// rs1_val==858993458 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x6, 0x0, 1b, x1, 1720,0) + +inst_447: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555555, 0x40, 3f, x1, 1724,0) + +inst_448: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x1, 1728,0) + +inst_449: +// rs1_val==858993458 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x4, 0x2, 3f, x1, 1732,0) + +inst_450: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb503, 0x20, 3f, x1, 1736,0) + +inst_451: +// rs1_val==858993458 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x0, 0x4, 1b, x1, 1740,0) + +inst_452: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666665, 0x20, 3f, x1, 1744,0) + +inst_453: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333332, 0x20, 3f, x1, 1748,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x1, 1752,0) + +inst_455: +// rs1_val==858993458 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x2, 0x400, 1b, x1, 1756,0) + +inst_456: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x1, 1760,0) + +inst_457: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb504, 0x6, 1b, x1, 1764,0) + +inst_458: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x1, 1768,0) + +inst_459: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333333, 0x400, 3f, x1, 1772,0) + +inst_460: +// rs1_val==858993458 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x5, 0x200, 3f, x1, 1776,0) + +inst_461: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555556, 0x0, 3f, x1, 1780,0) + +inst_462: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555555, 0x4, 3f, x1, 1784,0) + +inst_463: +// rs1_val==858993458 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x3, 0x400, 1b, x1, 1788,0) + +inst_464: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb505, 0x8, 1b, x1, 1792,0) + +inst_465: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb503, 0x8, 3f, x1, 1796,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666667, 0x400, 1b, x1, 1800,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1804,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x6, 0x10, 3f, x1, 1808,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555555, 0x0, 3f, x1, 1812,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1816,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1820,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb503, 0x4, 3f, x1, 1824,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x0, 0x200, 3f, x1, 1828,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666665, 0x4, 1b, x1, 1832,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333332, 0x6, 1b, x1, 1836,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555554, 0x4, 3f, x1, 1840,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x2, 0x0, 1b, x1, 1844,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb504, 0x80, 3f, x1, 1848,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb504, 0x10, 3f, x1, 1852,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666666, 0x80, 3f, x1, 1856,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333333, 0x10, 3f, x1, 1860,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x5, 0xa, 1b, x1, 1864,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555556, 0x2, 1b, x1, 1868,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x1, 1872,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x3, 0x10, 3f, x1, 1876,0) + +inst_486: +// rs1_val==2 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb505, 0x100, 3f, x1, 1880,0) + +inst_487: +// rs1_val==2 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb503, 0x400, 1b, x1, 1884,0) + +inst_488: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666667, 0x4, 1b, x1, 1888,0) + +inst_489: +// rs1_val==2 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333334, 0x6, 1b, x1, 1892,0) + +inst_490: +// rs1_val==2 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x6, 0x2, 3f, x1, 1896,0) + +inst_491: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555555, 0x400, 1b, x1, 1900,0) + +inst_492: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555556, 0x10, 3f, x1, 1904,0) + +inst_493: +// rs1_val==2 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x4, 0x4, 3f, x1, 1908,0) + +inst_494: +// rs1_val==2 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb503, 0x10, 3f, x1, 1912,0) + +inst_495: +// rs1_val==2 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x0, 0x40, 3f, x1, 1916,0) + +inst_496: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666665, 0x2, 1b, x1, 1920,0) + +inst_497: +// rs1_val==2 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333332, 0x40, 3f, x1, 1924,0) + +inst_498: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555554, 0x0, 3f, x1, 1928,0) + +inst_499: +// rs1_val==2 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x2, 0x80, 3f, x1, 1932,0) + +inst_500: +// rs1_val==2 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb504, 0x4, 1b, x1, 1936,0) + +inst_501: +// rs1_val==2 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb504, 0x2, 1b, x1, 1940,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666666, 0x0, 1b, x1, 1944,0) + +inst_503: +// rs1_val==2 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333333, 0x200, 3f, x1, 1948,0) + +inst_504: +// rs1_val==2 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x5, 0x556, 1b, x1, 1952,0) + +inst_505: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555556, 0x200, 3f, x1, 1956,0) + +inst_506: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555555, 0xa, 1b, x1, 1960,0) + +inst_507: +// rs1_val==2 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x3, 0x6, 1b, x1, 1964,0) + +inst_508: +// rs1_val==46340 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb505, 0x10, 3f, x1, 1968,0) + +inst_509: +// rs1_val==46340 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb503, 0x10, 3f, x1, 1972,0) + +inst_510: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666667, 0x4, 3f, x1, 1976,0) + +inst_511: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333334, 0x556, 1b, x1, 1980,0) + +inst_512: +// rs1_val==46340 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x6, 0x80, 3f, x1, 1984,0) + +inst_513: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555555, 0x400, 3f, x1, 1988,0) + +inst_514: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555556, 0x4, 1b, x1, 1992,0) + +inst_515: +// rs1_val==46340 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x4, 0x40, 3f, x1, 1996,0) + +inst_516: +// rs1_val==46340 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb503, 0x20, 3f, x1, 2000,0) + +inst_517: +// rs1_val==46340 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2004,0) + +inst_518: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666665, 0x4, 1b, x1, 2008,0) + +inst_519: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333332, 0x80, 3f, x1, 2012,0) + +inst_520: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555554, 0x400, 1b, x1, 2016,0) + +inst_521: +// rs1_val==46340 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x2, 0xa, 1b, x1, 2020,0) + +inst_522: +// rs1_val==46340 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb504, 0x200, 3f, x1, 2024,0) + +inst_523: +// rs1_val==46340 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb504, 0x80, 3f, x1, 2028,0) + +inst_524: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x1, 2032,0) + +inst_525: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333333, 0x0, 1b, x1, 2036,0) + +inst_526: +// rs1_val==46340 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x5, 0x20, 3f, x1, 2040,0) + +inst_527: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555556, 0x10, 3f, x1, 2044,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_528: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555555, 0x20, 3f, x1, 0,0) + +inst_529: +// rs1_val==46340 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x3, 0x4, 3f, x1, 4,0) + +inst_530: +// rs1_val==-46340 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb505, 0x6, 3f, x1, 8,0) + +inst_531: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb503, 0x6, 1b, x1, 12,0) + +inst_532: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666667, 0x400, 1b, x1, 16,0) + +inst_533: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333334, 0x400, 3f, x1, 20,0) + +inst_534: +// rs1_val==-46340 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x6, 0x2, 1b, x1, 24,0) + +inst_535: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555555, 0x20, 3f, x1, 28,0) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555556, 0x400, 1b, x1, 32,0) + +inst_537: +// rs1_val==-46340 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x4, 0x6, 1b, x1, 36,0) + +inst_538: +// rs1_val==-46340 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 40,0) + +inst_539: +// rs1_val==-46340 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x0, 0x100, 3f, x1, 44,0) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666665, 0x8, 1b, x1, 48,0) + +inst_541: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333332, 0x6, 3f, x1, 52,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555554, 0x200, 3f, x1, 56,0) + +inst_543: +// rs1_val==-46340 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x2, 0x20, 3f, x1, 60,0) + +inst_544: +// rs1_val==-46340 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb504, 0x400, 1b, x1, 64,0) + +inst_545: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb504, 0x80, 3f, x1, 68,0) + +inst_546: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666666, 0x8, 1b, x1, 72,0) + +inst_547: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333333, 0x8, 3f, x1, 76,0) + +inst_548: +// rs1_val==-46340 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x5, 0x100, 3f, x1, 80,0) + +inst_549: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555556, 0x100, 3f, x1, 84,0) + +inst_550: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555555, 0xa, 1b, x1, 88,0) + +inst_551: +// rs1_val==-46340 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 92,0) + +inst_552: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb505, 0x100, 3f, x1, 96,0) + +inst_553: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb503, 0x400, 3f, x1, 100,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666667, 0x200, 3f, x1, 104,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 108,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x6, 0x0, 3f, x1, 112,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555555, 0x80, 3f, x1, 116,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555556, 0x6, 3f, x1, 120,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x4, 0x400, 1b, x1, 124,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb503, 0x8, 3f, x1, 128,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x0, 0x6, 1b, x1, 132,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x1, 136,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333332, 0x8, 3f, x1, 140,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555554, 0x400, 3f, x1, 144,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2, 0x0, 1b, x1, 148,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb504, 0x6, 1b, x1, 152,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb504, 0x556, 1b, x1, 156,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666666, 0x6, 1b, x1, 160,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x1, 164,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x5, 0xa, 1b, x1, 168,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 172,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555555, 0x40, 3f, x1, 176,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x3, 0x8, 1b, x1, 180,0) + +inst_574: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb505, 0x2, 1b, x1, 184,0) + +inst_575: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb503, 0x0, 1b, x1, 188,0) + +inst_576: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666667, 0x0, 1b, x1, 192,0) + +inst_577: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333334, 0x4, 1b, x1, 196,0) + +inst_578: +// rs1_val==858993459 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 200,0) + +inst_579: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555555, 0x40, 3f, x1, 204,0) + +inst_580: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x1, 208,0) + +inst_581: +// rs1_val==858993459 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x4, 0x100, 3f, x1, 212,0) + +inst_582: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb503, 0x4, 3f, x1, 216,0) + +inst_583: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x10000001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, -0x10000001, 0x8, 1b, x1, 220,0) + +inst_584: +// rs2_val == -134217729, rs1_val == -16777217 +// opcode: bne, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x8000001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x1000001, -0x8000001, 0x6, 1b, x1, 224,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S new file mode 100644 index 000000000..b3870318b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S @@ -0,0 +1,155 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the jal instruction of the RISC-V E extension for the jal covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jal) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rd==x8, imm_val < 0, +// opcode: jal; dest:x8; immval:0x4; align:0 +TEST_JAL_OP(x2, x8, 0x4, 1b, x7, 0,0) + +inst_1: +// rd==x14, imm_val == ((2**(18))), imm_val > 0 +// opcode: jal; dest:x14; immval:0x40000; align:0 +TEST_JAL_OP(x2, x14, 0x40000, 3f, x7, 4,0) + +inst_2: +// rd==x9, imm_val == (-(2**(18))), +// opcode: jal; dest:x9; immval:0x40000; align:0 +TEST_JAL_OP(x2, x9, 0x40000, 1b, x7, 8,0) + +inst_3: +// rd==x12, +// opcode: jal; dest:x12; immval:0x80000; align:0 +TEST_JAL_OP(x2, x12, 0x80000, 1b, x7, 12,0) + +inst_4: +// rd==x0, +// opcode: jal; dest:x0; immval:0x80000; align:0 +TEST_JAL_OP(x2, x0, 0x80000, 1b, x7, 16,0) + +inst_5: +// rd==x3, +// opcode: jal; dest:x3; immval:0x80000; align:0 +TEST_JAL_OP(x2, x3, 0x80000, 1b, x7, 20,0) + +inst_6: +// rd==x4, +// opcode: jal; dest:x4; immval:0x80000; align:0 +TEST_JAL_OP(x2, x4, 0x80000, 1b, x7, 24,0) + +inst_7: +// rd==x5, +// opcode: jal; dest:x5; immval:0x80000; align:0 +TEST_JAL_OP(x2, x5, 0x80000, 1b, x7, 28,0) + +inst_8: +// rd==x13, +// opcode: jal; dest:x13; immval:0x80000; align:0 +TEST_JAL_OP(x2, x13, 0x80000, 1b, x7, 32,0) + +inst_9: +// rd==x6, +// opcode: jal; dest:x6; immval:0x80000; align:0 +TEST_JAL_OP(x2, x6, 0x80000, 1b, x7, 36,0) + +inst_10: +// rd==x15, +// opcode: jal; dest:x15; immval:0x80000; align:0 +TEST_JAL_OP(x2, x15, 0x80000, 1b, x7, 40,0) + +inst_11: +// rd==x1, +// opcode: jal; dest:x1; immval:0x80000; align:0 +TEST_JAL_OP(x2, x1, 0x80000, 1b, x7, 44,0) + +inst_12: +// rd==x2, +// opcode: jal; dest:x2; immval:0x80000; align:0 +TEST_JAL_OP(x3, x2, 0x80000, 1b, x7, 48,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_13: +// rd==x7, +// opcode: jal; dest:x7; immval:0x80000; align:0 +TEST_JAL_OP(x3, x7, 0x80000, 1b, x1, 0,0) + +inst_14: +// rd==x10, +// opcode: jal; dest:x10; immval:0x80000; align:0 +TEST_JAL_OP(x3, x10, 0x80000, 1b, x1, 4,0) + +inst_15: +// rd==x11, +// opcode: jal; dest:x11; immval:0x80000; align:0 +TEST_JAL_OP(x3, x11, 0x80000, 1b, x1, 8,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 13*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 3*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S new file mode 100644 index 000000000..2b58baf13 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S @@ -0,0 +1,215 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the jalr instruction of the RISC-V E extension for the jalr covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jalr) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x8, rd==x12, imm_val < 0, imm_val == -129 +// opcode: jalr; op1:x8; dest:x12; immval:-0x81; align:0 +TEST_JALR_OP(x6, x12, x8, -0x81, x1, 0,0) + +inst_1: +// rs1 == rd, rs1==x5, rd==x5, imm_val == 2047, imm_val > 0 +// opcode: jalr; op1:x5; dest:x5; immval:0x7ff; align:0 +TEST_JALR_OP(x6, x5, x5, 0x7ff, x1, 4,0) + +inst_2: +// rs1==x3, rd==x15, imm_val == -1025, +// opcode: jalr; op1:x3; dest:x15; immval:-0x401; align:0 +TEST_JALR_OP(x6, x15, x3, -0x401, x1, 8,0) + +inst_3: +// rs1==x2, rd==x3, imm_val == -513, +// opcode: jalr; op1:x2; dest:x3; immval:-0x201; align:0 +TEST_JALR_OP(x6, x3, x2, -0x201, x1, 12,0) + +inst_4: +// rs1==x4, rd==x9, imm_val == -257, +// opcode: jalr; op1:x4; dest:x9; immval:-0x101; align:0 +TEST_JALR_OP(x6, x9, x4, -0x101, x1, 16,0) + +inst_5: +// rs1==x9, rd==x7, imm_val == -65, +// opcode: jalr; op1:x9; dest:x7; immval:-0x41; align:0 +TEST_JALR_OP(x6, x7, x9, -0x41, x1, 20,0) + +inst_6: +// rs1==x10, rd==x13, imm_val == -33, +// opcode: jalr; op1:x10; dest:x13; immval:-0x21; align:0 +TEST_JALR_OP(x6, x13, x10, -0x21, x1, 24,0) + +inst_7: +// rs1==x14, rd==x0, imm_val == -17, +// opcode: jalr; op1:x14; dest:x0; immval:-0x11; align:0 +TEST_JALR_OP(x5, x0, x14, -0x11, x1, 28,0) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x15, rd==x4, imm_val == -9, +// opcode: jalr; op1:x15; dest:x4; immval:-0x9; align:0 +TEST_JALR_OP(x5, x4, x15, -0x9, x3, 0,0) + +inst_9: +// rs1==x7, rd==x14, imm_val == -5, +// opcode: jalr; op1:x7; dest:x14; immval:-0x5; align:0 +TEST_JALR_OP(x5, x14, x7, -0x5, x3, 4,0) + +inst_10: +// rs1==x11, rd==x2, imm_val == -3, +// opcode: jalr; op1:x11; dest:x2; immval:-0x3; align:0 +TEST_JALR_OP(x5, x2, x11, -0x3, x3, 8,0) + +inst_11: +// rs1==x6, rd==x11, imm_val == -2, +// opcode: jalr; op1:x6; dest:x11; immval:-0x2; align:0 +TEST_JALR_OP(x5, x11, x6, -0x2, x3, 12,0) + +inst_12: +// rs1==x12, rd==x8, imm_val == -2048, +// opcode: jalr; op1:x12; dest:x8; immval:-0x800; align:0 +TEST_JALR_OP(x5, x8, x12, -0x800, x3, 16,0) + +inst_13: +// rs1==x13, rd==x1, imm_val == 1024, +// opcode: jalr; op1:x13; dest:x1; immval:0x400; align:0 +TEST_JALR_OP(x5, x1, x13, 0x400, x3, 20,0) + +inst_14: +// rs1==x1, rd==x10, imm_val == 512, +// opcode: jalr; op1:x1; dest:x10; immval:0x200; align:0 +TEST_JALR_OP(x2, x10, x1, 0x200, x3, 24,0) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rd==x6, imm_val == 256, +// opcode: jalr; op1:x13; dest:x6; immval:0x100; align:0 +TEST_JALR_OP(x2, x6, x13, 0x100, x1, 0,0) + +inst_16: +// imm_val == 128, +// opcode: jalr; op1:x10; dest:x11; immval:0x80; align:0 +TEST_JALR_OP(x2, x11, x10, 0x80, x1, 4,0) + +inst_17: +// imm_val == 64, +// opcode: jalr; op1:x10; dest:x11; immval:0x40; align:0 +TEST_JALR_OP(x2, x11, x10, 0x40, x1, 8,0) + +inst_18: +// imm_val == 32, +// opcode: jalr; op1:x10; dest:x11; immval:0x20; align:0 +TEST_JALR_OP(x2, x11, x10, 0x20, x1, 12,0) + +inst_19: +// imm_val == 16, +// opcode: jalr; op1:x10; dest:x11; immval:0x10; align:0 +TEST_JALR_OP(x2, x11, x10, 0x10, x1, 16,0) + +inst_20: +// imm_val == 8, +// opcode: jalr; op1:x10; dest:x11; immval:0x8; align:0 +TEST_JALR_OP(x2, x11, x10, 0x8, x1, 20,0) + +inst_21: +// imm_val == 4, +// opcode: jalr; op1:x10; dest:x11; immval:0x4; align:0 +TEST_JALR_OP(x2, x11, x10, 0x4, x1, 24,0) + +inst_22: +// imm_val == 1, +// opcode: jalr; op1:x10; dest:x11; immval:0x1; align:0 +TEST_JALR_OP(x2, x11, x10, 0x1, x1, 28,0) + +inst_23: +// imm_val == -1366, +// opcode: jalr; op1:x10; dest:x11; immval:-0x556; align:0 +TEST_JALR_OP(x2, x11, x10, -0x556, x1, 32,0) + +inst_24: +// imm_val == 1365, +// opcode: jalr; op1:x10; dest:x11; immval:0x555; align:0 +TEST_JALR_OP(x2, x11, x10, 0x555, x1, 36,0) + +inst_25: +// imm_val == 2, +// opcode: jalr; op1:x10; dest:x11; immval:0x2; align:0 +TEST_JALR_OP(x2, x11, x10, 0x2, x1, 40,0) + +inst_26: +// imm_val == -17, +// opcode: jalr; op1:x10; dest:x11; immval:-0x11; align:0 +TEST_JALR_OP(x2, x11, x10, -0x11, x1, 44,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 12*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S new file mode 100644 index 000000000..2b50abf21 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S @@ -0,0 +1,165 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lb instruction of the RISC-V E extension for the lb-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lb-align) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x8, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lb op1:x8; dest:x9; immval:-0x4; align:0 +TEST_LOAD(x1,x4,0,x8,x9,-0x4,0,lb,0) + +inst_1: +// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lb op1:x15; dest:x15; immval:0x5; align:0 +TEST_LOAD(x1,x4,0,x15,x15,0x5,4,lb,0) + +inst_2: +// rs1==x2, rd==x8, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lb op1:x2; dest:x8; immval:0x2; align:0 +TEST_LOAD(x1,x4,0,x2,x8,0x2,8,lb,0) + +inst_3: +// rs1==x11, rd==x7, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lb op1:x11; dest:x7; immval:-0x101; align:0 +TEST_LOAD(x1,x4,0,x11,x7,-0x101,12,lb,0) + +inst_4: +// rs1==x6, rd==x5, ea_align == 1 and (imm_val % 4) == 0, +// opcode:lb op1:x6; dest:x5; immval:0x4; align:1 +TEST_LOAD(x1,x4,0,x6,x5,0x4,16,lb,1) + +inst_5: +// rs1==x12, rd==x10, ea_align == 1 and (imm_val % 4) == 1, +// opcode:lb op1:x12; dest:x10; immval:-0x3; align:1 +TEST_LOAD(x1,x4,0,x12,x10,-0x3,20,lb,1) + +inst_6: +// rs1==x10, rd==x12, ea_align == 1 and (imm_val % 4) == 2, +// opcode:lb op1:x10; dest:x12; immval:-0x2; align:1 +TEST_LOAD(x1,x4,0,x10,x12,-0x2,24,lb,1) + +inst_7: +// rs1==x9, rd==x6, ea_align == 1 and (imm_val % 4) == 3, +// opcode:lb op1:x9; dest:x6; immval:-0x401; align:1 +TEST_LOAD(x1,x4,0,x9,x6,-0x401,28,lb,1) + +inst_8: +// rs1==x13, rd==x3, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lb op1:x13; dest:x3; immval:0x40; align:2 +TEST_LOAD(x1,x4,0,x13,x3,0x40,32,lb,2) +RVTEST_SIGBASE( x6,signature_x6_0) + +inst_9: +// rs1==x4, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lb op1:x4; dest:x1; immval:0x5; align:2 +TEST_LOAD(x6,x8,0,x4,x1,0x5,0,lb,2) + +inst_10: +// rs1==x1, rd==x11, imm_val == 0, +// opcode:lb op1:x1; dest:x11; immval:0x0; align:0 +TEST_LOAD(x6,x8,0,x1,x11,0x0,4,lb,0) + +inst_11: +// rs1==x3, rd==x14, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lb op1:x3; dest:x14; immval:0x2; align:2 +TEST_LOAD(x6,x8,0,x3,x14,0x2,8,lb,2) + +inst_12: +// rs1==x7, rd==x4, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lb op1:x7; dest:x4; immval:-0x1; align:2 +TEST_LOAD(x6,x8,0,x7,x4,-0x1,12,lb,2) + +inst_13: +// rs1==x14, rd==x0, ea_align == 3 and (imm_val % 4) == 0, +// opcode:lb op1:x14; dest:x0; immval:-0x8; align:3 +TEST_LOAD(x6,x8,0,x14,x0,-0x8,16,lb,3) + +inst_14: +// rs1==x5, rd==x13, ea_align == 3 and (imm_val % 4) == 1, +// opcode:lb op1:x5; dest:x13; immval:-0x3; align:3 +TEST_LOAD(x6,x8,0,x5,x13,-0x3,20,lb,3) + +inst_15: +// rd==x2, ea_align == 3 and (imm_val % 4) == 2, +// opcode:lb op1:x5; dest:x2; immval:-0x556; align:3 +TEST_LOAD(x6,x8,0,x5,x2,-0x556,24,lb,3) + +inst_16: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode:lb op1:x10; dest:x11; immval:-0x401; align:3 +TEST_LOAD(x6,x8,0,x10,x11,-0x401,28,lb,3) + +inst_17: +// ea_align == 3 and (imm_val % 4) == 0, +// opcode:lb op1:x10; dest:x11; immval:-0x8; align:3 +TEST_LOAD(x6,x8,0,x10,x11,-0x8,32,lb,3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x6_0: + .fill 9*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S new file mode 100644 index 000000000..0e73a6815 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S @@ -0,0 +1,165 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lbu instruction of the RISC-V E extension for the lbu-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lbu-align) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x14, rd==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 +// opcode:lbu op1:x14; dest:x0; immval:0x100; align:0 +TEST_LOAD(x1,x8,0,x14,x0,0x100,0,lbu,0) + +inst_1: +// rs1 == rd, rs1==x13, rd==x13, ea_align == 0 and (imm_val % 4) == 1, imm_val < 0 +// opcode:lbu op1:x13; dest:x13; immval:-0x7; align:0 +TEST_LOAD(x1,x8,0,x13,x13,-0x7,4,lbu,0) + +inst_2: +// rs1==x2, rd==x3, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lbu op1:x2; dest:x3; immval:0x6; align:0 +TEST_LOAD(x1,x8,0,x2,x3,0x6,8,lbu,0) + +inst_3: +// rs1==x5, rd==x11, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lbu op1:x5; dest:x11; immval:-0x9; align:0 +TEST_LOAD(x1,x8,0,x5,x11,-0x9,12,lbu,0) + +inst_4: +// rs1==x4, rd==x15, ea_align == 1 and (imm_val % 4) == 0, +// opcode:lbu op1:x4; dest:x15; immval:-0x4; align:1 +TEST_LOAD(x1,x8,0,x4,x15,-0x4,16,lbu,1) + +inst_5: +// rs1==x6, rd==x9, ea_align == 1 and (imm_val % 4) == 1, +// opcode:lbu op1:x6; dest:x9; immval:0x1; align:1 +TEST_LOAD(x1,x8,0,x6,x9,0x1,20,lbu,1) + +inst_6: +// rs1==x9, rd==x7, ea_align == 1 and (imm_val % 4) == 2, +// opcode:lbu op1:x9; dest:x7; immval:0x6; align:1 +TEST_LOAD(x1,x8,0,x9,x7,0x6,24,lbu,1) + +inst_7: +// rs1==x10, rd==x8, ea_align == 1 and (imm_val % 4) == 3, +// opcode:lbu op1:x10; dest:x8; immval:-0x201; align:1 +TEST_LOAD(x1,x13,0,x10,x8,-0x201,28,lbu,1) +RVTEST_SIGBASE( x9,signature_x9_0) + +inst_8: +// rs1==x11, rd==x1, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lbu op1:x11; dest:x1; immval:0x4; align:2 +TEST_LOAD(x9,x13,0,x11,x1,0x4,0,lbu,2) + +inst_9: +// rs1==x3, rd==x4, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lbu op1:x3; dest:x4; immval:-0x7; align:2 +TEST_LOAD(x9,x13,0,x3,x4,-0x7,4,lbu,2) + +inst_10: +// rs1==x1, rd==x6, imm_val == 0, +// opcode:lbu op1:x1; dest:x6; immval:0x0; align:0 +TEST_LOAD(x9,x13,0,x1,x6,0x0,8,lbu,0) + +inst_11: +// rs1==x8, rd==x10, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lbu op1:x8; dest:x10; immval:-0x2; align:2 +TEST_LOAD(x9,x13,0,x8,x10,-0x2,12,lbu,2) + +inst_12: +// rs1==x12, rd==x2, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lbu op1:x12; dest:x2; immval:-0x401; align:2 +TEST_LOAD(x9,x13,0,x12,x2,-0x401,16,lbu,2) + +inst_13: +// rs1==x7, rd==x5, ea_align == 3 and (imm_val % 4) == 0, +// opcode:lbu op1:x7; dest:x5; immval:0x4; align:3 +TEST_LOAD(x9,x13,0,x7,x5,0x4,20,lbu,3) + +inst_14: +// rs1==x15, rd==x14, ea_align == 3 and (imm_val % 4) == 1, +// opcode:lbu op1:x15; dest:x14; immval:0x9; align:3 +TEST_LOAD(x9,x2,0,x15,x14,0x9,24,lbu,3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rd==x12, ea_align == 3 and (imm_val % 4) == 2, +// opcode:lbu op1:x14; dest:x12; immval:-0x2; align:3 +TEST_LOAD(x1,x2,0,x14,x12,-0x2,0,lbu,3) + +inst_16: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode:lbu op1:x10; dest:x11; immval:-0x5; align:3 +TEST_LOAD(x1,x2,0,x10,x11,-0x5,4,lbu,3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x9_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 2*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S new file mode 100644 index 000000000..516273335 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S @@ -0,0 +1,155 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lh instruction of the RISC-V E extension for the lh-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lh-align) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x3, rd==x2, ea_align == 0 and (imm_val % 4) == 0, imm_val == 0 +// opcode:lh op1:x3; dest:x2; immval:0x0; align:0 +TEST_LOAD(x4,x5,0,x3,x2,0x0,0,lh,0) + +inst_1: +// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lh op1:x15; dest:x15; immval:0x5; align:0 +TEST_LOAD(x4,x5,0,x15,x15,0x5,4,lh,0) + +inst_2: +// rs1==x12, rd==x14, ea_align == 0 and (imm_val % 4) == 2, imm_val < 0 +// opcode:lh op1:x12; dest:x14; immval:-0x556; align:0 +TEST_LOAD(x4,x5,0,x12,x14,-0x556,8,lh,0) + +inst_3: +// rs1==x14, rd==x8, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lh op1:x14; dest:x8; immval:0x3ff; align:0 +TEST_LOAD(x4,x5,0,x14,x8,0x3ff,12,lh,0) + +inst_4: +// rs1==x10, rd==x3, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lh op1:x10; dest:x3; immval:-0x8; align:2 +TEST_LOAD(x4,x5,0,x10,x3,-0x8,16,lh,2) + +inst_5: +// rs1==x6, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lh op1:x6; dest:x1; immval:0x555; align:2 +TEST_LOAD(x4,x5,0,x6,x1,0x555,20,lh,2) + +inst_6: +// rs1==x13, rd==x6, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lh op1:x13; dest:x6; immval:-0x6; align:2 +TEST_LOAD(x4,x5,0,x13,x6,-0x6,24,lh,2) + +inst_7: +// rs1==x1, rd==x9, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lh op1:x1; dest:x9; immval:0x7ff; align:2 +TEST_LOAD(x4,x5,0,x1,x9,0x7ff,28,lh,2) + +inst_8: +// rs1==x9, rd==x0, +// opcode:lh op1:x9; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x4,x3,0,x9,x0,-0x800,32,lh,0) + +inst_9: +// rs1==x5, rd==x12, +// opcode:lh op1:x5; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x4,x3,0,x5,x12,-0x800,36,lh,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x8, rd==x11, +// opcode:lh op1:x8; dest:x11; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x8,x11,-0x800,0,lh,0) + +inst_11: +// rs1==x11, rd==x4, +// opcode:lh op1:x11; dest:x4; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x11,x4,-0x800,4,lh,0) + +inst_12: +// rs1==x2, rd==x7, +// opcode:lh op1:x2; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x2,x7,-0x800,8,lh,0) + +inst_13: +// rs1==x4, rd==x10, +// opcode:lh op1:x4; dest:x10; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x4,x10,-0x800,12,lh,0) + +inst_14: +// rs1==x7, rd==x5, +// opcode:lh op1:x7; dest:x5; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x7,x5,-0x800,16,lh,0) + +inst_15: +// rd==x13, +// opcode:lh op1:x12; dest:x13; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x12,x13,-0x800,20,lh,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 6*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S new file mode 100644 index 000000000..8d4c28e77 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S @@ -0,0 +1,160 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lhu instruction of the RISC-V E extension for the lhu-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lhu-align) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rs1 != rd, rs1==x15, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lhu op1:x15; dest:x9; immval:-0x4; align:0 +TEST_LOAD(x7,x1,0,x15,x9,-0x4,0,lhu,0) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lhu op1:x3; dest:x3; immval:0x5; align:0 +TEST_LOAD(x7,x1,0,x3,x3,0x5,4,lhu,0) + +inst_2: +// rs1==x13, rd==x2, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lhu op1:x13; dest:x2; immval:-0x556; align:0 +TEST_LOAD(x7,x1,0,x13,x2,-0x556,8,lhu,0) + +inst_3: +// rs1==x4, rd==x11, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lhu op1:x4; dest:x11; immval:-0x5; align:0 +TEST_LOAD(x7,x1,0,x4,x11,-0x5,12,lhu,0) + +inst_4: +// rs1==x8, rd==x5, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lhu op1:x8; dest:x5; immval:0x80; align:2 +TEST_LOAD(x7,x1,0,x8,x5,0x80,16,lhu,2) + +inst_5: +// rs1==x6, rd==x10, imm_val == 0, +// opcode:lhu op1:x6; dest:x10; immval:0x0; align:0 +TEST_LOAD(x7,x1,0,x6,x10,0x0,20,lhu,0) + +inst_6: +// rs1==x10, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lhu op1:x10; dest:x1; immval:0x9; align:2 +TEST_LOAD(x7,x2,0,x10,x1,0x9,24,lhu,2) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_7: +// rs1==x1, rd==x13, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lhu op1:x1; dest:x13; immval:-0xa; align:2 +TEST_LOAD(x3,x2,0,x1,x13,-0xa,0,lhu,2) + +inst_8: +// rs1==x14, rd==x4, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lhu op1:x14; dest:x4; immval:-0x11; align:2 +TEST_LOAD(x3,x2,0,x14,x4,-0x11,4,lhu,2) + +inst_9: +// rs1==x11, rd==x8, +// opcode:lhu op1:x11; dest:x8; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x11,x8,-0x800,8,lhu,0) + +inst_10: +// rs1==x5, rd==x12, +// opcode:lhu op1:x5; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x5,x12,-0x800,12,lhu,0) + +inst_11: +// rs1==x9, rd==x7, +// opcode:lhu op1:x9; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x9,x7,-0x800,16,lhu,0) + +inst_12: +// rs1==x12, rd==x15, +// opcode:lhu op1:x12; dest:x15; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x12,x15,-0x800,20,lhu,0) + +inst_13: +// rs1==x7, rd==x0, +// opcode:lhu op1:x7; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x7,x0,-0x800,24,lhu,0) + +inst_14: +// rs1==x2, rd==x14, +// opcode:lhu op1:x2; dest:x14; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x2,x14,-0x800,28,lhu,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_15: +// rd==x6, +// opcode:lhu op1:x14; dest:x6; immval:-0x800; align:0 +TEST_LOAD(x1,x4,0,x14,x6,-0x800,0,lhu,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 1*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S new file mode 100644 index 000000000..81fbc741d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S @@ -0,0 +1,390 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lui instruction of the RISC-V E extension for the lui covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lui) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rd==x3, imm_val == ((2**20)-1), imm_val > 0 +// opcode: lui ; dest:x3; immval:0xfffff +TEST_CASE(x5, x3, -0x1000, x4, 0, lui x3,0xfffff) + +inst_1: +// rd==x2, imm_val == 524287, +// opcode: lui ; dest:x2; immval:0x7ffff +TEST_CASE(x5, x2, 0x7ffff000, x4, 4, lui x2,0x7ffff) + +inst_2: +// rd==x1, imm_val == 786431, +// opcode: lui ; dest:x1; immval:0xbffff +TEST_CASE(x5, x1, -0x40001000, x4, 8, lui x1,0xbffff) + +inst_3: +// rd==x8, imm_val == 917503, +// opcode: lui ; dest:x8; immval:0xdffff +TEST_CASE(x5, x8, -0x20001000, x4, 12, lui x8,0xdffff) + +inst_4: +// rd==x14, imm_val == 983039, +// opcode: lui ; dest:x14; immval:0xeffff +TEST_CASE(x5, x14, -0x10001000, x4, 16, lui x14,0xeffff) + +inst_5: +// rd==x7, imm_val == 1015807, +// opcode: lui ; dest:x7; immval:0xf7fff +TEST_CASE(x5, x7, -0x8001000, x4, 20, lui x7,0xf7fff) + +inst_6: +// rd==x13, imm_val == 1032191, +// opcode: lui ; dest:x13; immval:0xfbfff +TEST_CASE(x5, x13, -0x4001000, x4, 24, lui x13,0xfbfff) + +inst_7: +// rd==x12, imm_val == 1040383, +// opcode: lui ; dest:x12; immval:0xfdfff +TEST_CASE(x5, x12, -0x2001000, x4, 28, lui x12,0xfdfff) + +inst_8: +// rd==x15, imm_val == 1044479, +// opcode: lui ; dest:x15; immval:0xfefff +TEST_CASE(x5, x15, -0x1001000, x4, 32, lui x15,0xfefff) + +inst_9: +// rd==x10, imm_val == 1046527, +// opcode: lui ; dest:x10; immval:0xff7ff +TEST_CASE(x5, x10, -0x801000, x4, 36, lui x10,0xff7ff) + +inst_10: +// rd==x6, imm_val == 1047551, +// opcode: lui ; dest:x6; immval:0xffbff +TEST_CASE(x5, x6, -0x401000, x4, 40, lui x6,0xffbff) + +inst_11: +// rd==x9, imm_val == 1048063, +// opcode: lui ; dest:x9; immval:0xffdff +TEST_CASE(x2, x9, -0x201000, x4, 44, lui x9,0xffdff) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_12: +// rd==x4, imm_val == 1048319, +// opcode: lui ; dest:x4; immval:0xffeff +TEST_CASE(x2, x4, -0x101000, x1, 0, lui x4,0xffeff) + +inst_13: +// rd==x0, imm_val == 1048447, +// opcode: lui ; dest:x0; immval:0xfff7f +TEST_CASE(x2, x0, 0, x1, 4, lui x0,0xfff7f) + +inst_14: +// rd==x5, imm_val == 1048511, +// opcode: lui ; dest:x5; immval:0xfffbf +TEST_CASE(x2, x5, -0x41000, x1, 8, lui x5,0xfffbf) + +inst_15: +// rd==x11, imm_val == 1048543, +// opcode: lui ; dest:x11; immval:0xfffdf +TEST_CASE(x2, x11, -0x21000, x1, 12, lui x11,0xfffdf) + +inst_16: +// imm_val == 1048559, +// opcode: lui ; dest:x10; immval:0xfffef +TEST_CASE(x2, x10, -0x11000, x1, 16, lui x10,0xfffef) + +inst_17: +// imm_val == 1048567, +// opcode: lui ; dest:x10; immval:0xffff7 +TEST_CASE(x2, x10, -0x9000, x1, 20, lui x10,0xffff7) + +inst_18: +// imm_val == 1048571, +// opcode: lui ; dest:x10; immval:0xffffb +TEST_CASE(x2, x10, -0x5000, x1, 24, lui x10,0xffffb) + +inst_19: +// imm_val == 1048573, +// opcode: lui ; dest:x10; immval:0xffffd +TEST_CASE(x2, x10, -0x3000, x1, 28, lui x10,0xffffd) + +inst_20: +// imm_val == 1048574, +// opcode: lui ; dest:x10; immval:0xffffe +TEST_CASE(x2, x10, -0x2000, x1, 32, lui x10,0xffffe) + +inst_21: +// imm_val == 524288, +// opcode: lui ; dest:x10; immval:0x80000 +TEST_CASE(x2, x10, -0x80000000, x1, 36, lui x10,0x80000) + +inst_22: +// imm_val == 262144, +// opcode: lui ; dest:x10; immval:0x40000 +TEST_CASE(x2, x10, 0x40000000, x1, 40, lui x10,0x40000) + +inst_23: +// imm_val == 131072, +// opcode: lui ; dest:x10; immval:0x20000 +TEST_CASE(x2, x10, 0x20000000, x1, 44, lui x10,0x20000) + +inst_24: +// imm_val == 65536, +// opcode: lui ; dest:x10; immval:0x10000 +TEST_CASE(x2, x10, 0x10000000, x1, 48, lui x10,0x10000) + +inst_25: +// imm_val == 32768, +// opcode: lui ; dest:x10; immval:0x8000 +TEST_CASE(x2, x10, 0x8000000, x1, 52, lui x10,0x8000) + +inst_26: +// imm_val == 16384, +// opcode: lui ; dest:x10; immval:0x4000 +TEST_CASE(x2, x10, 0x4000000, x1, 56, lui x10,0x4000) + +inst_27: +// imm_val == 8192, +// opcode: lui ; dest:x10; immval:0x2000 +TEST_CASE(x2, x10, 0x2000000, x1, 60, lui x10,0x2000) + +inst_28: +// imm_val == 4096, +// opcode: lui ; dest:x10; immval:0x1000 +TEST_CASE(x2, x10, 0x1000000, x1, 64, lui x10,0x1000) + +inst_29: +// imm_val == 2048, +// opcode: lui ; dest:x10; immval:0x800 +TEST_CASE(x2, x10, 0x800000, x1, 68, lui x10,0x800) + +inst_30: +// imm_val == 1024, imm_val==1024 +// opcode: lui ; dest:x10; immval:0x400 +TEST_CASE(x2, x10, 0x400000, x1, 72, lui x10,0x400) + +inst_31: +// imm_val == 512, +// opcode: lui ; dest:x10; immval:0x200 +TEST_CASE(x2, x10, 0x200000, x1, 76, lui x10,0x200) + +inst_32: +// imm_val == 256, +// opcode: lui ; dest:x10; immval:0x100 +TEST_CASE(x2, x10, 0x100000, x1, 80, lui x10,0x100) + +inst_33: +// imm_val == 128, +// opcode: lui ; dest:x10; immval:0x80 +TEST_CASE(x2, x10, 0x80000, x1, 84, lui x10,0x80) + +inst_34: +// imm_val == 64, +// opcode: lui ; dest:x10; immval:0x40 +TEST_CASE(x2, x10, 0x40000, x1, 88, lui x10,0x40) + +inst_35: +// imm_val == 32, +// opcode: lui ; dest:x10; immval:0x20 +TEST_CASE(x2, x10, 0x20000, x1, 92, lui x10,0x20) + +inst_36: +// imm_val == 16, +// opcode: lui ; dest:x10; immval:0x10 +TEST_CASE(x2, x10, 0x10000, x1, 96, lui x10,0x10) + +inst_37: +// imm_val==349525, imm_val == 349525 +// opcode: lui ; dest:x10; immval:0x55555 +TEST_CASE(x2, x10, 0x55555000, x1, 100, lui x10,0x55555) + +inst_38: +// imm_val==3, +// opcode: lui ; dest:x10; immval:0x3 +TEST_CASE(x2, x10, 0x3000, x1, 104, lui x10,0x3) + +inst_39: +// imm_val == 699050, imm_val==699050 +// opcode: lui ; dest:x10; immval:0xaaaaa +TEST_CASE(x2, x10, -0x55556000, x1, 108, lui x10,0xaaaaa) + +inst_40: +// imm_val == 0, imm_val==0 +// opcode: lui ; dest:x10; immval:0x0 +TEST_CASE(x2, x10, 0x0, x1, 112, lui x10,0x0) + +inst_41: +// imm_val == 8, +// opcode: lui ; dest:x10; immval:0x8 +TEST_CASE(x2, x10, 0x8000, x1, 116, lui x10,0x8) + +inst_42: +// imm_val == 4, imm_val==4 +// opcode: lui ; dest:x10; immval:0x4 +TEST_CASE(x2, x10, 0x4000, x1, 120, lui x10,0x4) + +inst_43: +// imm_val == 2, imm_val==2 +// opcode: lui ; dest:x10; immval:0x2 +TEST_CASE(x2, x10, 0x2000, x1, 124, lui x10,0x2) + +inst_44: +// imm_val == 1, imm_val==1 +// opcode: lui ; dest:x10; immval:0x1 +TEST_CASE(x2, x10, 0x1000, x1, 128, lui x10,0x1) + +inst_45: +// imm_val==725, +// opcode: lui ; dest:x10; immval:0x2d5 +TEST_CASE(x2, x10, 0x2d5000, x1, 132, lui x10,0x2d5) + +inst_46: +// imm_val==419431, +// opcode: lui ; dest:x10; immval:0x66667 +TEST_CASE(x2, x10, 0x66667000, x1, 136, lui x10,0x66667) + +inst_47: +// imm_val==209716, +// opcode: lui ; dest:x10; immval:0x33334 +TEST_CASE(x2, x10, 0x33334000, x1, 140, lui x10,0x33334) + +inst_48: +// imm_val==6, +// opcode: lui ; dest:x10; immval:0x6 +TEST_CASE(x2, x10, 0x6000, x1, 144, lui x10,0x6) + +inst_49: +// imm_val==699051, +// opcode: lui ; dest:x10; immval:0xaaaab +TEST_CASE(x2, x10, -0x55555000, x1, 148, lui x10,0xaaaab) + +inst_50: +// imm_val==349526, +// opcode: lui ; dest:x10; immval:0x55556 +TEST_CASE(x2, x10, 0x55556000, x1, 152, lui x10,0x55556) + +inst_51: +// imm_val==1022, +// opcode: lui ; dest:x10; immval:0x3fe +TEST_CASE(x2, x10, 0x3fe000, x1, 156, lui x10,0x3fe) + +inst_52: +// imm_val==723, +// opcode: lui ; dest:x10; immval:0x2d3 +TEST_CASE(x2, x10, 0x2d3000, x1, 160, lui x10,0x2d3) + +inst_53: +// imm_val==419429, +// opcode: lui ; dest:x10; immval:0x66665 +TEST_CASE(x2, x10, 0x66665000, x1, 164, lui x10,0x66665) + +inst_54: +// imm_val==209714, +// opcode: lui ; dest:x10; immval:0x33332 +TEST_CASE(x2, x10, 0x33332000, x1, 168, lui x10,0x33332) + +inst_55: +// imm_val==699049, +// opcode: lui ; dest:x10; immval:0xaaaa9 +TEST_CASE(x2, x10, -0x55557000, x1, 172, lui x10,0xaaaa9) + +inst_56: +// imm_val==349524, +// opcode: lui ; dest:x10; immval:0x55554 +TEST_CASE(x2, x10, 0x55554000, x1, 176, lui x10,0x55554) + +inst_57: +// imm_val==1023, +// opcode: lui ; dest:x10; immval:0x3ff +TEST_CASE(x2, x10, 0x3ff000, x1, 180, lui x10,0x3ff) + +inst_58: +// imm_val==724, +// opcode: lui ; dest:x10; immval:0x2d4 +TEST_CASE(x2, x10, 0x2d4000, x1, 184, lui x10,0x2d4) + +inst_59: +// imm_val==419430, +// opcode: lui ; dest:x10; immval:0x66666 +TEST_CASE(x2, x10, 0x66666000, x1, 188, lui x10,0x66666) + +inst_60: +// imm_val==209715, +// opcode: lui ; dest:x10; immval:0x33333 +TEST_CASE(x2, x10, 0x33333000, x1, 192, lui x10,0x33333) + +inst_61: +// imm_val==5, +// opcode: lui ; dest:x10; immval:0x5 +TEST_CASE(x2, x10, 0x5000, x1, 196, lui x10,0x5) + +inst_62: +// imm_val == 1048447, +// opcode: lui ; dest:x10; immval:0xfff7f +TEST_CASE(x2, x10, -0x81000, x1, 200, lui x10,0xfff7f) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 12*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 51*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S new file mode 100644 index 000000000..a8dafa825 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S @@ -0,0 +1,160 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lw instruction of the RISC-V E extension for the lw-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lw-align) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rd, rs1==x11, rd==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lw op1:x11; dest:x1; immval:-0x400; align:0 +TEST_LOAD(x2,x6,0,x11,x1,-0x400,0,lw,0) + +inst_1: +// rs1 == rd, rs1==x14, rd==x14, ea_align == 0 and (imm_val % 4) == 1, +// opcode:lw op1:x14; dest:x14; immval:-0x3; align:0 +TEST_LOAD(x2,x6,0,x14,x14,-0x3,4,lw,0) + +inst_2: +// rs1==x4, rd==x8, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lw op1:x4; dest:x8; immval:-0x556; align:0 +TEST_LOAD(x2,x6,0,x4,x8,-0x556,8,lw,0) + +inst_3: +// rs1==x1, rd==x10, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lw op1:x1; dest:x10; immval:-0x5; align:0 +TEST_LOAD(x2,x6,0,x1,x10,-0x5,12,lw,0) + +inst_4: +// rs1==x12, rd==x15, imm_val == 0, +// opcode:lw op1:x12; dest:x15; immval:0x0; align:0 +TEST_LOAD(x2,x6,0,x12,x15,0x0,16,lw,0) + +inst_5: +// rs1==x7, rd==x13, imm_val > 0, +// opcode:lw op1:x7; dest:x13; immval:0x20; align:0 +TEST_LOAD(x2,x6,0,x7,x13,0x20,20,lw,0) + +inst_6: +// rs1==x5, rd==x3, +// opcode:lw op1:x5; dest:x3; immval:-0x800; align:0 +TEST_LOAD(x2,x6,0,x5,x3,-0x800,24,lw,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_7: +// rs1==x8, rd==x0, +// opcode:lw op1:x8; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x8,x0,-0x800,0,lw,0) + +inst_8: +// rs1==x3, rd==x12, +// opcode:lw op1:x3; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x3,x12,-0x800,4,lw,0) + +inst_9: +// rs1==x13, rd==x4, +// opcode:lw op1:x13; dest:x4; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x13,x4,-0x800,8,lw,0) + +inst_10: +// rs1==x15, rd==x7, +// opcode:lw op1:x15; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x15,x7,-0x800,12,lw,0) + +inst_11: +// rs1==x9, rd==x5, +// opcode:lw op1:x9; dest:x5; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x9,x5,-0x800,16,lw,0) + +inst_12: +// rs1==x6, rd==x9, +// opcode:lw op1:x6; dest:x9; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x6,x9,-0x800,20,lw,0) + +inst_13: +// rs1==x10, rd==x2, +// opcode:lw op1:x10; dest:x2; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x10,x2,-0x800,24,lw,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_14: +// rs1==x2, rd==x6, +// opcode:lw op1:x2; dest:x6; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x2,x6,-0x800,0,lw,0) + +inst_15: +// rd==x11, +// opcode:lw op1:x5; dest:x11; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x5,x11,-0x800,4,lw,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 2*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S new file mode 100644 index 000000000..e5032b059 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S @@ -0,0 +1,3035 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the or instruction of the RISC-V E extension for the or covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",or) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x3, rd==x2, rs1_val != rs2_val, rs2_val == 536870912, rs1_val == -2097153, rs1_val < 0 and rs2_val > 0 +// opcode: or ; op1:x10; op2:x3; dest:x2; op1val:-0x200001; op2val:0x20000000 +TEST_RR_OP(or, x2, x10, x3, 0xffdfffff, -0x200001, 0x20000000, x5, 0, x4) + +inst_1: +// rs1 == rd != rs2, rs1==x14, rs2==x10, rd==x14, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: or ; op1:x14; op2:x10; dest:x14; op1val:0x5; op2val:0x7fffffff +TEST_RR_OP(or, x14, x14, x10, 0x7fffffff, 0x5, 0x7fffffff, x5, 4, x4) + +inst_2: +// rs2 == rd != rs1, rs1==x1, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 +// opcode: or ; op1:x1; op2:x6; dest:x6; op1val:-0xb504; op2val:-0x40000001 +TEST_RR_OP(or, x6, x1, x6, 0xffffffff, -0xb504, -0x40000001, x5, 8, x4) + +inst_3: +// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x9, rs2_val == -536870913, +// opcode: or ; op1:x11; op2:x11; dest:x9; op1val:-0x40000000; op2val:-0x40000000 +TEST_RR_OP(or, x9, x11, x11, 0xc0000000, -0x40000000, -0x40000000, x5, 12, x4) + +inst_4: +// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 +// opcode: or ; op1:x8; op2:x8; dest:x8; op1val:0x4000; op2val:0x4000 +TEST_RR_OP(or, x8, x8, x8, 0x4000, 0x4000, 0x4000, x5, 16, x4) + +inst_5: +// rs1==x7, rs2==x9, rd==x12, rs2_val == -134217729, rs1_val == -8193 +// opcode: or ; op1:x7; op2:x9; dest:x12; op1val:-0x2001; op2val:-0x8000001 +TEST_RR_OP(or, x12, x7, x9, 0xffffffff, -0x2001, -0x8000001, x5, 20, x4) + +inst_6: +// rs1==x4, rs2==x1, rd==x15, rs2_val == -67108865, rs1_val == -3 +// opcode: or ; op1:x4; op2:x1; dest:x15; op1val:-0x3; op2val:-0x4000001 +TEST_RR_OP(or, x15, x4, x1, 0xffffffff, -0x3, -0x4000001, x5, 24, x3) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x6, rs2==x13, rd==x10, rs2_val == -33554433, rs1_val == 1024 +// opcode: or ; op1:x6; op2:x13; dest:x10; op1val:0x400; op2val:-0x2000001 +TEST_RR_OP(or, x10, x6, x13, 0xfdffffff, 0x400, -0x2000001, x4, 0, x3) + +inst_8: +// rs1==x5, rs2==x14, rd==x0, rs2_val == -16777217, rs1_val == 0 +// opcode: or ; op1:x5; op2:x14; dest:x0; op1val:0x0; op2val:-0x1000001 +TEST_RR_OP(or, x0, x5, x14, 0, 0x0, -0x1000001, x4, 4, x3) + +inst_9: +// rs1==x9, rs2==x0, rd==x1, rs2_val == -8388609, +// opcode: or ; op1:x9; op2:x0; dest:x1; op1val:0x6; op2val:0x0 +TEST_RR_OP(or, x1, x9, x0, 0x6, 0x6, 0x0, x4, 8, x3) + +inst_10: +// rs1==x13, rs2==x5, rd==x11, rs2_val == -4194305, +// opcode: or ; op1:x13; op2:x5; dest:x11; op1val:0x6; op2val:-0x400001 +TEST_RR_OP(or, x11, x13, x5, 0xffbfffff, 0x6, -0x400001, x4, 12, x3) + +inst_11: +// rs1==x12, rs2==x2, rd==x5, rs2_val == -2097153, rs1_val == 134217728 +// opcode: or ; op1:x12; op2:x2; dest:x5; op1val:0x8000000; op2val:-0x200001 +TEST_RR_OP(or, x5, x12, x2, 0xffdfffff, 0x8000000, -0x200001, x4, 16, x3) + +inst_12: +// rs1==x3, rs2==x12, rd==x7, rs2_val == -1048577, +// opcode: or ; op1:x3; op2:x12; dest:x7; op1val:0xb504; op2val:-0x100001 +TEST_RR_OP(or, x7, x3, x12, 0xffefffff, 0xb504, -0x100001, x4, 20, x6) +RVTEST_SIGBASE( x5,signature_x5_2) + +inst_13: +// rs1==x0, rs2==x15, rd==x13, rs2_val == -524289, rs1_val == -33 +// opcode: or ; op1:x0; op2:x15; dest:x13; op1val:0x0; op2val:-0x80001 +TEST_RR_OP(or, x13, x0, x15, 0xfff7ffff, 0x0, -0x80001, x5, 0, x6) + +inst_14: +// rs1==x15, rs2==x7, rd==x3, rs2_val == -262145, rs1_val == -1431655766 +// opcode: or ; op1:x15; op2:x7; dest:x3; op1val:-0x55555556; op2val:-0x40001 +TEST_RR_OP(or, x3, x15, x7, 0xfffbffff, -0x55555556, -0x40001, x5, 4, x6) + +inst_15: +// rs1==x2, rs2_val == -131073, +// opcode: or ; op1:x2; op2:x12; dest:x1; op1val:-0x55555555; op2val:-0x20001 +TEST_RR_OP(or, x1, x2, x12, 0xffffffff, -0x55555555, -0x20001, x5, 8, x6) + +inst_16: +// rs2==x4, rs2_val == -65537, +// opcode: or ; op1:x9; op2:x4; dest:x10; op1val:0x9; op2val:-0x10001 +TEST_RR_OP(or, x10, x9, x4, 0xfffeffff, 0x9, -0x10001, x5, 12, x6) + +inst_17: +// rd==x4, rs2_val == -32769, +// opcode: or ; op1:x3; op2:x8; dest:x4; op1val:-0xb504; op2val:-0x8001 +TEST_RR_OP(or, x4, x3, x8, 0xffff7fff, -0xb504, -0x8001, x5, 16, x6) + +inst_18: +// rs2_val == -16385, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x4001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x4001, x5, 20, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_19: +// rs2_val == -8193, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0x2001 +TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x80000000, -0x2001, x1, 0, x2) + +inst_20: +// rs2_val == -4097, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1001 +TEST_RR_OP(or, x12, x10, x11, 0xffffefff, 0x0, -0x1001, x1, 4, x2) + +inst_21: +// rs2_val == -2049, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x801 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, 0x66666665, -0x801, x1, 8, x2) + +inst_22: +// rs2_val == -1025, rs1_val == -513 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x201, -0x401, x1, 12, x2) + +inst_23: +// rs2_val == -513, rs1_val == 64 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x201 +TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, 0x40, -0x201, x1, 16, x2) + +inst_24: +// rs2_val == -257, rs1_val == 128 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x101 +TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, 0x80, -0x101, x1, 20, x2) + +inst_25: +// rs2_val == -129, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, -0x81, x1, 24, x2) + +inst_26: +// rs2_val == -65, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x41 +TEST_RR_OP(or, x12, x10, x11, 0xffffffbf, -0x55555555, -0x41, x1, 28, x2) + +inst_27: +// rs2_val == -33, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x21 +TEST_RR_OP(or, x12, x10, x11, 0xffffffdf, 0x6, -0x21, x1, 32, x2) + +inst_28: +// rs2_val == -17, rs1_val == -134217729 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x11 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x8000001, -0x11, x1, 36, x2) + +inst_29: +// rs2_val == -9, rs1_val == -2 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x2, -0x9, x1, 40, x2) + +inst_30: +// rs2_val == -5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x5 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x8, -0x5, x1, 44, x2) + +inst_31: +// rs2_val == -3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x3, x1, 48, x2) + +inst_32: +// rs2_val == -2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x2 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x6, -0x2, x1, 52, x2) + +inst_33: +// rs1_val == -1073741825, rs2_val == 32 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x20 +TEST_RR_OP(or, x12, x10, x11, 0xbfffffff, -0x40000001, 0x20, x1, 56, x2) + +inst_34: +// rs1_val == -536870913, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x41 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20000001, -0x41, x1, 60, x2) + +inst_35: +// rs1_val == -268435457, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x100001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10000001, -0x100001, x1, 64, x2) + +inst_36: +// rs1_val == -67108865, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x201 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x4000001, -0x201, x1, 68, x2) + +inst_37: +// rs1_val == -33554433, rs2_val == 65536 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x10000 +TEST_RR_OP(or, x12, x10, x11, 0xfdffffff, -0x2000001, 0x10000, x1, 72, x2) + +inst_38: +// rs1_val == -16777217, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x801 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x1000001, -0x801, x1, 76, x2) + +inst_39: +// rs1_val == -8388609, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, -0x800001, 0x33333332, x1, 80, x2) + +inst_40: +// rs1_val == -4194305, rs2_val == 1431655765 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x400001, 0x55555555, x1, 84, x2) + +inst_41: +// rs1_val == -1048577, rs2_val == 1024 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x400 +TEST_RR_OP(or, x12, x10, x11, 0xffefffff, -0x100001, 0x400, x1, 88, x2) + +inst_42: +// rs1_val == -524289, rs2_val == 524288 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x80000 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x80001, 0x80000, x1, 92, x2) + +inst_43: +// rs1_val == -262145, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x40001, 0x55555555, x1, 96, x2) + +inst_44: +// rs1_val == -131073, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x2001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20001, -0x2001, x1, 100, x2) + +inst_45: +// rs1_val == -65537, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x400001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10001, -0x400001, x1, 104, x2) + +inst_46: +// rs1_val == -32769, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff7fff, -0x8001, 0x5, x1, 108, x2) + +inst_47: +// rs1_val == -16385, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x400 +TEST_RR_OP(or, x12, x10, x11, 0xffffbfff, -0x4001, 0x400, x1, 112, x2) + +inst_48: +// rs1_val == -4097, rs2_val == 256 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x100 +TEST_RR_OP(or, x12, x10, x11, 0xffffefff, -0x1001, 0x100, x1, 116, x2) + +inst_49: +// rs1_val == -2049, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x801, -0x6, x1, 120, x2) + +inst_50: +// rs1_val == -1025, rs2_val == 8 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x8 +TEST_RR_OP(or, x12, x10, x11, 0xfffffbff, -0x401, 0x8, x1, 124, x2) + +inst_51: +// rs1_val == -257, rs2_val == 32768 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x8000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, -0x101, 0x8000, x1, 128, x2) + +inst_52: +// rs1_val == -129, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x81, -0x1, x1, 132, x2) + +inst_53: +// rs1_val == -65, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x1 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x41, -0x1, x1, 136, x2) + +inst_54: +// rs1_val == -17, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x101 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x11, -0x101, x1, 140, x2) + +inst_55: +// rs1_val == -9, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x9, -0x6, x1, 144, x2) + +inst_56: +// rs1_val == -5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x5, 0x20000000, x1, 148, x2) + +inst_57: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x80000000 +TEST_RR_OP(or, x12, x10, x11, 0x80000005, 0x5, -0x80000000, x1, 152, x2) + +inst_58: +// rs2_val == 1073741824, rs1_val == 32768 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x40000000 +TEST_RR_OP(or, x12, x10, x11, 0x40008000, 0x8000, 0x40000000, x1, 156, x2) + +inst_59: +// rs2_val == 268435456, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10000000 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10000000, x1, 160, x2) + +inst_60: +// rs2_val == 134217728, rs1_val == 8 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000 +TEST_RR_OP(or, x12, x10, x11, 0x8000008, 0x8, 0x8000000, x1, 164, x2) + +inst_61: +// rs2_val == 67108864, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4000000 +TEST_RR_OP(or, x12, x10, x11, 0xaeaaaaaa, -0x55555556, 0x4000000, x1, 168, x2) + +inst_62: +// rs2_val == 33554432, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x200b504, 0xb504, 0x2000000, x1, 172, x2) + +inst_63: +// rs2_val == 16777216, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1000000 +TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x2001, 0x1000000, x1, 176, x2) + +inst_64: +// rs2_val == 8388608, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x800000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffff9, -0x7, 0x800000, x1, 180, x2) + +inst_65: +// rs2_val == 4194304, rs1_val == 16 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 +TEST_RR_OP(or, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) + +inst_66: +// rs2_val == 2097152, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x200000 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, 0x200000, x1, 188, x2) + +inst_67: +// rs2_val == 1048576, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100000 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x100000, x1, 192, x2) + +inst_68: +// rs2_val == 262144, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x40000 +TEST_RR_OP(or, x12, x10, x11, 0x4b503, 0xb503, 0x40000, x1, 196, x2) + +inst_69: +// rs2_val == 131072, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x20000 +TEST_RR_OP(or, x12, x10, x11, 0x20008, 0x8, 0x20000, x1, 200, x2) + +inst_70: +// rs2_val == 16384, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x4000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x2, 0x4000, x1, 204, x2) + +inst_71: +// rs2_val == 8192, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2000 +TEST_RR_OP(or, x12, x10, x11, 0x55557556, 0x55555556, 0x2000, x1, 208, x2) + +inst_72: +// rs2_val == 4096, rs1_val == 2 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1000 +TEST_RR_OP(or, x12, x10, x11, 0x1002, 0x2, 0x1000, x1, 212, x2) + +inst_73: +// rs2_val == 2048, rs1_val == 4 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 +TEST_RR_OP(or, x12, x10, x11, 0x804, 0x4, 0x800, x1, 216, x2) + +inst_74: +// rs2_val == 512, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x200 +TEST_RR_OP(or, x12, x10, x11, 0x80000200, -0x80000000, 0x200, x1, 220, x2) + +inst_75: +// rs2_val == 128, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x80 +TEST_RR_OP(or, x12, x10, x11, 0x3fffffff, 0x3fffffff, 0x80, x1, 224, x2) + +inst_76: +// rs2_val == 64, rs1_val == 32 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40 +TEST_RR_OP(or, x12, x10, x11, 0x60, 0x20, 0x40, x1, 228, x2) + +inst_77: +// rs2_val == 16, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10, x1, 232, x2) + +inst_78: +// rs2_val == 4, rs1_val == 524288 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x80004, 0x80000, 0x4, x1, 236, x2) + +inst_79: +// rs2_val == 2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, -0x201, 0x2, x1, 240, x2) + +inst_80: +// rs2_val == 1, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 +TEST_RR_OP(or, x12, x10, x11, 0x1, 0x0, 0x1, x1, 244, x2) + +inst_81: +// rs1_val == 1073741824, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x40000000, -0x81, x1, 248, x2) + +inst_82: +// rs1_val == 536870912, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x22000000, 0x20000000, 0x2000000, x1, 252, x2) + +inst_83: +// rs1_val == 268435456, rs2_val == 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x10000000, 0x10000000, 0x0, x1, 256, x2) + +inst_84: +// rs1_val == 67108864, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x20000000 +TEST_RR_OP(or, x12, x10, x11, 0x24000000, 0x4000000, 0x20000000, x1, 260, x2) + +inst_85: +// rs1_val == 33554432, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffa, 0x2000000, -0x6, x1, 264, x2) + +inst_86: +// rs1_val == 16777216, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x400000 +TEST_RR_OP(or, x12, x10, x11, 0x1400000, 0x1000000, 0x400000, x1, 268, x2) + +inst_87: +// rs1_val == 8388608, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55d55555, 0x800000, 0x55555555, x1, 272, x2) + +inst_88: +// rs1_val == 4194304, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0xa +TEST_RR_OP(or, x12, x10, x11, 0xfffffff6, 0x400000, -0xa, x1, 276, x2) + +inst_89: +// rs1_val == 2097152, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x200000, 0x33333334, x1, 280, x2) + +inst_90: +// rs1_val == 1048576, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x80 +TEST_RR_OP(or, x12, x10, x11, 0x100080, 0x100000, 0x80, x1, 284, x2) + +inst_91: +// rs1_val == 262144, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x10000 +TEST_RR_OP(or, x12, x10, x11, 0x50000, 0x40000, 0x10000, x1, 288, x2) + +inst_92: +// rs1_val == 131072, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1000 +TEST_RR_OP(or, x12, x10, x11, 0x21000, 0x20000, 0x1000, x1, 292, x2) + +inst_93: +// rs1_val == 65536, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x10006, 0x10000, 0x6, x1, 296, x2) + +inst_94: +// rs1_val == 8192, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(or, x12, x10, x11, 0x2008, 0x2000, 0x8, x1, 300, x2) + +inst_95: +// rs1_val == 4096, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x3 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0x1000, -0x3, x1, 304, x2) + +inst_96: +// rs1_val == 2048, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555d55, 0x800, 0x55555555, x1, 308, x2) + +inst_97: +// rs1_val == 512, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x20 +TEST_RR_OP(or, x12, x10, x11, 0x220, 0x200, 0x20, x1, 312, x2) + +inst_98: +// rs1_val == 256, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x100, -0x81, x1, 316, x2) + +inst_99: +// rs1_val == 1, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x2000001, 0x1, 0x2000000, x1, 320, x2) + +inst_100: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 324, x2) + +inst_101: +// rs1_val==46341 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 328, x2) + +inst_102: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 332, x2) + +inst_103: +// rs1_val==46341 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 336, x2) + +inst_104: +// rs1_val==46341 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x6, x1, 340, x2) + +inst_105: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 344, x2) + +inst_106: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 348, x2) + +inst_107: +// rs1_val==46341 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x4, x1, 352, x2) + +inst_108: +// rs1_val==46341 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0xb503, x1, 356, x2) + +inst_109: +// rs1_val==46341 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 360, x2) + +inst_110: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 364, x2) + +inst_111: +// rs1_val==46341 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 368, x2) + +inst_112: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 372, x2) + +inst_113: +// rs1_val==46341 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 376, x2) + +inst_114: +// rs1_val==46341 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb504, x1, 380, x2) + +inst_115: +// rs1_val==46341 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 384, x2) + +inst_116: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 388, x2) + +inst_117: +// rs1_val==46341 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 392, x2) + +inst_118: +// rs1_val==46341 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x5, x1, 396, x2) + +inst_119: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 400, x2) + +inst_120: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 404, x2) + +inst_121: +// rs1_val==46341 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x3, x1, 408, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 412, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 416, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 420, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 424, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 428, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 432, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 436, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 440, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 444, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 448, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 452, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 456, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 460, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 464, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 468, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 472, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 476, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 480, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 484, x2) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 488, x2) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 492, x2) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 496, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 500, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 504, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 508, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 512, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 516, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 520, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 524, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 528, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 532, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 536, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 540, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 544, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 548, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 552, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 556, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 560, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 564, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 568, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 572, x2) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 576, x2) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 580, x2) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 584, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 588, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 592, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 596, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 600, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 604, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 608, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 612, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 616, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 620, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 624, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 628, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 632, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 636, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 640, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 644, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 648, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 652, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 656, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 660, x2) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 664, x2) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 668, x2) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 672, x2) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb505, x1, 676, x2) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 680, x2) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 684, x2) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 688, x2) + +inst_192: +// rs1_val==6 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x6, x1, 692, x2) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 696, x2) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 700, x2) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x4, x1, 704, x2) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb503, x1, 708, x2) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x0, x1, 712, x2) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 716, x2) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 720, x2) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 724, x2) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x2, x1, 728, x2) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x6, 0xb504, x1, 732, x2) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 736, x2) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 740, x2) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 744, x2) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x5, x1, 748, x2) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 752, x2) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 756, x2) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x3, x1, 760, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 764, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 768, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 772, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 776, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 780, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 784, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 788, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 792, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 796, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 800, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 804, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 808, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 812, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 816, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 820, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 824, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 828, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 832, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 836, x2) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 840, x2) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 844, x2) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 848, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 852, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 856, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 860, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 864, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 868, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 872, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 876, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 880, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 884, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 888, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 892, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 896, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 900, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 904, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 908, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 912, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 916, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 920, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 924, x2) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 928, x2) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 932, x2) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 936, x2) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x4, 0xb505, x1, 940, x2) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 944, x2) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 948, x2) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 952, x2) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x6, x1, 956, x2) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 960, x2) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 964, x2) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x4, x1, 968, x2) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 972, x2) + +inst_263: +// rs1_val==4 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x0, x1, 976, x2) + +inst_264: +// rs1_val==4 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 980, x2) + +inst_265: +// rs1_val==4 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 984, x2) + +inst_266: +// rs1_val==4 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 988, x2) + +inst_267: +// rs1_val==4 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x2, x1, 992, x2) + +inst_268: +// rs1_val==4 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x4, 0xb504, x1, 996, x2) + +inst_269: +// rs1_val==4 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1000, x2) + +inst_270: +// rs1_val==4 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1004, x2) + +inst_271: +// rs1_val==4 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1008, x2) + +inst_272: +// rs1_val==4 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x4, 0x5, x1, 1012, x2) + +inst_273: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1016, x2) + +inst_274: +// rs1_val==4 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1020, x2) + +inst_275: +// rs1_val==4 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1024, x2) + +inst_276: +// rs1_val==46339 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1028, x2) + +inst_277: +// rs1_val==46339 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1032, x2) + +inst_278: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1036, x2) + +inst_279: +// rs1_val==46339 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1040, x2) + +inst_280: +// rs1_val==46339 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x6, x1, 1044, x2) + +inst_281: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1048, x2) + +inst_282: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1052, x2) + +inst_283: +// rs1_val==46339 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1056, x2) + +inst_284: +// rs1_val==46339 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1060, x2) + +inst_285: +// rs1_val==46339 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1064, x2) + +inst_286: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1068, x2) + +inst_287: +// rs1_val==46339 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1072, x2) + +inst_288: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1076, x2) + +inst_289: +// rs1_val==46339 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x2, x1, 1080, x2) + +inst_290: +// rs1_val==46339 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1084, x2) + +inst_291: +// rs1_val==46339 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1088, x2) + +inst_292: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1092, x2) + +inst_293: +// rs1_val==46339 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1096, x2) + +inst_294: +// rs1_val==46339 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x5, x1, 1100, x2) + +inst_295: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1104, x2) + +inst_296: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1108, x2) + +inst_297: +// rs1_val==46339 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x3, x1, 1112, x2) + +inst_298: +// rs1_val==0 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1116, x2) + +inst_299: +// rs1_val==0 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1120, x2) + +inst_300: +// rs1_val==0 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1124, x2) + +inst_301: +// rs1_val==0 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1128, x2) + +inst_302: +// rs1_val==0 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1132, x2) + +inst_303: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1136, x2) + +inst_304: +// rs1_val==0 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1140, x2) + +inst_305: +// rs1_val==0 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1144, x2) + +inst_306: +// rs1_val==0 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1148, x2) + +inst_307: +// rs1_val==0 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1152, x2) + +inst_308: +// rs1_val==0 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1156, x2) + +inst_309: +// rs1_val==0 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1160, x2) + +inst_310: +// rs1_val==0 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1164, x2) + +inst_311: +// rs1_val==0 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1168, x2) + +inst_312: +// rs1_val==0 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1172, x2) + +inst_313: +// rs1_val==0 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1176, x2) + +inst_314: +// rs1_val==0 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1180, x2) + +inst_315: +// rs1_val==0 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1184, x2) + +inst_316: +// rs1_val==0 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1188, x2) + +inst_317: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1192, x2) + +inst_318: +// rs1_val==0 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1196, x2) + +inst_319: +// rs1_val==0 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1200, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1204, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1208, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1212, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1216, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1220, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1224, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1228, x2) + +inst_327: +// rs1_val==1717986917 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1232, x2) + +inst_328: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1236, x2) + +inst_329: +// rs1_val==1717986917 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1240, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1244, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1248, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1252, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1256, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1260, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1264, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1268, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1272, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1276, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1280, x2) + +inst_340: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1284, x2) + +inst_341: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1288, x2) + +inst_342: +// rs1_val==858993459 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1292, x2) + +inst_343: +// rs1_val==5 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb505, x1, 1296, x2) + +inst_344: +// rs1_val==5 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1300, x2) + +inst_345: +// rs1_val==5 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1304, x2) + +inst_346: +// rs1_val==5 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1308, x2) + +inst_347: +// rs1_val==5 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x6, x1, 1312, x2) + +inst_348: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1316, x2) + +inst_349: +// rs1_val==5 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1320, x2) + +inst_350: +// rs1_val==5 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x4, x1, 1324, x2) + +inst_351: +// rs1_val==5 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x5, 0xb503, x1, 1328, x2) + +inst_352: +// rs1_val==5 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1332, x2) + +inst_353: +// rs1_val==5 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1336, x2) + +inst_354: +// rs1_val==5 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1340, x2) + +inst_355: +// rs1_val==5 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1344, x2) + +inst_356: +// rs1_val==5 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1348, x2) + +inst_357: +// rs1_val==5 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb504, x1, 1352, x2) + +inst_358: +// rs1_val==5 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1356, x2) + +inst_359: +// rs1_val==5 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1360, x2) + +inst_360: +// rs1_val==5 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1364, x2) + +inst_361: +// rs1_val==5 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1368, x2) + +inst_362: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1372, x2) + +inst_363: +// rs1_val==5 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1376, x2) + +inst_364: +// rs1_val==5 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x3, x1, 1380, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1384, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1388, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1392, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1396, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1400, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1404, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1408, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1412, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1416, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1420, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1424, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1428, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1432, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1436, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1440, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1444, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1448, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1452, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1456, x2) + +inst_384: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1460, x2) + +inst_385: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1464, x2) + +inst_386: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1468, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1472, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1476, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1480, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1484, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1488, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1492, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1496, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1500, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1504, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1508, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1512, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1516, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1520, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1524, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1528, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1532, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1536, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1540, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1544, x2) + +inst_406: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1548, x2) + +inst_407: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1552, x2) + +inst_408: +// rs1_val==1431655765 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1556, x2) + +inst_409: +// rs1_val==3 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb505, x1, 1560, x2) + +inst_410: +// rs1_val==3 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1564, x2) + +inst_411: +// rs1_val==3 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1568, x2) + +inst_412: +// rs1_val==3 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1572, x2) + +inst_413: +// rs1_val==3 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x6, x1, 1576, x2) + +inst_414: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1580, x2) + +inst_415: +// rs1_val==3 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1584, x2) + +inst_416: +// rs1_val==3 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1588, x2) + +inst_417: +// rs1_val==3 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x3, 0xb503, x1, 1592, x2) + +inst_418: +// rs1_val==3 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1596, x2) + +inst_419: +// rs1_val==3 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1600, x2) + +inst_420: +// rs1_val==3 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1604, x2) + +inst_421: +// rs1_val==3 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1608, x2) + +inst_422: +// rs1_val==3 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x2, x1, 1612, x2) + +inst_423: +// rs1_val==3 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1616, x2) + +inst_424: +// rs1_val==3 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1620, x2) + +inst_425: +// rs1_val==3 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1624, x2) + +inst_426: +// rs1_val==3 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1628, x2) + +inst_427: +// rs1_val==3 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x5, x1, 1632, x2) + +inst_428: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1636, x2) + +inst_429: +// rs1_val==3 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1640, x2) + +inst_430: +// rs1_val==3 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1644, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1648, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1652, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1656, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1660, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1664, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1668, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1672, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1676, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1680, x2) + +inst_440: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1684, x2) + +inst_441: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1688, x2) + +inst_442: +// rs1_val==1717986917 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1692, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1696, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1700, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1704, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1708, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1712, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1716, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1720, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1724, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1728, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1732, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1736, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1740, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1744, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1748, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1752, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1756, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1760, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1764, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1768, x2) + +inst_462: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1772, x2) + +inst_463: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1776, x2) + +inst_464: +// rs1_val==858993458 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1780, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1784, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1788, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1792, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1796, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1800, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1804, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1808, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1812, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1816, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1820, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1824, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1828, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1832, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1836, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1840, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1844, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1848, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1852, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1856, x2) + +inst_484: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1860, x2) + +inst_485: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1864, x2) + +inst_486: +// rs1_val==1431655764 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1868, x2) + +inst_487: +// rs1_val==2 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1872, x2) + +inst_488: +// rs1_val==2 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1876, x2) + +inst_489: +// rs1_val==2 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1880, x2) + +inst_490: +// rs1_val==2 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1884, x2) + +inst_491: +// rs1_val==2 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x6, x1, 1888, x2) + +inst_492: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1892, x2) + +inst_493: +// rs1_val==2 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1896, x2) + +inst_494: +// rs1_val==2 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1900, x2) + +inst_495: +// rs1_val==2 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x2, 0xb503, x1, 1904, x2) + +inst_496: +// rs1_val==2 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1908, x2) + +inst_497: +// rs1_val==2 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1912, x2) + +inst_498: +// rs1_val==2 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1916, x2) + +inst_499: +// rs1_val==2 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1920, x2) + +inst_500: +// rs1_val==2 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1924, x2) + +inst_501: +// rs1_val==2 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1928, x2) + +inst_502: +// rs1_val==2 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1932, x2) + +inst_503: +// rs1_val==2 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 1936, x2) + +inst_504: +// rs1_val==2 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 1940, x2) + +inst_505: +// rs1_val==2 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1944, x2) + +inst_506: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 1948, x2) + +inst_507: +// rs1_val==2 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1952, x2) + +inst_508: +// rs1_val==2 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x2, 0x3, x1, 1956, x2) + +inst_509: +// rs1_val==46340 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0xb505, x1, 1960, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 1964, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 1968, x2) + +inst_512: +// rs1_val==46340 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 1972, x2) + +inst_513: +// rs1_val==46340 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x6, x1, 1976, x2) + +inst_514: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 1980, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 1984, x2) + +inst_516: +// rs1_val==46340 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x4, x1, 1988, x2) + +inst_517: +// rs1_val==46340 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0xb503, x1, 1992, x2) + +inst_518: +// rs1_val==46340 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) + +inst_519: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 2000, x2) + +inst_520: +// rs1_val==46340 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 2004, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 2008, x2) + +inst_522: +// rs1_val==46340 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2012, x2) + +inst_523: +// rs1_val==46340 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2016, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 2020, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 2024, x2) + +inst_526: +// rs1_val==46340 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 2028, x2) + +inst_527: +// rs1_val==46340 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0x5, x1, 2032, x2) + +inst_528: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 2036, x2) + +inst_529: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 2040, x2) + +inst_530: +// rs1_val==46340 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_531: +// rs1_val==-46340 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 0, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 4, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 8, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 12, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 16, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 20, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 24, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 28, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 32, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 40, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 44, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 48, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 52, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 56, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 60, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 64, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 68, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 72, x2) + +inst_550: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 76, x2) + +inst_551: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 80, x2) + +inst_552: +// rs1_val==-46340 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 84, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 88, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 92, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 96, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 100, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 104, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 108, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 112, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 116, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 120, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 128, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 132, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 136, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 140, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 144, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 148, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 152, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 156, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 160, x2) + +inst_572: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 164, x2) + +inst_573: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 168, x2) + +inst_574: +// rs1_val==1717986918 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 172, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 176, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 180, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 184, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 188, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 192, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 196, x2) + +inst_581: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 200, x2) + +inst_582: +// rs1_val==858993459 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 204, x2) + +inst_583: +// rs1_val==858993459 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 208, x2) + +inst_584: +// rs2_val == -536870913, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x20000001 +TEST_RR_OP(or, x12, x10, x11, 0xdfffffff, -0x40000000, -0x20000001, x1, 212, x2) + +inst_585: +// rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x10000001 +TEST_RR_OP(or, x12, x10, x11, 0xefffffff, 0x4000, -0x10000001, x1, 216, x2) + +inst_586: +// rs2_val == -16777217, rs1_val == 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1000001 +TEST_RR_OP(or, x12, x10, x11, 0xfeffffff, 0x0, -0x1000001, x1, 220, x2) + +inst_587: +// rs2_val == -8388609, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x800001 +TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, 0x6, -0x800001, x1, 224, x2) + +inst_588: +// rs2_val == -524289, rs1_val == -33 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x21, -0x80001, x1, 228, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 6*(XLEN/32),4,0xdeadbeef + + +signature_x5_2: + .fill 6*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 58*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S new file mode 100644 index 000000000..fa55b6bf3 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S @@ -0,0 +1,2865 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the ori instruction of the RISC-V E extension for the ori covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",ori) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x12, rd==x9, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 2097152 +// opcode: ori ; op1:x12; dest:x9; op1val:0x200000; immval:-0x800 +TEST_IMM_OP( ori, x9, x12, 0xfffff800, 0x200000, -0x800, x1, 0, x2) + +inst_1: +// rs1 == rd, rs1==x0, rd==x0, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: ori ; op1:x0; dest:x0; op1val:0x0; immval:0x3ff +TEST_IMM_OP( ori, x0, x0, 0, 0x0, 0x3ff, x1, 4, x2) + +inst_2: +// rs1==x6, rd==x7, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 1024 +// opcode: ori ; op1:x6; dest:x7; op1val:-0x40000001; immval:0x400 +TEST_IMM_OP( ori, x7, x6, 0xbfffffff, -0x40000001, 0x400, x1, 8, x2) + +inst_3: +// rs1==x9, rd==x3, rs1_val == -536870913, +// opcode: ori ; op1:x9; dest:x3; op1val:-0x20000001; immval:0x2d +TEST_IMM_OP( ori, x3, x9, 0xdfffffff, -0x20000001, 0x2d, x1, 12, x2) + +inst_4: +// rs1==x5, rd==x14, rs1_val == -268435457, +// opcode: ori ; op1:x5; dest:x14; op1val:-0x10000001; immval:0x666 +TEST_IMM_OP( ori, x14, x5, 0xefffffff, -0x10000001, 0x666, x1, 16, x2) + +inst_5: +// rs1==x13, rd==x11, rs1_val == -134217729, imm_val == 2 +// opcode: ori ; op1:x13; dest:x11; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( ori, x11, x13, 0xf7ffffff, -0x8000001, 0x2, x1, 20, x2) + +inst_6: +// rs1==x3, rd==x5, rs1_val == -67108865, +// opcode: ori ; op1:x3; dest:x5; op1val:-0x4000001; immval:0x2 +TEST_IMM_OP( ori, x5, x3, 0xfbffffff, -0x4000001, 0x2, x1, 24, x2) + +inst_7: +// rs1==x11, rd==x12, rs1_val == -33554433, +// opcode: ori ; op1:x11; dest:x12; op1val:-0x2000001; immval:0x3 +TEST_IMM_OP( ori, x12, x11, 0xfdffffff, -0x2000001, 0x3, x1, 28, x2) + +inst_8: +// rs1==x10, rd==x15, rs1_val == -16777217, imm_val == 1 +// opcode: ori ; op1:x10; dest:x15; op1val:-0x1000001; immval:0x1 +TEST_IMM_OP( ori, x15, x10, 0xfeffffff, -0x1000001, 0x1, x1, 32, x2) + +inst_9: +// rs1==x4, rd==x13, rs1_val == -8388609, rs1_val < 0 and imm_val < 0 +// opcode: ori ; op1:x4; dest:x13; op1val:-0x800001; immval:-0x555 +TEST_IMM_OP( ori, x13, x4, 0xffffffff, -0x800001, -0x555, x1, 36, x5) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_10: +// rs1==x15, rd==x10, rs1_val == -4194305, imm_val == -3 +// opcode: ori ; op1:x15; dest:x10; op1val:-0x400001; immval:-0x3 +TEST_IMM_OP( ori, x10, x15, 0xffffffff, -0x400001, -0x3, x3, 0, x5) + +inst_11: +// rs1==x7, rd==x4, rs1_val == -2097153, imm_val == 1365 +// opcode: ori ; op1:x7; dest:x4; op1val:-0x200001; immval:0x555 +TEST_IMM_OP( ori, x4, x7, 0xffdfffff, -0x200001, 0x555, x3, 4, x5) + +inst_12: +// rs1==x2, rd==x6, rs1_val == -1048577, imm_val == -5 +// opcode: ori ; op1:x2; dest:x6; op1val:-0x100001; immval:-0x5 +TEST_IMM_OP( ori, x6, x2, 0xffffffff, -0x100001, -0x5, x3, 8, x5) + +inst_13: +// rs1==x8, rd==x1, rs1_val == -524289, +// opcode: ori ; op1:x8; dest:x1; op1val:-0x80001; immval:-0x3 +TEST_IMM_OP( ori, x1, x8, 0xffffffff, -0x80001, -0x3, x3, 12, x5) + +inst_14: +// rs1==x1, rd==x2, rs1_val == -262145, +// opcode: ori ; op1:x1; dest:x2; op1val:-0x40001; immval:0x333 +TEST_IMM_OP( ori, x2, x1, 0xfffbffff, -0x40001, 0x333, x3, 16, x5) + +inst_15: +// rs1==x14, rd==x8, rs1_val == -131073, +// opcode: ori ; op1:x14; dest:x8; op1val:-0x20001; immval:0x332 +TEST_IMM_OP( ori, x8, x14, 0xfffdffff, -0x20001, 0x332, x3, 20, x5) + +inst_16: +// rs1_val == -65537, imm_val == 4 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xfffeffff, -0x10001, 0x4, x3, 24, x5) + +inst_17: +// rs1_val == -32769, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff7fff, -0x8001, 0x555, x3, 28, x1) + +inst_18: +// rs1_val == -16385, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x4001; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffffbfff, -0x4001, 0x666, x3, 32, x1) + +inst_19: +// rs1_val == -8193, imm_val == -9 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x9 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2001, -0x9, x3, 36, x1) + +inst_20: +// rs1_val == -4097, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x1001, -0x2d, x3, 40, x1) + +inst_21: +// rs1_val == -2049, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x801; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xfffff7ff, -0x801, 0x666, x3, 44, x1) + +inst_22: +// rs1_val == -1025, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x401; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x401, -0x2c, x3, 48, x1) + +inst_23: +// rs1_val == -513, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x201; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffdff, -0x201, 0x555, x3, 52, x1) + +inst_24: +// rs1_val == -257, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x3 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x101, -0x3, x3, 56, x1) + +inst_25: +// rs1_val == -129, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x9 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x81, -0x9, x3, 60, x1) + +inst_26: +// rs1_val == -65, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x41; immval:-0x4 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x41, -0x4, x3, 64, x1) + +inst_27: +// rs1_val == -33, imm_val == -17 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x21; immval:-0x11 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x21, -0x11, x3, 68, x1) + +inst_28: +// rs1_val == -17, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x5 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x11, -0x5, x3, 72, x1) + +inst_29: +// rs1_val == -9, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, -0x9, 0x4, x3, 76, x1) + +inst_30: +// rs1_val == -5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x5; immval:-0x7 +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x5, -0x7, x3, 80, x1) + +inst_31: +// rs1_val == -3, imm_val == -65 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x3; immval:-0x41 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x3, -0x41, x3, 84, x1) + +inst_32: +// rs1_val == -2, imm_val == -129 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x81 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2, -0x81, x3, 88, x1) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val == 8192 +// opcode: ori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff +TEST_IMM_OP( ori, x11, x10, 0x27ff, 0x2000, 0x7ff, x3, 92, x1) + +inst_34: +// imm_val == -1025, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x6, -0x401, x3, 96, x1) + +inst_35: +// imm_val == -513, rs1_val == 131072 +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x201 +TEST_IMM_OP( ori, x11, x10, 0xfffffdff, 0x20000, -0x201, x3, 100, x1) + +inst_36: +// imm_val == -257, rs1_val == 1431655765 +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x101 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x101, x3, 104, x1) + +inst_37: +// imm_val == -33, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x21 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x21, x3, 108, x1) + +inst_38: +// imm_val == -2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x200001; immval:-0x2 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x200001, -0x2, x3, 112, x1) + +inst_39: +// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) +// opcode: ori ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x80000000, -0x80000000, 0x0, x3, 116, x1) + +inst_40: +// rs1_val == 1073741824, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x2 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x40000000, -0x2, x3, 120, x1) + +inst_41: +// rs1_val == 536870912, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x20000555, 0x20000000, 0x555, x3, 124, x1) + +inst_42: +// rs1_val == 268435456, imm_val == -1366 +// opcode: ori ; op1:x10; dest:x11; op1val:0x10000000; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x10000000, -0x556, x3, 128, x1) + +inst_43: +// rs1_val == 134217728, +// opcode: ori ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x1 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x8000000, -0x1, x3, 132, x1) + +inst_44: +// rs1_val == 67108864, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x4000332, 0x4000000, 0x332, x3, 136, x1) + +inst_45: +// rs1_val == 33554432, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x2000556, 0x2000000, 0x556, x3, 140, x1) + +inst_46: +// rs1_val == 16777216, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x1000000, -0x401, x3, 144, x1) + +inst_47: +// rs1_val == 8388608, +// opcode: ori ; op1:x10; dest:x11; op1val:0x800000; immval:-0x101 +TEST_IMM_OP( ori, x11, x10, 0xfffffeff, 0x800000, -0x101, x3, 148, x1) + +inst_48: +// rs1_val == 4194304, +// opcode: ori ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x400000, 0x400000, 0x0, x3, 152, x1) + +inst_49: +// rs1_val == 1048576, +// opcode: ori ; op1:x10; dest:x11; op1val:0x100000; immval:-0x21 +TEST_IMM_OP( ori, x11, x10, 0xffffffdf, 0x100000, -0x21, x3, 156, x1) + +inst_50: +// rs1_val == 524288, +// opcode: ori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x80000, -0x401, x3, 160, x1) + +inst_51: +// rs1_val == 262144, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40000; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x40556, 0x40000, 0x556, x3, 164, x1) + +inst_52: +// rs1_val == 65536, +// opcode: ori ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 +TEST_IMM_OP( ori, x11, x10, 0x10001, 0x10000, 0x1, x3, 168, x1) + +inst_53: +// rs1_val == 32768, imm_val == 512 +// opcode: ori ; op1:x10; dest:x11; op1val:0x8000; immval:0x200 +TEST_IMM_OP( ori, x11, x10, 0x8200, 0x8000, 0x200, x3, 172, x1) + +inst_54: +// rs1_val == 16384, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4000, -0x2c, x3, 176, x1) + +inst_55: +// rs1_val == 4096, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1000; immval:-0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x1000, -0x8, x3, 180, x1) + +inst_56: +// rs1_val == 2048, +// opcode: ori ; op1:x10; dest:x11; op1val:0x800; immval:-0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x800, -0x8, x3, 184, x1) + +inst_57: +// rs1_val == 1024, +// opcode: ori ; op1:x10; dest:x11; op1val:0x400; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x400, -0x2d, x3, 188, x1) + +inst_58: +// rs1_val == 512, +// opcode: ori ; op1:x10; dest:x11; op1val:0x200; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x200, -0x2c, x3, 192, x1) + +inst_59: +// rs1_val == 256, +// opcode: ori ; op1:x10; dest:x11; op1val:0x100; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x102, 0x100, 0x2, x3, 196, x1) + +inst_60: +// rs1_val == 128, rs1_val == imm_val, imm_val == 128 +// opcode: ori ; op1:x10; dest:x11; op1val:0x80; immval:0x80 +TEST_IMM_OP( ori, x11, x10, 0x80, 0x80, 0x80, x3, 200, x1) + +inst_61: +// rs1_val == 64, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x46, 0x40, 0x6, x3, 204, x1) + +inst_62: +// rs1_val == 32, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x20, -0x2c, x3, 208, x1) + +inst_63: +// rs1_val == 16, +// opcode: ori ; op1:x10; dest:x11; op1val:0x10; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x10, 0x555, x3, 212, x1) + +inst_64: +// rs1_val == 8, +// opcode: ori ; op1:x10; dest:x11; op1val:0x8; immval:-0xa +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x8, -0xa, x3, 216, x1) + +inst_65: +// rs1_val == 4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3ff +TEST_IMM_OP( ori, x11, x10, 0x3ff, 0x4, 0x3ff, x3, 220, x1) + +inst_66: +// rs1_val == 2, rs1_val==2 and imm_val==2 +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x2, x3, 224, x1) + +inst_67: +// rs1_val == 1, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x1, 0x1, 0x0, x3, 228, x1) + +inst_68: +// imm_val == 256, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x100 +TEST_IMM_OP( ori, x11, x10, 0x20000100, 0x20000000, 0x100, x3, 232, x1) + +inst_69: +// imm_val == 64, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x40 +TEST_IMM_OP( ori, x11, x10, 0x44, 0x4, 0x40, x3, 236, x1) + +inst_70: +// imm_val == 32, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x20 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x20, x3, 240, x1) + +inst_71: +// imm_val == 16, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x10 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x10, x3, 244, x1) + +inst_72: +// imm_val == 8, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, -0x8, 0x8, x3, 248, x1) + +inst_73: +// rs1_val==46341 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb505, 0x2e, x3, 252, x1) + +inst_74: +// rs1_val==46341 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0xb505, -0x2c, x3, 256, x1) + +inst_75: +// rs1_val==46341 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x667, x3, 260, x1) + +inst_76: +// rs1_val==46341 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb735, 0xb505, 0x334, x3, 264, x1) + +inst_77: +// rs1_val==46341 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x6, x3, 268, x1) + +inst_78: +// rs1_val==46341 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x555, x3, 272, x1) + +inst_79: +// rs1_val==46341 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb505, 0x556, x3, 276, x1) + +inst_80: +// rs1_val==46341 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x4, x3, 280, x1) + +inst_81: +// rs1_val==46341 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2c, x3, 284, x1) + +inst_82: +// rs1_val==46341 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x0, x3, 288, x1) + +inst_83: +// rs1_val==46341 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb505, 0x665, x3, 292, x1) + +inst_84: +// rs1_val==46341 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x332, x3, 296, x1) + +inst_85: +// rs1_val==46341 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x554, x3, 300, x1) + +inst_86: +// rs1_val==46341 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x2, x3, 304, x1) + +inst_87: +// rs1_val==46341 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2d, x3, 308, x1) + +inst_88: +// rs1_val==46341 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb505, -0x2d, x3, 312, x1) + +inst_89: +// rs1_val==46341 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x666, x3, 316, x1) + +inst_90: +// rs1_val==46341 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x333, x3, 320, x1) + +inst_91: +// rs1_val==46341 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x5, x3, 324, x1) + +inst_92: +// rs1_val==46341 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x556, x3, 328, x1) + +inst_93: +// rs1_val==46341 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x555, x3, 332, x1) + +inst_94: +// rs1_val==46341 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x3, x3, 336, x1) + +inst_95: +// rs1_val==-46339 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2e, x3, 340, x1) + +inst_96: +// rs1_val==-46339 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffd, -0xb503, -0x2c, x3, 344, x1) + +inst_97: +// rs1_val==-46339 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x667, x3, 348, x1) + +inst_98: +// rs1_val==-46339 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfd, -0xb503, 0x334, x3, 352, x1) + +inst_99: +// rs1_val==-46339 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x6, x3, 356, x1) + +inst_100: +// rs1_val==-46339 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x555, x3, 360, x1) + +inst_101: +// rs1_val==-46339 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xffff4fff, -0xb503, 0x556, x3, 364, x1) + +inst_102: +// rs1_val==-46339 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x4, x3, 368, x1) + +inst_103: +// rs1_val==-46339 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2c, x3, 372, x1) + +inst_104: +// rs1_val==-46339 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 376, x1) + +inst_105: +// rs1_val==-46339 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb503, 0x665, x3, 380, x1) + +inst_106: +// rs1_val==-46339 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x332, x3, 384, x1) + +inst_107: +// rs1_val==-46339 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x554, x3, 388, x1) + +inst_108: +// rs1_val==-46339 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 392, x1) + +inst_109: +// rs1_val==-46339 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2d, x3, 396, x1) + +inst_110: +// rs1_val==-46339 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb503, -0x2d, x3, 400, x1) + +inst_111: +// rs1_val==-46339 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x666, x3, 404, x1) + +inst_112: +// rs1_val==-46339 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x333, x3, 408, x1) + +inst_113: +// rs1_val==-46339 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x5, x3, 412, x1) + +inst_114: +// rs1_val==-46339 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x556, x3, 416, x1) + +inst_115: +// rs1_val==-46339 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x555, x3, 420, x1) + +inst_116: +// rs1_val==-46339 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x3, x3, 424, x1) + +inst_117: +// rs1_val==1717986919 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2e, x3, 428, x1) + +inst_118: +// rs1_val==1717986919 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2c, x3, 432, x1) + +inst_119: +// rs1_val==1717986919 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x667, x3, 436, x1) + +inst_120: +// rs1_val==1717986919 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x334, x3, 440, x1) + +inst_121: +// rs1_val==1717986919 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x6, x3, 444, x1) + +inst_122: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x555, x3, 448, x1) + +inst_123: +// rs1_val==1717986919 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x556, x3, 452, x1) + +inst_124: +// rs1_val==1717986919 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x4, x3, 456, x1) + +inst_125: +// rs1_val==1717986919 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2c, x3, 460, x1) + +inst_126: +// rs1_val==1717986919 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 464, x1) + +inst_127: +// rs1_val==1717986919 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x665, x3, 468, x1) + +inst_128: +// rs1_val==1717986919 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x332, x3, 472, x1) + +inst_129: +// rs1_val==1717986919 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x554, x3, 476, x1) + +inst_130: +// rs1_val==1717986919 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x2, x3, 480, x1) + +inst_131: +// rs1_val==1717986919 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2d, x3, 484, x1) + +inst_132: +// rs1_val==1717986919 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2d, x3, 488, x1) + +inst_133: +// rs1_val==1717986919 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x666, x3, 492, x1) + +inst_134: +// rs1_val==1717986919 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x333, x3, 496, x1) + +inst_135: +// rs1_val==1717986919 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x5, x3, 500, x1) + +inst_136: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x556, x3, 504, x1) + +inst_137: +// rs1_val==1717986919 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x555, x3, 508, x1) + +inst_138: +// rs1_val==1717986919 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x3, x3, 512, x1) + +inst_139: +// rs1_val==858993460 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333334, 0x2e, x3, 516, x1) + +inst_140: +// rs1_val==858993460 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x33333334, -0x2c, x3, 520, x1) + +inst_141: +// rs1_val==858993460 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333334, 0x667, x3, 524, x1) + +inst_142: +// rs1_val==858993460 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x334, x3, 528, x1) + +inst_143: +// rs1_val==858993460 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x6, x3, 532, x1) + +inst_144: +// rs1_val==858993460 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbf, 0x33333334, -0x555, x3, 536, x1) + +inst_145: +// rs1_val==858993460 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x556, x3, 540, x1) + +inst_146: +// rs1_val==858993460 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x4, x3, 544, x1) + +inst_147: +// rs1_val==858993460 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333c, 0x33333334, 0x2c, x3, 548, x1) + +inst_148: +// rs1_val==858993460 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 552, x1) + +inst_149: +// rs1_val==858993460 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x665, x3, 556, x1) + +inst_150: +// rs1_val==858993460 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x332, x3, 560, x1) + +inst_151: +// rs1_val==858993460 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333774, 0x33333334, 0x554, x3, 564, x1) + +inst_152: +// rs1_val==858993460 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 568, x1) + +inst_153: +// rs1_val==858993460 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333d, 0x33333334, 0x2d, x3, 572, x1) + +inst_154: +// rs1_val==858993460 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333334, -0x2d, x3, 576, x1) + +inst_155: +// rs1_val==858993460 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x666, x3, 580, x1) + +inst_156: +// rs1_val==858993460 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x333, x3, 584, x1) + +inst_157: +// rs1_val==858993460 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333335, 0x33333334, 0x5, x3, 588, x1) + +inst_158: +// rs1_val==858993460 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbe, 0x33333334, -0x556, x3, 592, x1) + +inst_159: +// rs1_val==858993460 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x555, x3, 596, x1) + +inst_160: +// rs1_val==858993460 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 600, x1) + +inst_161: +// rs1_val==6 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2e, x3, 604, x1) + +inst_162: +// rs1_val==6 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x6, -0x2c, x3, 608, x1) + +inst_163: +// rs1_val==6 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x667, x3, 612, x1) + +inst_164: +// rs1_val==6 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x334, x3, 616, x1) + +inst_165: +// rs1_val==6 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x6, x3, 620, x1) + +inst_166: +// rs1_val==6 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x6, -0x555, x3, 624, x1) + +inst_167: +// rs1_val==6 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x556, x3, 628, x1) + +inst_168: +// rs1_val==6 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x4, x3, 632, x1) + +inst_169: +// rs1_val==6 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2c, x3, 636, x1) + +inst_170: +// rs1_val==6 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x0, x3, 640, x1) + +inst_171: +// rs1_val==6 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x665, x3, 644, x1) + +inst_172: +// rs1_val==6 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x332, x3, 648, x1) + +inst_173: +// rs1_val==6 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x554, x3, 652, x1) + +inst_174: +// rs1_val==6 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x2, x3, 656, x1) + +inst_175: +// rs1_val==6 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x6, 0x2d, x3, 660, x1) + +inst_176: +// rs1_val==6 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x6, -0x2d, x3, 664, x1) + +inst_177: +// rs1_val==6 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x6, 0x666, x3, 668, x1) + +inst_178: +// rs1_val==6 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x6, 0x333, x3, 672, x1) + +inst_179: +// rs1_val==6 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x5, x3, 676, x1) + +inst_180: +// rs1_val==6 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x6, -0x556, x3, 680, x1) + +inst_181: +// rs1_val==6 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x6, 0x555, x3, 684, x1) + +inst_182: +// rs1_val==6 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x3, x3, 688, x1) + +inst_183: +// rs1_val==-1431655765 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2e, x3, 692, x1) + +inst_184: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x2c, x3, 696, x1) + +inst_185: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x667, x3, 700, x1) + +inst_186: +// rs1_val==-1431655765 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbf, -0x55555555, 0x334, x3, 704, x1) + +inst_187: +// rs1_val==-1431655765 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x6, x3, 708, x1) + +inst_188: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x555, x3, 712, x1) + +inst_189: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x556, x3, 716, x1) + +inst_190: +// rs1_val==-1431655765 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 720, x1) + +inst_191: +// rs1_val==-1431655765 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2c, x3, 724, x1) + +inst_192: +// rs1_val==-1431655765 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 728, x1) + +inst_193: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x665, x3, 732, x1) + +inst_194: +// rs1_val==-1431655765 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x332, x3, 736, x1) + +inst_195: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 740, x1) + +inst_196: +// rs1_val==-1431655765 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x2, x3, 744, x1) + +inst_197: +// rs1_val==-1431655765 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2d, x3, 748, x1) + +inst_198: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555555, -0x2d, x3, 752, x1) + +inst_199: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x666, x3, 756, x1) + +inst_200: +// rs1_val==-1431655765 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x333, x3, 760, x1) + +inst_201: +// rs1_val==-1431655765 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x5, x3, 764, x1) + +inst_202: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x556, x3, 768, x1) + +inst_203: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x555, x3, 772, x1) + +inst_204: +// rs1_val==-1431655765 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x3, x3, 776, x1) + +inst_205: +// rs1_val==1431655766 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2e, x3, 780, x1) + +inst_206: +// rs1_val==1431655766 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x55555556, -0x2c, x3, 784, x1) + +inst_207: +// rs1_val==1431655766 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x667, x3, 788, x1) + +inst_208: +// rs1_val==1431655766 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x334, x3, 792, x1) + +inst_209: +// rs1_val==1431655766 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x6, x3, 796, x1) + +inst_210: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555556, -0x555, x3, 800, x1) + +inst_211: +// rs1_val==1431655766 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x556, x3, 804, x1) + +inst_212: +// rs1_val==1431655766 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x4, x3, 808, x1) + +inst_213: +// rs1_val==1431655766 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2c, x3, 812, x1) + +inst_214: +// rs1_val==1431655766 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 816, x1) + +inst_215: +// rs1_val==1431655766 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x665, x3, 820, x1) + +inst_216: +// rs1_val==1431655766 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x332, x3, 824, x1) + +inst_217: +// rs1_val==1431655766 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x554, x3, 828, x1) + +inst_218: +// rs1_val==1431655766 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x2, x3, 832, x1) + +inst_219: +// rs1_val==1431655766 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555556, 0x2d, x3, 836, x1) + +inst_220: +// rs1_val==1431655766 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555556, -0x2d, x3, 840, x1) + +inst_221: +// rs1_val==1431655766 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x666, x3, 844, x1) + +inst_222: +// rs1_val==1431655766 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x333, x3, 848, x1) + +inst_223: +// rs1_val==1431655766 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x5, x3, 852, x1) + +inst_224: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555556, -0x556, x3, 856, x1) + +inst_225: +// rs1_val==1431655766 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x555, x3, 860, x1) + +inst_226: +// rs1_val==1431655766 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x3, x3, 864, x1) + +inst_227: +// rs1_val==4 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x4, 0x2e, x3, 868, x1) + +inst_228: +// rs1_val==4 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4, -0x2c, x3, 872, x1) + +inst_229: +// rs1_val==4 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x4, 0x667, x3, 876, x1) + +inst_230: +// rs1_val==4 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x334, 0x4, 0x334, x3, 880, x1) + +inst_231: +// rs1_val==4 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x6, x3, 884, x1) + +inst_232: +// rs1_val==4 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 888, x1) + +inst_233: +// rs1_val==4 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x4, 0x556, x3, 892, x1) + +inst_234: +// rs1_val==4 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x4, x3, 896, x1) + +inst_235: +// rs1_val==4 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2c, 0x4, 0x2c, x3, 900, x1) + +inst_236: +// rs1_val==4 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x0, x3, 904, x1) + +inst_237: +// rs1_val==4 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x4, 0x665, x3, 908, x1) + +inst_238: +// rs1_val==4 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x4, 0x332, x3, 912, x1) + +inst_239: +// rs1_val==4 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x554, 0x4, 0x554, x3, 916, x1) + +inst_240: +// rs1_val==4 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x2, x3, 920, x1) + +inst_241: +// rs1_val==4 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x4, 0x2d, x3, 924, x1) + +inst_242: +// rs1_val==4 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 928, x1) + +inst_243: +// rs1_val==4 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x4, 0x666, x3, 932, x1) + +inst_244: +// rs1_val==4 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x4, 0x333, x3, 936, x1) + +inst_245: +// rs1_val==4 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x4, 0x5, x3, 940, x1) + +inst_246: +// rs1_val==4 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 944, x1) + +inst_247: +// rs1_val==4 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x4, 0x555, x3, 948, x1) + +inst_248: +// rs1_val==4 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x4, 0x3, x3, 952, x1) + +inst_249: +// rs1_val==46339 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2e, x3, 956, x1) + +inst_250: +// rs1_val==46339 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb503, -0x2c, x3, 960, x1) + +inst_251: +// rs1_val==46339 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x667, x3, 964, x1) + +inst_252: +// rs1_val==46339 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb503, 0x334, x3, 968, x1) + +inst_253: +// rs1_val==46339 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x6, x3, 972, x1) + +inst_254: +// rs1_val==46339 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x555, x3, 976, x1) + +inst_255: +// rs1_val==46339 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x556, x3, 980, x1) + +inst_256: +// rs1_val==46339 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x4, x3, 984, x1) + +inst_257: +// rs1_val==46339 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 988, x1) + +inst_258: +// rs1_val==46339 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x0, x3, 992, x1) + +inst_259: +// rs1_val==46339 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x665, x3, 996, x1) + +inst_260: +// rs1_val==46339 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x332, x3, 1000, x1) + +inst_261: +// rs1_val==46339 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x554, x3, 1004, x1) + +inst_262: +// rs1_val==46339 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x2, x3, 1008, x1) + +inst_263: +// rs1_val==46339 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2d, x3, 1012, x1) + +inst_264: +// rs1_val==46339 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0xb503, -0x2d, x3, 1016, x1) + +inst_265: +// rs1_val==46339 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x666, x3, 1020, x1) + +inst_266: +// rs1_val==46339 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x333, x3, 1024, x1) + +inst_267: +// rs1_val==46339 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x5, x3, 1028, x1) + +inst_268: +// rs1_val==46339 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x556, x3, 1032, x1) + +inst_269: +// rs1_val==46339 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x555, x3, 1036, x1) + +inst_270: +// rs1_val==46339 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x3, x3, 1040, x1) + +inst_271: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1044, x1) + +inst_272: +// rs1_val==0 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1048, x1) + +inst_273: +// rs1_val==0 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x0, 0x667, x3, 1052, x1) + +inst_274: +// rs1_val==0 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x334, 0x0, 0x334, x3, 1056, x1) + +inst_275: +// rs1_val==0 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x0, 0x6, x3, 1060, x1) + +inst_276: +// rs1_val==0 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1064, x1) + +inst_277: +// rs1_val==0 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x0, 0x556, x3, 1068, x1) + +inst_278: +// rs1_val==0 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x0, 0x4, x3, 1072, x1) + +inst_279: +// rs1_val==0 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1076, x1) + +inst_280: +// rs1_val==0 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x0, 0x0, 0x0, x3, 1080, x1) + +inst_281: +// rs1_val==0 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x0, 0x665, x3, 1084, x1) + +inst_282: +// rs1_val==0 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x332, 0x0, 0x332, x3, 1088, x1) + +inst_283: +// rs1_val==0 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x554, 0x0, 0x554, x3, 1092, x1) + +inst_284: +// rs1_val==0 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x0, 0x2, x3, 1096, x1) + +inst_285: +// rs1_val==0 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1100, x1) + +inst_286: +// rs1_val==0 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1104, x1) + +inst_287: +// rs1_val==0 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x0, 0x666, x3, 1108, x1) + +inst_288: +// rs1_val==0 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x0, 0x333, x3, 1112, x1) + +inst_289: +// rs1_val==0 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x0, 0x5, x3, 1116, x1) + +inst_290: +// rs1_val==0 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1120, x1) + +inst_291: +// rs1_val==0 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x0, 0x555, x3, 1124, x1) + +inst_292: +// rs1_val==0 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x0, 0x3, x3, 1128, x1) + +inst_293: +// rs1_val==1717986917 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666665, 0x2e, x3, 1132, x1) + +inst_294: +// rs1_val==1717986917 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff5, 0x66666665, -0x2c, x3, 1136, x1) + +inst_295: +// rs1_val==1717986917 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x667, x3, 1140, x1) + +inst_296: +// rs1_val==1717986917 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x334, x3, 1144, x1) + +inst_297: +// rs1_val==1717986917 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x6, x3, 1148, x1) + +inst_298: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x555, x3, 1152, x1) + +inst_299: +// rs1_val==1717986917 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x556, x3, 1156, x1) + +inst_300: +// rs1_val==1717986917 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x4, x3, 1160, x1) + +inst_301: +// rs1_val==1717986917 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2c, x3, 1164, x1) + +inst_302: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555556, -0x555, x3, 1168, x1) + +inst_303: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x556, x3, 1172, x1) + +inst_304: +// rs1_val==-1431655766 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1176, x1) + +inst_305: +// rs1_val==-1431655766 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2c, x3, 1180, x1) + +inst_306: +// rs1_val==-1431655766 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1184, x1) + +inst_307: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x665, x3, 1188, x1) + +inst_308: +// rs1_val==-1431655766 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabba, -0x55555556, 0x332, x3, 1192, x1) + +inst_309: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1196, x1) + +inst_310: +// rs1_val==-1431655766 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x2, x3, 1200, x1) + +inst_311: +// rs1_val==-1431655766 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x2d, x3, 1204, x1) + +inst_312: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555556, -0x2d, x3, 1208, x1) + +inst_313: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeee, -0x55555556, 0x666, x3, 1212, x1) + +inst_314: +// rs1_val==-1431655766 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555556, 0x333, x3, 1216, x1) + +inst_315: +// rs1_val==-1431655766 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1220, x1) + +inst_316: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, -0x55555556, -0x556, x3, 1224, x1) + +inst_317: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1228, x1) + +inst_318: +// rs1_val==-1431655766 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555556, 0x3, x3, 1232, x1) + +inst_319: +// rs1_val==1431655765 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555555, 0x2e, x3, 1236, x1) + +inst_320: +// rs1_val==1431655765 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x55555555, -0x2c, x3, 1240, x1) + +inst_321: +// rs1_val==1431655765 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x667, x3, 1244, x1) + +inst_322: +// rs1_val==1431655765 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x334, x3, 1248, x1) + +inst_323: +// rs1_val==1431655765 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x6, x3, 1252, x1) + +inst_324: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x555, x3, 1256, x1) + +inst_325: +// rs1_val==1431655765 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x556, x3, 1260, x1) + +inst_326: +// rs1_val==1431655765 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x4, x3, 1264, x1) + +inst_327: +// rs1_val==1431655765 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2c, x3, 1268, x1) + +inst_328: +// rs1_val==1431655765 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1272, x1) + +inst_329: +// rs1_val==1431655765 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x665, x3, 1276, x1) + +inst_330: +// rs1_val==1431655765 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x332, x3, 1280, x1) + +inst_331: +// rs1_val==1431655765 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x554, x3, 1284, x1) + +inst_332: +// rs1_val==1431655765 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1288, x1) + +inst_333: +// rs1_val==1431655765 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2d, x3, 1292, x1) + +inst_334: +// rs1_val==1431655765 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555555, -0x2d, x3, 1296, x1) + +inst_335: +// rs1_val==1431655765 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x666, x3, 1300, x1) + +inst_336: +// rs1_val==1431655765 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x333, x3, 1304, x1) + +inst_337: +// rs1_val==1431655765 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x5, x3, 1308, x1) + +inst_338: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x556, x3, 1312, x1) + +inst_339: +// rs1_val==1431655765 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x555, x3, 1316, x1) + +inst_340: +// rs1_val==1431655765 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x3, x3, 1320, x1) + +inst_341: +// rs1_val==3 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2e, x3, 1324, x1) + +inst_342: +// rs1_val==3 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1328, x1) + +inst_343: +// rs1_val==3 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x667, x3, 1332, x1) + +inst_344: +// rs1_val==3 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x3, 0x334, x3, 1336, x1) + +inst_345: +// rs1_val==3 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x6, x3, 1340, x1) + +inst_346: +// rs1_val==3 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x555, x3, 1344, x1) + +inst_347: +// rs1_val==3 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x556, x3, 1348, x1) + +inst_348: +// rs1_val==3 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x4, x3, 1352, x1) + +inst_349: +// rs1_val==3 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1356, x1) + +inst_350: +// rs1_val==3 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x0, x3, 1360, x1) + +inst_351: +// rs1_val==3 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x665, x3, 1364, x1) + +inst_352: +// rs1_val==3 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x332, x3, 1368, x1) + +inst_353: +// rs1_val==3 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x554, x3, 1372, x1) + +inst_354: +// rs1_val==3 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x2, x3, 1376, x1) + +inst_355: +// rs1_val==3 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2d, x3, 1380, x1) + +inst_356: +// rs1_val==3 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x3, -0x2d, x3, 1384, x1) + +inst_357: +// rs1_val==3 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x666, x3, 1388, x1) + +inst_358: +// rs1_val==3 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x333, x3, 1392, x1) + +inst_359: +// rs1_val==3 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x5, x3, 1396, x1) + +inst_360: +// rs1_val==3 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x556, x3, 1400, x1) + +inst_361: +// rs1_val==3 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x555, x3, 1404, x1) + +inst_362: +// rs1_val==3 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x3, x3, 1408, x1) + +inst_363: +// rs1_val==1717986917 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1412, x1) + +inst_364: +// rs1_val==1717986917 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x665, x3, 1416, x1) + +inst_365: +// rs1_val==1717986917 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x332, x3, 1420, x1) + +inst_366: +// rs1_val==1717986917 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x554, x3, 1424, x1) + +inst_367: +// rs1_val==1717986917 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1428, x1) + +inst_368: +// rs1_val==1717986917 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2d, x3, 1432, x1) + +inst_369: +// rs1_val==1717986917 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666665, -0x2d, x3, 1436, x1) + +inst_370: +// rs1_val==1717986917 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x666, x3, 1440, x1) + +inst_371: +// rs1_val==1717986917 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x333, x3, 1444, x1) + +inst_372: +// rs1_val==1717986917 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x5, x3, 1448, x1) + +inst_373: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x556, x3, 1452, x1) + +inst_374: +// rs1_val==1717986917 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x555, x3, 1456, x1) + +inst_375: +// rs1_val==1717986917 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x3, x3, 1460, x1) + +inst_376: +// rs1_val==858993458 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2e, x3, 1464, x1) + +inst_377: +// rs1_val==858993458 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x33333332, -0x2c, x3, 1468, x1) + +inst_378: +// rs1_val==858993458 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x667, x3, 1472, x1) + +inst_379: +// rs1_val==858993458 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x334, x3, 1476, x1) + +inst_380: +// rs1_val==858993458 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x6, x3, 1480, x1) + +inst_381: +// rs1_val==858993458 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333332, -0x555, x3, 1484, x1) + +inst_382: +// rs1_val==858993458 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x556, x3, 1488, x1) + +inst_383: +// rs1_val==858993458 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1492, x1) + +inst_384: +// rs1_val==858993458 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2c, x3, 1496, x1) + +inst_385: +// rs1_val==858993458 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1500, x1) + +inst_386: +// rs1_val==858993458 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x665, x3, 1504, x1) + +inst_387: +// rs1_val==858993458 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x332, x3, 1508, x1) + +inst_388: +// rs1_val==858993458 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x554, x3, 1512, x1) + +inst_389: +// rs1_val==858993458 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x2, x3, 1516, x1) + +inst_390: +// rs1_val==858993458 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333332, 0x2d, x3, 1520, x1) + +inst_391: +// rs1_val==858993458 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333332, -0x2d, x3, 1524, x1) + +inst_392: +// rs1_val==858993458 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x666, x3, 1528, x1) + +inst_393: +// rs1_val==858993458 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x333, x3, 1532, x1) + +inst_394: +// rs1_val==858993458 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1536, x1) + +inst_395: +// rs1_val==858993458 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbba, 0x33333332, -0x556, x3, 1540, x1) + +inst_396: +// rs1_val==858993458 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x555, x3, 1544, x1) + +inst_397: +// rs1_val==858993458 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x3, x3, 1548, x1) + +inst_398: +// rs1_val==1431655764 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555554, 0x2e, x3, 1552, x1) + +inst_399: +// rs1_val==1431655764 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x55555554, -0x2c, x3, 1556, x1) + +inst_400: +// rs1_val==1431655764 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x667, x3, 1560, x1) + +inst_401: +// rs1_val==1431655764 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555774, 0x55555554, 0x334, x3, 1564, x1) + +inst_402: +// rs1_val==1431655764 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x6, x3, 1568, x1) + +inst_403: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555554, -0x555, x3, 1572, x1) + +inst_404: +// rs1_val==1431655764 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x556, x3, 1576, x1) + +inst_405: +// rs1_val==1431655764 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x4, x3, 1580, x1) + +inst_406: +// rs1_val==1431655764 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557c, 0x55555554, 0x2c, x3, 1584, x1) + +inst_407: +// rs1_val==1431655764 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1588, x1) + +inst_408: +// rs1_val==1431655764 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555554, 0x665, x3, 1592, x1) + +inst_409: +// rs1_val==1431655764 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x332, x3, 1596, x1) + +inst_410: +// rs1_val==1431655764 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x554, x3, 1600, x1) + +inst_411: +// rs1_val==1431655764 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1604, x1) + +inst_412: +// rs1_val==1431655764 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555554, 0x2d, x3, 1608, x1) + +inst_413: +// rs1_val==1431655764 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555554, -0x2d, x3, 1612, x1) + +inst_414: +// rs1_val==1431655764 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x666, x3, 1616, x1) + +inst_415: +// rs1_val==1431655764 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x333, x3, 1620, x1) + +inst_416: +// rs1_val==1431655764 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x5, x3, 1624, x1) + +inst_417: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555554, -0x556, x3, 1628, x1) + +inst_418: +// rs1_val==1431655764 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x555, x3, 1632, x1) + +inst_419: +// rs1_val==1431655764 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1636, x1) + +inst_420: +// rs1_val==2 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2e, x3, 1640, x1) + +inst_421: +// rs1_val==2 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1644, x1) + +inst_422: +// rs1_val==2 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x667, x3, 1648, x1) + +inst_423: +// rs1_val==2 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x2, 0x334, x3, 1652, x1) + +inst_424: +// rs1_val==2 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x6, x3, 1656, x1) + +inst_425: +// rs1_val==2 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x2, -0x555, x3, 1660, x1) + +inst_426: +// rs1_val==2 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x556, x3, 1664, x1) + +inst_427: +// rs1_val==2 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x4, x3, 1668, x1) + +inst_428: +// rs1_val==2 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1672, x1) + +inst_429: +// rs1_val==2 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x0, x3, 1676, x1) + +inst_430: +// rs1_val==2 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x665, x3, 1680, x1) + +inst_431: +// rs1_val==2 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x332, 0x2, 0x332, x3, 1684, x1) + +inst_432: +// rs1_val==2 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x554, x3, 1688, x1) + +inst_433: +// rs1_val==2 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1692, x1) + +inst_434: +// rs1_val==2 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x2, -0x2d, x3, 1696, x1) + +inst_435: +// rs1_val==2 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x2, 0x666, x3, 1700, x1) + +inst_436: +// rs1_val==2 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x2, 0x333, x3, 1704, x1) + +inst_437: +// rs1_val==2 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x2, 0x5, x3, 1708, x1) + +inst_438: +// rs1_val==2 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x2, -0x556, x3, 1712, x1) + +inst_439: +// rs1_val==2 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x2, 0x555, x3, 1716, x1) + +inst_440: +// rs1_val==2 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x2, 0x3, x3, 1720, x1) + +inst_441: +// rs1_val==46340 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52e, 0xb504, 0x2e, x3, 1724, x1) + +inst_442: +// rs1_val==46340 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0xb504, -0x2c, x3, 1728, x1) + +inst_443: +// rs1_val==46340 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb504, 0x667, x3, 1732, x1) + +inst_444: +// rs1_val==46340 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb734, 0xb504, 0x334, x3, 1736, x1) + +inst_445: +// rs1_val==46340 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x6, x3, 1740, x1) + +inst_446: +// rs1_val==46340 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb504, -0x555, x3, 1744, x1) + +inst_447: +// rs1_val==46340 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb556, 0xb504, 0x556, x3, 1748, x1) + +inst_448: +// rs1_val==46340 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x4, x3, 1752, x1) + +inst_449: +// rs1_val==46340 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52c, 0xb504, 0x2c, x3, 1756, x1) + +inst_450: +// rs1_val==46340 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1760, x1) + +inst_451: +// rs1_val==46340 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb504, 0x665, x3, 1764, x1) + +inst_452: +// rs1_val==46340 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb736, 0xb504, 0x332, x3, 1768, x1) + +inst_453: +// rs1_val==46340 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb554, 0xb504, 0x554, x3, 1772, x1) + +inst_454: +// rs1_val==46340 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1776, x1) + +inst_455: +// rs1_val==46340 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb504, 0x2d, x3, 1780, x1) + +inst_456: +// rs1_val==46340 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb504, -0x2d, x3, 1784, x1) + +inst_457: +// rs1_val==46340 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb766, 0xb504, 0x666, x3, 1788, x1) + +inst_458: +// rs1_val==46340 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb504, 0x333, x3, 1792, x1) + +inst_459: +// rs1_val==46340 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb504, 0x5, x3, 1796, x1) + +inst_460: +// rs1_val==46340 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffae, 0xb504, -0x556, x3, 1800, x1) + +inst_461: +// rs1_val==46340 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb504, 0x555, x3, 1804, x1) + +inst_462: +// rs1_val==46340 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1808, x1) + +inst_463: +// rs1_val==-46340 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2e, x3, 1812, x1) + +inst_464: +// rs1_val==-46340 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffc, -0xb504, -0x2c, x3, 1816, x1) + +inst_465: +// rs1_val==-46340 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb504, 0x667, x3, 1820, x1) + +inst_466: +// rs1_val==-46340 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfc, -0xb504, 0x334, x3, 1824, x1) + +inst_467: +// rs1_val==-46340 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x6, x3, 1828, x1) + +inst_468: +// rs1_val==-46340 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb504, -0x555, x3, 1832, x1) + +inst_469: +// rs1_val==-46340 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffe, -0xb504, 0x556, x3, 1836, x1) + +inst_470: +// rs1_val==-46340 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x4, x3, 1840, x1) + +inst_471: +// rs1_val==-46340 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x2c, x3, 1844, x1) + +inst_472: +// rs1_val==-46340 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1848, x1) + +inst_473: +// rs1_val==-46340 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb504, 0x665, x3, 1852, x1) + +inst_474: +// rs1_val==-46340 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfe, -0xb504, 0x332, x3, 1856, x1) + +inst_475: +// rs1_val==-46340 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffc, -0xb504, 0x554, x3, 1860, x1) + +inst_476: +// rs1_val==-46340 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1864, x1) + +inst_477: +// rs1_val==-46340 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x2d, x3, 1868, x1) + +inst_478: +// rs1_val==-46340 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb504, -0x2d, x3, 1872, x1) + +inst_479: +// rs1_val==-46340 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffff4efe, -0xb504, 0x666, x3, 1876, x1) + +inst_480: +// rs1_val==-46340 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb504, 0x333, x3, 1880, x1) + +inst_481: +// rs1_val==-46340 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x5, x3, 1884, x1) + +inst_482: +// rs1_val==-46340 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffafe, -0xb504, -0x556, x3, 1888, x1) + +inst_483: +// rs1_val==-46340 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb504, 0x555, x3, 1892, x1) + +inst_484: +// rs1_val==-46340 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1896, x1) + +inst_485: +// rs1_val==1717986918 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2e, x3, 1900, x1) + +inst_486: +// rs1_val==1717986918 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x66666666, -0x2c, x3, 1904, x1) + +inst_487: +// rs1_val==1717986918 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x667, x3, 1908, x1) + +inst_488: +// rs1_val==1717986918 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x334, x3, 1912, x1) + +inst_489: +// rs1_val==1717986918 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x6, x3, 1916, x1) + +inst_490: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666666, -0x555, x3, 1920, x1) + +inst_491: +// rs1_val==1717986918 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x556, x3, 1924, x1) + +inst_492: +// rs1_val==1717986918 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x4, x3, 1928, x1) + +inst_493: +// rs1_val==1717986918 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2c, x3, 1932, x1) + +inst_494: +// rs1_val==1717986918 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1936, x1) + +inst_495: +// rs1_val==1717986918 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x665, x3, 1940, x1) + +inst_496: +// rs1_val==1717986918 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x332, x3, 1944, x1) + +inst_497: +// rs1_val==1717986918 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x554, x3, 1948, x1) + +inst_498: +// rs1_val==1717986918 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x2, x3, 1952, x1) + +inst_499: +// rs1_val==1717986918 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666666, 0x2d, x3, 1956, x1) + +inst_500: +// rs1_val==1717986918 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666666, -0x2d, x3, 1960, x1) + +inst_501: +// rs1_val==1717986918 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x666, x3, 1964, x1) + +inst_502: +// rs1_val==1717986918 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x333, x3, 1968, x1) + +inst_503: +// rs1_val==1717986918 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x5, x3, 1972, x1) + +inst_504: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeee, 0x66666666, -0x556, x3, 1976, x1) + +inst_505: +// rs1_val==1717986918 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x555, x3, 1980, x1) + +inst_506: +// rs1_val==1717986918 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x3, x3, 1984, x1) + +inst_507: +// rs1_val==858993459 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2e, x3, 1988, x1) + +inst_508: +// rs1_val==858993459 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333333, -0x2c, x3, 1992, x1) + +inst_509: +// rs1_val==858993459 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x667, x3, 1996, x1) + +inst_510: +// rs1_val==858993459 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x334, x3, 2000, x1) + +inst_511: +// rs1_val==858993459 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x6, x3, 2004, x1) + +inst_512: +// rs1_val==858993459 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x555, x3, 2008, x1) + +inst_513: +// rs1_val==858993459 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x556, x3, 2012, x1) + +inst_514: +// rs1_val==858993459 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2016, x1) + +inst_515: +// rs1_val==858993459 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2c, x3, 2020, x1) + +inst_516: +// rs1_val==858993459 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2024, x1) + +inst_517: +// rs1_val==858993459 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x665, x3, 2028, x1) + +inst_518: +// rs1_val==858993459 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x332, x3, 2032, x1) + +inst_519: +// rs1_val==858993459 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x554, x3, 2036, x1) + +inst_520: +// rs1_val==858993459 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x2, x3, 2040, x1) + +inst_521: +// rs1_val==858993459 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2d, x3, 2044, x1) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_522: +// rs1_val==858993459 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333333, -0x2d, x3, 0, x1) + +inst_523: +// rs1_val==858993459 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x666, x3, 4, x1) + +inst_524: +// rs1_val==858993459 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x333, x3, 8, x1) + +inst_525: +// rs1_val==858993459 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x5, x3, 12, x1) + +inst_526: +// rs1_val==858993459 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x556, x3, 16, x1) + +inst_527: +// rs1_val==858993459 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x555, x3, 20, x1) + +inst_528: +// rs1_val==858993459 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x3, x3, 24, x1) + +inst_529: +// rs1_val==5 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x5, 0x2e, x3, 28, x1) + +inst_530: +// rs1_val==5 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x5, -0x2c, x3, 32, x1) + +inst_531: +// rs1_val==5 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x667, x3, 36, x1) + +inst_532: +// rs1_val==5 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x335, 0x5, 0x334, x3, 40, x1) + +inst_533: +// rs1_val==5 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x6, x3, 44, x1) + +inst_534: +// rs1_val==5 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x555, x3, 48, x1) + +inst_535: +// rs1_val==5 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x5, 0x556, x3, 52, x1) + +inst_536: +// rs1_val==5 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x4, x3, 56, x1) + +inst_537: +// rs1_val==5 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2c, x3, 60, x1) + +inst_538: +// rs1_val==5 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x0, x3, 64, x1) + +inst_539: +// rs1_val==5 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x5, 0x665, x3, 68, x1) + +inst_540: +// rs1_val==5 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x332, x3, 72, x1) + +inst_541: +// rs1_val==5 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x554, x3, 76, x1) + +inst_542: +// rs1_val==5 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x2, x3, 80, x1) + +inst_543: +// rs1_val==5 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2d, x3, 84, x1) + +inst_544: +// rs1_val==5 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x5, -0x2d, x3, 88, x1) + +inst_545: +// rs1_val==5 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x666, x3, 92, x1) + +inst_546: +// rs1_val==5 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x333, x3, 96, x1) + +inst_547: +// rs1_val==5 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x5, x3, 100, x1) + +inst_548: +// rs1_val==5 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 104, x1) + +inst_549: +// rs1_val==5 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x555, x3, 108, x1) + +inst_550: +// rs1_val==5 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x3, x3, 112, x1) + +inst_551: +// rs1_val==-1431655766 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2e, x3, 116, x1) + +inst_552: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, -0x55555556, -0x2c, x3, 120, x1) + +inst_553: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x667, x3, 124, x1) + +inst_554: +// rs1_val==-1431655766 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbe, -0x55555556, 0x334, x3, 128, x1) + +inst_555: +// rs1_val==-1431655766 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x6, x3, 132, x1) + +inst_556: +// rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: ori ; op1:x10; dest:x11; op1val:0x7fffffff; immval:0x3ff +TEST_IMM_OP( ori, x11, x10, 0x7fffffff, 0x7fffffff, 0x3ff, x3, 136, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 35*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S new file mode 100644 index 000000000..2684e12d0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S @@ -0,0 +1,465 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sb instruction of the RISC-V E extension for the sb-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sb-align) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2, rs1==x4, rs2==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 +// opcode: sb; op1:x4; op2:x0; op2val:0x0; immval:0x8; align:0 +TEST_STORE(x3,x5,0,x4,x0,0x0,0x8,0,sb,0) + +inst_1: +// rs1==x8, rs2==x11, rs2_val == 2147483647, imm_val < 0, ea_align == 0 and (imm_val % 4) == 3, rs2_val == (2**(xlen-1)-1) +// opcode: sb; op1:x8; op2:x11; op2val:0x7fffffff; immval:-0x41; align:0 +TEST_STORE(x3,x5,0,x8,x11,0x7fffffff,-0x41,4,sb,0) + +inst_2: +// rs1==x1, rs2==x15, rs2_val == -1073741825, +// opcode: sb; op1:x1; op2:x15; op2val:-0x40000001; immval:-0x11; align:0 +TEST_STORE(x3,x5,0,x1,x15,-0x40000001,-0x11,8,sb,0) + +inst_3: +// rs1==x14, rs2==x2, rs2_val == -536870913, +// opcode: sb; op1:x14; op2:x2; op2val:-0x20000001; immval:-0x101; align:0 +TEST_STORE(x3,x5,0,x14,x2,-0x20000001,-0x101,12,sb,0) + +inst_4: +// rs1==x15, rs2==x8, rs2_val == -268435457, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sb; op1:x15; op2:x8; op2val:-0x10000001; immval:-0x3; align:0 +TEST_STORE(x3,x5,0,x15,x8,-0x10000001,-0x3,16,sb,0) + +inst_5: +// rs1==x6, rs2==x7, rs2_val == -134217729, +// opcode: sb; op1:x6; op2:x7; op2val:-0x8000001; immval:-0x8; align:0 +TEST_STORE(x3,x5,0,x6,x7,-0x8000001,-0x8,20,sb,0) + +inst_6: +// rs1==x2, rs2==x10, rs2_val == -67108865, +// opcode: sb; op1:x2; op2:x10; op2val:-0x4000001; immval:0x7ff; align:0 +TEST_STORE(x3,x5,0,x2,x10,-0x4000001,0x7ff,24,sb,0) + +inst_7: +// rs1==x13, rs2==x6, rs2_val == -33554433, +// opcode: sb; op1:x13; op2:x6; op2val:-0x2000001; immval:0x555; align:0 +TEST_STORE(x3,x5,0,x13,x6,-0x2000001,0x555,28,sb,0) + +inst_8: +// rs1==x7, rs2==x4, rs2_val == -16777217, +// opcode: sb; op1:x7; op2:x4; op2val:-0x1000001; immval:0x100; align:0 +TEST_STORE(x3,x2,0,x7,x4,-0x1000001,0x100,32,sb,0) + +inst_9: +// rs1==x9, rs2==x1, rs2_val == -8388609, +// opcode: sb; op1:x9; op2:x1; op2val:-0x800001; immval:-0x3; align:0 +TEST_STORE(x3,x2,0,x9,x1,-0x800001,-0x3,36,sb,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x10, rs2==x12, rs2_val == -4194305, +// opcode: sb; op1:x10; op2:x12; op2val:-0x400001; immval:0x1; align:0 +TEST_STORE(x1,x2,0,x10,x12,-0x400001,0x1,0,sb,0) + +inst_11: +// rs1==x3, rs2==x13, rs2_val == -2097153, +// opcode: sb; op1:x3; op2:x13; op2val:-0x200001; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x3,x13,-0x200001,0x80,4,sb,0) + +inst_12: +// rs1==x11, rs2==x5, rs2_val == -1048577, +// opcode: sb; op1:x11; op2:x5; op2val:-0x100001; immval:-0x401; align:0 +TEST_STORE(x1,x2,0,x11,x5,-0x100001,-0x401,8,sb,0) + +inst_13: +// rs1==x5, rs2==x9, rs2_val == -524289, +// opcode: sb; op1:x5; op2:x9; op2val:-0x80001; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x5,x9,-0x80001,-0x800,12,sb,0) + +inst_14: +// rs1==x12, rs2==x3, rs2_val == -262145, +// opcode: sb; op1:x12; op2:x3; op2val:-0x40001; immval:-0x9; align:0 +TEST_STORE(x1,x2,0,x12,x3,-0x40001,-0x9,16,sb,0) + +inst_15: +// rs2==x14, rs2_val == -131073, +// opcode: sb; op1:x4; op2:x14; op2val:-0x20001; immval:0x8; align:0 +TEST_STORE(x1,x2,0,x4,x14,-0x20001,0x8,20,sb,0) + +inst_16: +// rs2_val == -65537, +// opcode: sb; op1:x10; op2:x11; op2val:-0x10001; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x10001,-0x800,24,sb,0) + +inst_17: +// rs2_val == -32769, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8001; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x9,28,sb,0) + +inst_18: +// rs2_val == -16385, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sb; op1:x10; op2:x11; op2val:-0x4001; immval:-0x556; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x4001,-0x556,32,sb,0) + +inst_19: +// rs2_val == -8193, +// opcode: sb; op1:x10; op2:x11; op2val:-0x2001; immval:0x7ff; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x7ff,36,sb,0) + +inst_20: +// rs2_val == -4097, +// opcode: sb; op1:x10; op2:x11; op2val:-0x1001; immval:0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x7,40,sb,0) + +inst_21: +// rs2_val == -2049, +// opcode: sb; op1:x10; op2:x11; op2val:-0x801; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x801,-0x800,44,sb,0) + +inst_22: +// rs2_val == -1025, +// opcode: sb; op1:x10; op2:x11; op2val:-0x401; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x401,0x9,48,sb,0) + +inst_23: +// rs2_val == -513, +// opcode: sb; op1:x10; op2:x11; op2val:-0x201; immval:-0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x201,-0x3,52,sb,0) + +inst_24: +// rs2_val == -257, +// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x101,-0x41,56,sb,0) + +inst_25: +// rs2_val == -129, +// opcode: sb; op1:x10; op2:x11; op2val:-0x81; immval:0x2; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x81,0x2,60,sb,0) + +inst_26: +// rs2_val == -65, +// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x41,-0xa,64,sb,0) + +inst_27: +// rs2_val == -33, +// opcode: sb; op1:x10; op2:x11; op2val:-0x21; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x21,-0x41,68,sb,0) + +inst_28: +// rs2_val == -17, +// opcode: sb; op1:x10; op2:x11; op2val:-0x11; immval:0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x11,0x3,72,sb,0) + +inst_29: +// rs2_val == -9, +// opcode: sb; op1:x10; op2:x11; op2val:-0x9; immval:0x10; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x9,0x10,76,sb,0) + +inst_30: +// rs2_val == -5, +// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:-0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x5,-0x7,80,sb,0) + +inst_31: +// rs2_val == -3, +// opcode: sb; op1:x10; op2:x11; op2val:-0x3; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x3,0x555,84,sb,0) + +inst_32: +// rs2_val == -2, +// opcode: sb; op1:x10; op2:x11; op2val:-0x2; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x2,-0xa,88,sb,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sb; op1:x10; op2:x11; op2val:-0x80000000; immval:-0x8; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x80000000,-0x8,92,sb,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sb; op1:x10; op2:x11; op2val:0x40000000; immval:-0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40000000,-0x5,96,sb,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sb; op1:x10; op2:x11; op2val:0x20000000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20000000,-0x41,100,sb,0) + +inst_36: +// rs2_val == 268435456, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0xa,104,sb,0) + +inst_37: +// rs2_val == 134217728, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000000; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x555,108,sb,0) + +inst_38: +// rs2_val == 67108864, +// opcode: sb; op1:x10; op2:x11; op2val:0x4000000; immval:-0x81; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4000000,-0x81,112,sb,0) + +inst_39: +// rs2_val == 33554432, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,116,sb,0) + +inst_40: +// rs2_val == 16777216, +// opcode: sb; op1:x10; op2:x11; op2val:0x1000000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1000000,-0x41,120,sb,0) + +inst_41: +// rs2_val == 8388608, +// opcode: sb; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x800000,-0x5,124,sb,0) + +inst_42: +// rs2_val == 4194304, +// opcode: sb; op1:x10; op2:x11; op2val:0x400000; immval:-0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x400000,-0x9,128,sb,0) + +inst_43: +// rs2_val == 2097152, +// opcode: sb; op1:x10; op2:x11; op2val:0x200000; immval:0x7ff; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x200000,0x7ff,132,sb,0) + +inst_44: +// rs2_val == 1048576, +// opcode: sb; op1:x10; op2:x11; op2val:0x100000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x100000,-0x41,136,sb,0) + +inst_45: +// rs2_val == 524288, +// opcode: sb; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x80000,-0x3,140,sb,0) + +inst_46: +// rs2_val == 262144, +// opcode: sb; op1:x10; op2:x11; op2val:0x40000; immval:0x6; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40000,0x6,144,sb,0) + +inst_47: +// rs2_val == 131072, +// opcode: sb; op1:x10; op2:x11; op2val:0x20000; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20000,0x80,148,sb,0) + +inst_48: +// rs2_val == 65536, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x10000,0x555,152,sb,0) + +inst_49: +// rs2_val == 32768, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x8000,0x5,156,sb,0) + +inst_50: +// rs2_val == 1, +// opcode: sb; op1:x10; op2:x11; op2val:0x1; immval:0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1,0x400,160,sb,0) + +inst_51: +// rs2_val == -1431655766, +// opcode: sb; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x6; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x55555556,-0x6,164,sb,0) + +inst_52: +// rs2_val == 1431655765, +// opcode: sb; op1:x10; op2:x11; op2val:0x55555555; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x555,168,sb,0) + +inst_53: +// ea_align == 1 and (imm_val % 4) == 0, +// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:0x20; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x5,0x20,172,sb,1) + +inst_54: +// ea_align == 1 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:0x5; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x101,0x5,176,sb,1) + +inst_55: +// ea_align == 1 and (imm_val % 4) == 2, rs2_val == 8 +// opcode: sb; op1:x10; op2:x11; op2val:0x8; immval:-0x2; align:1 +TEST_STORE(x1,x2,0,x10,x11,0x8,-0x2,180,sb,1) + +inst_56: +// ea_align == 1 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:-0x201; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x8,-0x201,184,sb,1) + +inst_57: +// ea_align == 2 and (imm_val % 4) == 0, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:2 +TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,188,sb,2) + +inst_58: +// ea_align == 2 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:0x9; align:2 +TEST_STORE(x1,x2,0,x10,x11,-0x41,0x9,192,sb,2) + +inst_59: +// ea_align == 2 and (imm_val % 4) == 2, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:0x2; align:2 +TEST_STORE(x1,x2,0,x10,x11,-0x8,0x2,196,sb,2) + +inst_60: +// ea_align == 2 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:0x9; immval:-0x5; align:2 +TEST_STORE(x1,x2,0,x10,x11,0x9,-0x5,200,sb,2) + +inst_61: +// ea_align == 3 and (imm_val % 4) == 0, rs2_val == 16 +// opcode: sb; op1:x10; op2:x11; op2val:0x10; immval:0x400; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x10,0x400,204,sb,3) + +inst_62: +// ea_align == 3 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x200001; immval:0x555; align:3 +TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x555,208,sb,3) + +inst_63: +// ea_align == 3 and (imm_val % 4) == 2, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0x556; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0x556,212,sb,3) + +inst_64: +// rs2_val == 64, +// opcode: sb; op1:x10; op2:x11; op2val:0x40; immval:0x20; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40,0x20,216,sb,0) + +inst_65: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x3ff; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x8000,0x3ff,220,sb,3) + +inst_66: +// rs2_val == 16384, imm_val == 0 +// opcode: sb; op1:x10; op2:x11; op2val:0x4000; immval:0x0; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4000,0x0,224,sb,0) + +inst_67: +// rs2_val == 8192, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000; immval:0x40; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2000,0x40,228,sb,0) + +inst_68: +// rs2_val == 4096, +// opcode: sb; op1:x10; op2:x11; op2val:0x1000; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1000,0x9,232,sb,0) + +inst_69: +// rs2_val == 2048, +// opcode: sb; op1:x10; op2:x11; op2val:0x800; immval:-0x556; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x800,-0x556,236,sb,0) + +inst_70: +// rs2_val == 512, +// opcode: sb; op1:x10; op2:x11; op2val:0x200; immval:0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x200,0x5,240,sb,0) + +inst_71: +// rs2_val == 1024, +// opcode: sb; op1:x10; op2:x11; op2val:0x400; immval:-0x11; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x400,-0x11,244,sb,0) + +inst_72: +// rs2_val == 0, +// opcode: sb; op1:x10; op2:x11; op2val:0x0; immval:-0x11; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x0,-0x11,248,sb,0) + +inst_73: +// rs2_val == 256, +// opcode: sb; op1:x10; op2:x11; op2val:0x100; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x100,0x80,252,sb,0) + +inst_74: +// rs2_val == 128, +// opcode: sb; op1:x10; op2:x11; op2val:0x80; immval:0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x80,0x7,256,sb,0) + +inst_75: +// rs2_val == 32, +// opcode: sb; op1:x10; op2:x11; op2val:0x20; immval:0x200; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20,0x200,260,sb,0) + +inst_76: +// rs2_val == 4, +// opcode: sb; op1:x10; op2:x11; op2val:0x4; immval:0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4,0x3,264,sb,0) + +inst_77: +// rs2_val == 2, +// opcode: sb; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2,0x400,268,sb,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 68*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S new file mode 100644 index 000000000..6dd42781a --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S @@ -0,0 +1,435 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sh instruction of the RISC-V E extension for the sh-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sh-align) + +RVTEST_SIGBASE( x8,signature_x8_1) + +inst_0: +// rs1 != rs2, rs1==x6, rs2==x4, ea_align == 0 and (imm_val % 4) == 0, rs2_val == 1, imm_val > 0 +// opcode: sh; op1:x6; op2:x4; op2val:0x1; immval:0x4; align:0 +TEST_STORE(x8,x12,0,x6,x4,0x1,0x4,0,sh,0) + +inst_1: +// rs1==x10, rs2==x5, rs2_val == 2147483647, imm_val == 0, rs2_val == (2**(xlen-1)-1) +// opcode: sh; op1:x10; op2:x5; op2val:0x7fffffff; immval:0x0; align:0 +TEST_STORE(x8,x12,0,x10,x5,0x7fffffff,0x0,4,sh,0) + +inst_2: +// rs1==x9, rs2==x10, rs2_val == -1073741825, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sh; op1:x9; op2:x10; op2val:-0x40000001; immval:0x2; align:0 +TEST_STORE(x8,x12,0,x9,x10,-0x40000001,0x2,8,sh,0) + +inst_3: +// rs1==x2, rs2==x11, rs2_val == -536870913, imm_val < 0 +// opcode: sh; op1:x2; op2:x11; op2val:-0x20000001; immval:-0x8; align:0 +TEST_STORE(x8,x12,0,x2,x11,-0x20000001,-0x8,12,sh,0) + +inst_4: +// rs1==x13, rs2==x1, rs2_val == -268435457, +// opcode: sh; op1:x13; op2:x1; op2val:-0x10000001; immval:0x8; align:0 +TEST_STORE(x8,x12,0,x13,x1,-0x10000001,0x8,16,sh,0) + +inst_5: +// rs1==x7, rs2==x3, rs2_val == -134217729, +// opcode: sh; op1:x7; op2:x3; op2val:-0x8000001; immval:0x80; align:0 +TEST_STORE(x8,x12,0,x7,x3,-0x8000001,0x80,20,sh,0) + +inst_6: +// rs1==x3, rs2==x6, rs2_val == -67108865, +// opcode: sh; op1:x3; op2:x6; op2val:-0x4000001; immval:0x6; align:0 +TEST_STORE(x8,x9,0,x3,x6,-0x4000001,0x6,24,sh,0) + +inst_7: +// rs1==x12, rs2==x2, rs2_val == -33554433, ea_align == 0 and (imm_val % 4) == 3 +// opcode: sh; op1:x12; op2:x2; op2val:-0x2000001; immval:0x7; align:0 +TEST_STORE(x8,x9,0,x12,x2,-0x2000001,0x7,28,sh,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x5, rs2==x13, rs2_val == -16777217, +// opcode: sh; op1:x5; op2:x13; op2val:-0x1000001; immval:-0x800; align:0 +TEST_STORE(x2,x9,0,x5,x13,-0x1000001,-0x800,0,sh,0) + +inst_9: +// rs1==x1, rs2==x15, rs2_val == -8388609, +// opcode: sh; op1:x1; op2:x15; op2val:-0x800001; immval:0x3; align:0 +TEST_STORE(x2,x9,0,x1,x15,-0x800001,0x3,4,sh,0) + +inst_10: +// rs1==x15, rs2==x7, rs2_val == -4194305, +// opcode: sh; op1:x15; op2:x7; op2val:-0x400001; immval:0x40; align:0 +TEST_STORE(x2,x9,0,x15,x7,-0x400001,0x40,8,sh,0) + +inst_11: +// rs1==x14, rs2==x12, rs2_val == -2097153, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sh; op1:x14; op2:x12; op2val:-0x200001; immval:0x5; align:0 +TEST_STORE(x2,x9,0,x14,x12,-0x200001,0x5,12,sh,0) + +inst_12: +// rs1==x4, rs2==x14, rs2_val == -1048577, +// opcode: sh; op1:x4; op2:x14; op2val:-0x100001; immval:-0x41; align:0 +TEST_STORE(x2,x9,0,x4,x14,-0x100001,-0x41,16,sh,0) + +inst_13: +// rs1==x11, rs2==x0, rs2_val == -524289, +// opcode: sh; op1:x11; op2:x0; op2val:0x0; immval:-0x5; align:0 +TEST_STORE(x2,x3,0,x11,x0,0x0,-0x5,20,sh,0) + +inst_14: +// rs1==x8, rs2==x9, rs2_val == -262145, +// opcode: sh; op1:x8; op2:x9; op2val:-0x40001; immval:-0x400; align:0 +TEST_STORE(x2,x3,0,x8,x9,-0x40001,-0x400,24,sh,0) + +inst_15: +// rs2==x8, rs2_val == -131073, +// opcode: sh; op1:x11; op2:x8; op2val:-0x20001; immval:0x20; align:0 +TEST_STORE(x2,x3,0,x11,x8,-0x20001,0x20,28,sh,0) + +inst_16: +// rs2_val == -65537, +// opcode: sh; op1:x10; op2:x11; op2val:-0x10001; immval:-0x9; align:0 +TEST_STORE(x2,x3,0,x10,x11,-0x10001,-0x9,32,sh,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs2_val == -32769, +// opcode: sh; op1:x10; op2:x11; op2val:-0x8001; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x9,0,sh,0) + +inst_18: +// rs2_val == -16385, +// opcode: sh; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,4,sh,0) + +inst_19: +// rs2_val == -8193, +// opcode: sh; op1:x10; op2:x11; op2val:-0x2001; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2001,-0x2,8,sh,0) + +inst_20: +// rs2_val == -4097, +// opcode: sh; op1:x10; op2:x11; op2val:-0x1001; immval:0x10; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x10,12,sh,0) + +inst_21: +// rs2_val == -2049, +// opcode: sh; op1:x10; op2:x11; op2val:-0x801; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x801,-0x556,16,sh,0) + +inst_22: +// rs2_val == -1025, +// opcode: sh; op1:x10; op2:x11; op2val:-0x401; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x8,20,sh,0) + +inst_23: +// rs2_val == -513, +// opcode: sh; op1:x10; op2:x11; op2val:-0x201; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x201,-0x800,24,sh,0) + +inst_24: +// rs2_val == -257, +// opcode: sh; op1:x10; op2:x11; op2val:-0x101; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x2,28,sh,0) + +inst_25: +// rs2_val == -129, +// opcode: sh; op1:x10; op2:x11; op2val:-0x81; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x81,0x40,32,sh,0) + +inst_26: +// rs2_val == -65, +// opcode: sh; op1:x10; op2:x11; op2val:-0x41; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x41,0x100,36,sh,0) + +inst_27: +// rs2_val == -33, +// opcode: sh; op1:x10; op2:x11; op2val:-0x21; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x21,-0x81,40,sh,0) + +inst_28: +// rs2_val == -17, +// opcode: sh; op1:x10; op2:x11; op2val:-0x11; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x11,-0x401,44,sh,0) + +inst_29: +// rs2_val == -9, +// opcode: sh; op1:x10; op2:x11; op2val:-0x9; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x9,-0x800,48,sh,0) + +inst_30: +// rs2_val == -5, +// opcode: sh; op1:x10; op2:x11; op2val:-0x5; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x5,-0x800,52,sh,0) + +inst_31: +// rs2_val == -3, +// opcode: sh; op1:x10; op2:x11; op2val:-0x3; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x3,0x200,56,sh,0) + +inst_32: +// rs2_val == -2, +// opcode: sh; op1:x10; op2:x11; op2val:-0x2; immval:-0x21; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x21,60,sh,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sh; op1:x10; op2:x11; op2val:-0x80000000; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x40,64,sh,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sh; op1:x10; op2:x11; op2val:0x40000000; immval:-0x101; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x101,68,sh,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sh; op1:x10; op2:x11; op2val:0x20000000; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000000,0x2,72,sh,0) + +inst_36: +// rs2_val == 268435456, +// opcode: sh; op1:x10; op2:x11; op2val:0x10000000; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x401,76,sh,0) + +inst_37: +// rs2_val == 134217728, +// opcode: sh; op1:x10; op2:x11; op2val:0x8000000; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000000,-0xa,80,sh,0) + +inst_38: +// rs2_val == 67108864, +// opcode: sh; op1:x10; op2:x11; op2val:0x4000000; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000000,0x200,84,sh,0) + +inst_39: +// rs2_val == 33554432, +// opcode: sh; op1:x10; op2:x11; op2val:0x2000000; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000000,-0x81,88,sh,0) + +inst_40: +// rs2_val == -1431655766, +// opcode: sh; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,92,sh,0) + +inst_41: +// rs2_val == 1431655765, +// opcode: sh; op1:x10; op2:x11; op2val:0x55555555; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x55555555,-0x9,96,sh,0) + +inst_42: +// ea_align == 2 and (imm_val % 4) == 0, +// opcode: sh; op1:x10; op2:x11; op2val:-0x1000001; immval:-0x400; align:2 +TEST_STORE(x1,x3,0,x10,x11,-0x1000001,-0x400,100,sh,2) + +inst_43: +// ea_align == 2 and (imm_val % 4) == 1, rs2_val == 2048 +// opcode: sh; op1:x10; op2:x11; op2val:0x800; immval:-0x7; align:2 +TEST_STORE(x1,x3,0,x10,x11,0x800,-0x7,104,sh,2) + +inst_44: +// ea_align == 2 and (imm_val % 4) == 2, +// opcode: sh; op1:x10; op2:x11; op2val:0x3; immval:-0x556; align:2 +TEST_STORE(x1,x3,0,x10,x11,0x3,-0x556,108,sh,2) + +inst_45: +// ea_align == 2 and (imm_val % 4) == 3, +// opcode: sh; op1:x10; op2:x11; op2val:-0x6; immval:-0x1; align:2 +TEST_STORE(x1,x3,0,x10,x11,-0x6,-0x1,112,sh,2) + +inst_46: +// rs2_val == 0, +// opcode: sh; op1:x10; op2:x11; op2val:0x0; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x0,-0x81,116,sh,0) + +inst_47: +// rs2_val == 16777216, +// opcode: sh; op1:x10; op2:x11; op2val:0x1000000; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000000,-0x9,120,sh,0) + +inst_48: +// rs2_val == 8388608, +// opcode: sh; op1:x10; op2:x11; op2val:0x800000; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x2,124,sh,0) + +inst_49: +// rs2_val == 4194304, +// opcode: sh; op1:x10; op2:x11; op2val:0x400000; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400000,-0x11,128,sh,0) + +inst_50: +// rs2_val == 2097152, +// opcode: sh; op1:x10; op2:x11; op2val:0x200000; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200000,0x9,132,sh,0) + +inst_51: +// rs2_val == 1048576, +// opcode: sh; op1:x10; op2:x11; op2val:0x100000; immval:0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100000,0x4,136,sh,0) + +inst_52: +// rs2_val == 524288, +// opcode: sh; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80000,-0x3,140,sh,0) + +inst_53: +// rs2_val == 262144, +// opcode: sh; op1:x10; op2:x11; op2val:0x40000; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000,0x100,144,sh,0) + +inst_54: +// rs2_val == 131072, +// opcode: sh; op1:x10; op2:x11; op2val:0x20000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x201,148,sh,0) + +inst_55: +// rs2_val == 65536, +// opcode: sh; op1:x10; op2:x11; op2val:0x10000; immval:-0x6; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x6,152,sh,0) + +inst_56: +// rs2_val == 32768, +// opcode: sh; op1:x10; op2:x11; op2val:0x8000; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000,0x100,156,sh,0) + +inst_57: +// rs2_val == 16384, +// opcode: sh; op1:x10; op2:x11; op2val:0x4000; immval:-0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x400,160,sh,0) + +inst_58: +// rs2_val == 8192, +// opcode: sh; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,164,sh,0) + +inst_59: +// rs2_val == 4096, +// opcode: sh; op1:x10; op2:x11; op2val:0x1000; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000,-0x3,168,sh,0) + +inst_60: +// rs2_val == 1024, +// opcode: sh; op1:x10; op2:x11; op2val:0x400; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400,-0x81,172,sh,0) + +inst_61: +// rs2_val == 512, +// opcode: sh; op1:x10; op2:x11; op2val:0x200; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200,0x40,176,sh,0) + +inst_62: +// rs2_val == 256, +// opcode: sh; op1:x10; op2:x11; op2val:0x100; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100,-0x800,180,sh,0) + +inst_63: +// rs2_val == 128, +// opcode: sh; op1:x10; op2:x11; op2val:0x80; immval:-0x6; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80,-0x6,184,sh,0) + +inst_64: +// rs2_val == 64, +// opcode: sh; op1:x10; op2:x11; op2val:0x40; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40,-0x11,188,sh,0) + +inst_65: +// rs2_val == 32, +// opcode: sh; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,192,sh,0) + +inst_66: +// rs2_val == 16, +// opcode: sh; op1:x10; op2:x11; op2val:0x10; immval:-0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10,-0x7,196,sh,0) + +inst_67: +// rs2_val == 8, +// opcode: sh; op1:x10; op2:x11; op2val:0x8; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8,-0x3,200,sh,0) + +inst_68: +// rs2_val == 4, +// opcode: sh; op1:x10; op2:x11; op2val:0x4; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4,-0x4,204,sh,0) + +inst_69: +// rs2_val == 2, +// opcode: sh; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2,0x400,208,sh,0) + +inst_70: +// rs2_val == -524289, +// opcode: sh; op1:x10; op2:x11; op2val:-0x80001; immval:-0x5; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80001,-0x5,212,sh,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x8_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x8_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 54*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S new file mode 100644 index 000000000..af03abc7f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S @@ -0,0 +1,520 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sll instruction of the RISC-V E extension for the sll covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sll) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x13, rd==x1, rs1_val < 0 and rs2_val == 0, +// opcode: sll ; op1:x6; op2:x13; dest:x1; op1val:-0x40000000; op2val:0x0 +TEST_RR_OP(sll, x1, x6, x13, 0xc0000000, -0x40000000, 0x0, x2, 0, x7) + +inst_1: +// rs1 == rd != rs2, rs1==x4, rs2==x1, rd==x4, rs2_val == 15, rs1_val == -17, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x4; op2:x1; dest:x4; op1val:-0x11; op2val:0xf +TEST_RR_OP(sll, x4, x4, x1, 0xfff78000, -0x11, 0xf, x2, 4, x7) + +inst_2: +// rs2 == rd != rs1, rs1==x9, rs2==x11, rd==x11, rs2_val == 23, rs1_val == -257 +// opcode: sll ; op1:x9; op2:x11; dest:x11; op1val:-0x101; op2val:0x17 +TEST_RR_OP(sll, x11, x9, x11, 0x7f800000, -0x101, 0x17, x2, 8, x7) + +inst_3: +// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x9, rs2_val == 27, rs1_val==-46340 +// opcode: sll ; op1:x12; op2:x12; dest:x9; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(sll, x9, x12, x12, 0xc0000000, -0xb504, -0xb504, x2, 12, x7) + +inst_4: +// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 +TEST_RR_OP(sll, x0, x0, x0, 0, 0x0, 0x0, x2, 16, x7) + +inst_5: +// rs1==x5, rs2==x4, rd==x15, rs2_val == 30, rs1_val == -65 +// opcode: sll ; op1:x5; op2:x4; dest:x15; op1val:-0x41; op2val:0x1e +TEST_RR_OP(sll, x15, x5, x4, 0xc0000000, -0x41, 0x1e, x2, 20, x7) + +inst_6: +// rs1==x1, rs2==x10, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x1; op2:x10; dest:x3; op1val:0x7fffffff; op2val:0x9 +TEST_RR_OP(sll, x3, x1, x10, 0xfffffe00, 0x7fffffff, 0x9, x2, 24, x7) + +inst_7: +// rs1==x8, rs2==x15, rd==x10, rs1_val == -1073741825, +// opcode: sll ; op1:x8; op2:x15; dest:x10; op1val:-0x40000001; op2val:0x11 +TEST_RR_OP(sll, x10, x8, x15, 0xfffe0000, -0x40000001, 0x11, x2, 28, x4) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_8: +// rs1==x13, rs2==x6, rd==x12, rs1_val == -536870913, rs2_val == 1 +// opcode: sll ; op1:x13; op2:x6; dest:x12; op1val:-0x20000001; op2val:0x1 +TEST_RR_OP(sll, x12, x13, x6, 0xbffffffe, -0x20000001, 0x1, x1, 0, x4) + +inst_9: +// rs1==x3, rs2==x9, rd==x5, rs1_val == -268435457, +// opcode: sll ; op1:x3; op2:x9; dest:x5; op1val:-0x10000001; op2val:0xe +TEST_RR_OP(sll, x5, x3, x9, 0xffffc000, -0x10000001, 0xe, x1, 4, x4) + +inst_10: +// rs1==x7, rs2==x2, rd==x13, rs1_val == -134217729, +// opcode: sll ; op1:x7; op2:x2; dest:x13; op1val:-0x8000001; op2val:0x1e +TEST_RR_OP(sll, x13, x7, x2, 0xc0000000, -0x8000001, 0x1e, x1, 8, x4) + +inst_11: +// rs1==x11, rs2==x7, rd==x8, rs1_val == -67108865, +// opcode: sll ; op1:x11; op2:x7; dest:x8; op1val:-0x4000001; op2val:0x17 +TEST_RR_OP(sll, x8, x11, x7, 0xff800000, -0x4000001, 0x17, x1, 12, x4) + +inst_12: +// rs1==x10, rs2==x14, rd==x7, rs1_val == -33554433, rs2_val == 8 +// opcode: sll ; op1:x10; op2:x14; dest:x7; op1val:-0x2000001; op2val:0x8 +TEST_RR_OP(sll, x7, x10, x14, 0xffffff00, -0x2000001, 0x8, x1, 16, x4) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_13: +// rs1==x14, rs2==x8, rd==x6, rs1_val == -16777217, +// opcode: sll ; op1:x14; op2:x8; dest:x6; op1val:-0x1000001; op2val:0xd +TEST_RR_OP(sll, x6, x14, x8, 0xffffe000, -0x1000001, 0xd, x1, 0, x4) + +inst_14: +// rs1==x15, rs2==x3, rd==x2, rs1_val == -8388609, rs2_val == 21 +// opcode: sll ; op1:x15; op2:x3; dest:x2; op1val:-0x800001; op2val:0x15 +TEST_RR_OP(sll, x2, x15, x3, 0xffe00000, -0x800001, 0x15, x1, 4, x4) + +inst_15: +// rs1==x2, rs2==x5, rd==x14, rs1_val == -4194305, +// opcode: sll ; op1:x2; op2:x5; dest:x14; op1val:-0x400001; op2val:0xb +TEST_RR_OP(sll, x14, x2, x5, 0xfffff800, -0x400001, 0xb, x1, 8, x4) + +inst_16: +// rs1_val == -2097153, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xb +TEST_RR_OP(sll, x12, x10, x11, 0xfffff800, -0x200001, 0xb, x1, 12, x4) + +inst_17: +// rs1_val == -1048577, rs2_val == 2 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xffbffffc, -0x100001, 0x2, x1, 16, x2) + +inst_18: +// rs1_val == -524289, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x9 +TEST_RR_OP(sll, x12, x10, x11, 0xeffffe00, -0x80001, 0x9, x1, 20, x2) + +inst_19: +// rs1_val == -262145, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xf +TEST_RR_OP(sll, x12, x10, x11, 0xffff8000, -0x40001, 0xf, x1, 24, x2) + +inst_20: +// rs1_val == -131073, rs2_val == 10 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0xf7fffc00, -0x20001, 0xa, x1, 28, x2) + +inst_21: +// rs1_val == -65537, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xfffbfffc, -0x10001, 0x2, x1, 32, x2) + +inst_22: +// rs1_val == -32769, rs2_val == 4 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0xfff7fff0, -0x8001, 0x4, x1, 36, x2) + +inst_23: +// rs1_val == -16385, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0xffffbfff, -0x4001, 0x0, x1, 40, x2) + +inst_24: +// rs1_val == -8193, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xd +TEST_RR_OP(sll, x12, x10, x11, 0xfbffe000, -0x2001, 0xd, x1, 44, x2) + +inst_25: +// rs1_val == -4097, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1e +TEST_RR_OP(sll, x12, x10, x11, 0xc0000000, -0x1001, 0x1e, x1, 48, x2) + +inst_26: +// rs1_val == -2049, rs2_val == 16 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xf7ff0000, -0x801, 0x10, x1, 52, x2) + +inst_27: +// rs1_val == -1025, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0xffff7fe0, -0x401, 0x5, x1, 56, x2) + +inst_28: +// rs1_val == -513, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0xffffbfe0, -0x201, 0x5, x1, 60, x2) + +inst_29: +// rs1_val == -129, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xff7f0000, -0x81, 0x10, x1, 64, x2) + +inst_30: +// rs1_val == -33, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xffdf0000, -0x21, 0x10, x1, 68, x2) + +inst_31: +// rs1_val == -9, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xfff70000, -0x9, 0x10, x1, 72, x2) + +inst_32: +// rs1_val == -5, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xf +TEST_RR_OP(sll, x12, x10, x11, 0xfffd8000, -0x5, 0xf, x1, 76, x2) + +inst_33: +// rs1_val == -3, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0xffffffd0, -0x3, 0x4, x1, 80, x2) + +inst_34: +// rs1_val == -2, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xfffffff8, -0x2, 0x2, x1, 84, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, -0x80000000, 0x1f, x1, 88, x2) + +inst_36: +// rs1_val == 1073741824, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000000, 0x17, x1, 92, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xb +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000000, 0xb, x1, 96, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 +TEST_RR_OP(sll, x12, x10, x11, 0x20000000, 0x10000000, 0x1, x1, 100, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8000000, 0x13, x1, 104, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4000000, 0x5, x1, 108, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 +TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2000000, 0x3, x1, 112, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x1000000, 0xa, x1, 116, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x800000, 0x4, x1, 120, x2) + +inst_44: +// rs1_val == 4194304, rs1_val > 0 and rs2_val == 0 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x400000, 0x0, x1, 124, x2) + +inst_45: +// rs1_val == 2097152, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200000, 0x12, x1, 128, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x100000, 0x1f, x1, 132, x2) + +inst_47: +// rs1_val == 524288, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7 +TEST_RR_OP(sll, x12, x10, x11, 0x4000000, 0x80000, 0x7, x1, 136, x2) + +inst_48: +// rs1_val == 262144, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000, 0x1b, x1, 140, x2) + +inst_49: +// rs1_val == 131072, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000, 0x10, x1, 144, x2) + +inst_50: +// rs1_val == 65536, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x10000, 0x11, x1, 148, x2) + +inst_51: +// rs1_val == 32768, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xc +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x8000, 0xc, x1, 152, x2) + +inst_52: +// rs1_val == 16384, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x4000, 0x12, x1, 156, x2) + +inst_53: +// rs1_val == 8192, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x2000, 0x8, x1, 160, x2) + +inst_54: +// rs1_val == 4096, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x1000, 0xa, x1, 164, x2) + +inst_55: +// rs1_val == 2048, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x800, 0xa, x1, 168, x2) + +inst_56: +// rs1_val == 1024, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x400, 0x11, x1, 172, x2) + +inst_57: +// rs1_val == 512, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200, 0x17, x1, 176, x2) + +inst_58: +// rs1_val == 256, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x7 +TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x100, 0x7, x1, 180, x2) + +inst_59: +// rs1_val == 128, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x80, 0x1f, x1, 184, x2) + +inst_60: +// rs1_val == 64, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x10000, 0x40, 0xa, x1, 188, x2) + +inst_61: +// rs1_val == 32, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x20, 0xa, x1, 192, x2) + +inst_62: +// rs1_val == 16, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x10, 0x17, x1, 196, x2) + +inst_63: +// rs1_val == 8, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x1d +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8, 0x1d, x1, 200, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2, 0x1b, x1, 204, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x1, 0x1f, x1, 208, x2) + +inst_66: +// rs1_val==46341, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0xa8280000, 0xb505, 0x13, x1, 212, x2) + +inst_67: +// rs1_val==-46339, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0xff4afd00, -0xb503, 0x8, x1, 216, x2) + +inst_68: +// rs1_val==1717986919, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0x9999999c, 0x66666667, 0x2, x1, 220, x2) + +inst_69: +// rs1_val==858993460, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0x66666680, 0x33333334, 0x5, x1, 224, x2) + +inst_70: +// rs1_val==6, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0xc0000, 0x6, 0x11, x1, 228, x2) + +inst_71: +// rs1_val==-1431655765, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x55560000, -0x55555555, 0x11, x1, 232, x2) + +inst_72: +// rs1_val==1431655766, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0xaab00000, 0x55555556, 0x13, x1, 236, x2) + +inst_73: +// rs1_val==46339, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 240, x2) + +inst_74: +// rs1_val==3, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x60000, 0x3, 0x11, x1, 244, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xaaaa0000, -0x55555556, 0x10, x1, 248, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x55540000, 0x55555555, 0x12, x1, 252, x2) + +inst_77: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x0, 0xc, x1, 256, x2) + +inst_78: +// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0x8, 0x2, 0x2, x1, 260, x2) + +inst_79: +// rs1_val==1717986917, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0x66666500, 0x66666665, 0x8, x1, 264, x2) + +inst_80: +// rs1_val==858993458, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xe +TEST_RR_OP(sll, x12, x10, x11, 0xcccc8000, 0x33333332, 0xe, x1, 268, x2) + +inst_81: +// rs1_val==1431655764, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 272, x2) + +inst_82: +// rs1_val==46340, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0xd4100000, 0xb504, 0x12, x1, 276, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x15 +TEST_RR_OP(sll, x12, x10, x11, 0xccc00000, 0x66666666, 0x15, x1, 280, x2) + +inst_84: +// rs1_val==858993459, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sll, x12, x10, x11, 0xccccccc0, 0x33333333, 0x6, x1, 284, x2) + +inst_85: +// rs1_val==5, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x1400, 0x5, 0xa, x1, 288, x2) + +inst_86: +// rs2_val == 27, rs1_val==-46340 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0xe0000000, -0xb504, 0x1b, x1, 292, x2) + +inst_87: +// rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1d +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4, 0x1d, x1, 296, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 75*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S new file mode 100644 index 000000000..13226b35c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S @@ -0,0 +1,525 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slli instruction of the RISC-V E extension for the slli covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slli) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 != rd, rs1==x2, rd==x10, rs1_val < 0 and imm_val == (xlen-1), rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x2; dest:x10; op1val:-0x1; immval:0x1f +TEST_IMM_OP( slli, x10, x2, 0x80000000, -0x1, 0x1f, x5, 0, x12) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, imm_val == 27, rs1_val > 0 and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0x1b +TEST_IMM_OP( slli, x3, x3, 0xf8000000, 0x7fffffff, 0x1b, x5, 4, x12) + +inst_2: +// rs1==x9, rd==x14, rs1_val == -1073741825, +// opcode: slli ; op1:x9; dest:x14; op1val:-0x40000001; immval:0x7 +TEST_IMM_OP( slli, x14, x9, 0xffffff80, -0x40000001, 0x7, x5, 8, x12) + +inst_3: +// rs1==x7, rd==x8, rs1_val == -536870913, imm_val == 1 +// opcode: slli ; op1:x7; dest:x8; op1val:-0x20000001; immval:0x1 +TEST_IMM_OP( slli, x8, x7, 0xbffffffe, -0x20000001, 0x1, x5, 12, x12) + +inst_4: +// rs1==x4, rd==x6, rs1_val == -268435457, +// opcode: slli ; op1:x4; dest:x6; op1val:-0x10000001; immval:0x12 +TEST_IMM_OP( slli, x6, x4, 0xfffc0000, -0x10000001, 0x12, x5, 16, x12) + +inst_5: +// rs1==x11, rd==x7, rs1_val == -134217729, rs1_val < 0 and imm_val == 0 +// opcode: slli ; op1:x11; dest:x7; op1val:-0x8000001; immval:0x0 +TEST_IMM_OP( slli, x7, x11, 0xf7ffffff, -0x8000001, 0x0, x5, 20, x12) + +inst_6: +// rs1==x0, rd==x1, rs1_val == -67108865, +// opcode: slli ; op1:x0; dest:x1; op1val:0x0; immval:0x7 +TEST_IMM_OP( slli, x1, x0, 0x0, 0x0, 0x7, x5, 24, x12) + +inst_7: +// rs1==x1, rd==x11, rs1_val == -33554433, imm_val == 15 +// opcode: slli ; op1:x1; dest:x11; op1val:-0x2000001; immval:0xf +TEST_IMM_OP( slli, x11, x1, 0xffff8000, -0x2000001, 0xf, x5, 28, x3) + +inst_8: +// rs1==x10, rd==x12, rs1_val == -16777217, +// opcode: slli ; op1:x10; dest:x12; op1val:-0x1000001; immval:0x13 +TEST_IMM_OP( slli, x12, x10, 0xfff80000, -0x1000001, 0x13, x5, 32, x3) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_9: +// rs1==x13, rd==x9, rs1_val == -8388609, imm_val == 16 +// opcode: slli ; op1:x13; dest:x9; op1val:-0x800001; immval:0x10 +TEST_IMM_OP( slli, x9, x13, 0xffff0000, -0x800001, 0x10, x1, 0, x3) + +inst_10: +// rs1==x5, rd==x13, rs1_val == -4194305, +// opcode: slli ; op1:x5; dest:x13; op1val:-0x400001; immval:0x1f +TEST_IMM_OP( slli, x13, x5, 0x80000000, -0x400001, 0x1f, x1, 4, x3) + +inst_11: +// rs1==x8, rd==x15, rs1_val == -2097153, +// opcode: slli ; op1:x8; dest:x15; op1val:-0x200001; immval:0x1b +TEST_IMM_OP( slli, x15, x8, 0xf8000000, -0x200001, 0x1b, x1, 8, x3) + +inst_12: +// rs1==x15, rd==x4, rs1_val == -1048577, +// opcode: slli ; op1:x15; dest:x4; op1val:-0x100001; immval:0xc +TEST_IMM_OP( slli, x4, x15, 0xfffff000, -0x100001, 0xc, x1, 12, x3) + +inst_13: +// rs1==x14, rd==x5, rs1_val == -524289, +// opcode: slli ; op1:x14; dest:x5; op1val:-0x80001; immval:0x9 +TEST_IMM_OP( slli, x5, x14, 0xeffffe00, -0x80001, 0x9, x1, 16, x3) + +inst_14: +// rs1==x6, rd==x2, rs1_val == -262145, +// opcode: slli ; op1:x6; dest:x2; op1val:-0x40001; immval:0x0 +TEST_IMM_OP( slli, x2, x6, 0xfffbffff, -0x40001, 0x0, x1, 20, x3) + +inst_15: +// rs1==x12, rd==x0, rs1_val == -131073, +// opcode: slli ; op1:x12; dest:x0; op1val:-0x20001; immval:0x10 +TEST_IMM_OP( slli, x0, x12, 0, -0x20001, 0x10, x1, 24, x2) + +inst_16: +// rs1_val == -65537, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0xf7fff800, -0x10001, 0xb, x1, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_17: +// rs1_val == -32769, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xfffe0000, -0x8001, 0x11, x1, 0, x2) + +inst_18: +// rs1_val == -16385, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0xf8000000, -0x4001, 0x1b, x1, 4, x2) + +inst_19: +// rs1_val == -8193, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xbffe0000, -0x2001, 0x11, x1, 8, x2) + +inst_20: +// rs1_val == -4097, imm_val == 29 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0xe0000000, -0x1001, 0x1d, x1, 12, x2) + +inst_21: +// rs1_val == -2049, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x801; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xfdffc000, -0x801, 0xe, x1, 16, x2) + +inst_22: +// rs1_val == -1025, imm_val == 23 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x401; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0xff800000, -0x401, 0x17, x1, 20, x2) + +inst_23: +// rs1_val == -513, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x201; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xff7fc000, -0x201, 0xe, x1, 24, x2) + +inst_24: +// rs1_val == -257, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x101; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xfdfe0000, -0x101, 0x11, x1, 28, x2) + +inst_25: +// rs1_val == -129, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xffdfc000, -0x81, 0xe, x1, 32, x2) + +inst_26: +// rs1_val == -65, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x41; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xffefc000, -0x41, 0xe, x1, 36, x2) + +inst_27: +// rs1_val == -33, imm_val == 8 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 +TEST_IMM_OP( slli, x11, x10, 0xffffdf00, -0x21, 0x8, x1, 40, x2) + +inst_28: +// rs1_val == -17, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0xfffffbc0, -0x11, 0x6, x1, 44, x2) + +inst_29: +// rs1_val == -9, imm_val == 4 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 +TEST_IMM_OP( slli, x11, x10, 0xffffff70, -0x9, 0x4, x1, 48, x2) + +inst_30: +// rs1_val == -5, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x5; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xfffb0000, -0x5, 0x10, x1, 52, x2) + +inst_31: +// rs1_val == -3, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 +TEST_IMM_OP( slli, x11, x10, 0xfffffa00, -0x3, 0x9, x1, 56, x2) + +inst_32: +// rs1_val == -2, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x2; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xffffff00, -0x2, 0x7, x1, 60, x2) + +inst_33: +// imm_val == 30, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 +// opcode: slli ; op1:x10; dest:x11; op1val:0x0; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x0, 0x1e, x1, 64, x2) + +inst_34: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0x0, -0x80000000, 0x17, x1, 68, x2) + +inst_35: +// rs1_val == 1073741824, imm_val == 21 +// opcode: slli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000000, 0x15, x1, 72, x2) + +inst_36: +// rs1_val == 536870912, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000000, 0x10, x1, 76, x2) + +inst_37: +// rs1_val == 268435456, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x10000000, 0x7, x1, 80, x2) + +inst_38: +// rs1_val == 134217728, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8000000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x8000000, 0x1e, x1, 84, x2) + +inst_39: +// rs1_val == 67108864, +// opcode: slli ; op1:x10; dest:x11; op1val:0x4000000; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x0, 0x4000000, 0xf, x1, 88, x2) + +inst_40: +// rs1_val == 33554432, +// opcode: slli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x2000000, 0x1e, x1, 92, x2) + +inst_41: +// rs1_val == 16777216, rs1_val > 0 and imm_val == (xlen-1) +// opcode: slli ; op1:x10; dest:x11; op1val:0x1000000; immval:0x1f +TEST_IMM_OP( slli, x11, x10, 0x0, 0x1000000, 0x1f, x1, 96, x2) + +inst_42: +// rs1_val == 8388608, +// opcode: slli ; op1:x10; dest:x11; op1val:0x800000; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x800000, 0x15, x1, 100, x2) + +inst_43: +// rs1_val == 4194304, rs1_val > 0 and imm_val == 0 +// opcode: slli ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400000, 0x0, x1, 104, x2) + +inst_44: +// rs1_val == 2097152, +// opcode: slli ; op1:x10; dest:x11; op1val:0x200000; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x200000, 0x6, x1, 108, x2) + +inst_45: +// rs1_val == 1048576, +// opcode: slli ; op1:x10; dest:x11; op1val:0x100000; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x100000, 0x11, x1, 112, x2) + +inst_46: +// rs1_val == 524288, +// opcode: slli ; op1:x10; dest:x11; op1val:0x80000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x80000, 0x1e, x1, 116, x2) + +inst_47: +// rs1_val == 262144, +// opcode: slli ; op1:x10; dest:x11; op1val:0x40000; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000, 0x13, x1, 120, x2) + +inst_48: +// rs1_val == 131072, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20000; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000, 0xf, x1, 124, x2) + +inst_49: +// rs1_val == 65536, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10000; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0x40000000, 0x10000, 0xe, x1, 128, x2) + +inst_50: +// rs1_val == 32768, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8000; immval:0xc +TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x8000, 0xc, x1, 132, x2) + +inst_51: +// rs1_val == 16384, +// opcode: slli ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x4000, 0x4000, 0x0, x1, 136, x2) + +inst_52: +// rs1_val == 8192, +// opcode: slli ; op1:x10; dest:x11; op1val:0x2000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x2000, 0x2000, 0x0, x1, 140, x2) + +inst_53: +// rs1_val == 4096, +// opcode: slli ; op1:x10; dest:x11; op1val:0x1000; immval:0x1 +TEST_IMM_OP( slli, x11, x10, 0x2000, 0x1000, 0x1, x1, 144, x2) + +inst_54: +// rs1_val == 2048, +// opcode: slli ; op1:x10; dest:x11; op1val:0x800; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x800, 0x15, x1, 148, x2) + +inst_55: +// rs1_val == 1024, +// opcode: slli ; op1:x10; dest:x11; op1val:0x400; immval:0xc +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400, 0xc, x1, 152, x2) + +inst_56: +// rs1_val == 512, +// opcode: slli ; op1:x10; dest:x11; op1val:0x200; immval:0x3 +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x200, 0x3, x1, 156, x2) + +inst_57: +// rs1_val == 256, +// opcode: slli ; op1:x10; dest:x11; op1val:0x100; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x20000000, 0x100, 0x15, x1, 160, x2) + +inst_58: +// rs1_val == 128, +// opcode: slli ; op1:x10; dest:x11; op1val:0x80; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0x0, 0x80, 0x1d, x1, 164, x2) + +inst_59: +// rs1_val == 64, +// opcode: slli ; op1:x10; dest:x11; op1val:0x40; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x40, 0x6, x1, 168, x2) + +inst_60: +// rs1_val == 32, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x10000, 0x20, 0xb, x1, 172, x2) + +inst_61: +// rs1_val == 16, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x10, 0x10, 0x0, x1, 176, x2) + +inst_62: +// rs1_val == 8, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x8, 0x13, x1, 180, x2) + +inst_63: +// rs1_val == 4, rs1_val==4, rs1_val == imm_val and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( slli, x11, x10, 0x40, 0x4, 0x4, x1, 184, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: slli ; op1:x10; dest:x11; op1val:0x2; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x2, 0xb, x1, 188, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:0x1; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0x4000, 0x1, 0xe, x1, 192, x2) + +inst_66: +// imm_val == 2, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0x2 +TEST_IMM_OP( slli, x11, x10, 0xfffffdfc, -0x81, 0x2, x1, 196, x2) + +inst_67: +// rs1_val==46341, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb505; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x5a828000, 0xb505, 0xf, x1, 200, x2) + +inst_68: +// rs1_val==-46339, +// opcode: slli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0x7e800000, -0xb503, 0x17, x1, 204, x2) + +inst_69: +// rs1_val==1717986919, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0x38000000, 0x66666667, 0x1b, x1, 208, x2) + +inst_70: +// rs1_val==858993460, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333334; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x99a00000, 0x33333334, 0x13, x1, 212, x2) + +inst_71: +// rs1_val==6, +// opcode: slli ; op1:x10; dest:x11; op1val:0x6; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x3000, 0x6, 0xb, x1, 216, x2) + +inst_72: +// rs1_val==-1431655765, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x12 +TEST_IMM_OP( slli, x11, x10, 0xaaac0000, -0x55555555, 0x12, x1, 220, x2) + +inst_73: +// rs1_val==1431655766, +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555556, 0x1e, x1, 224, x2) + +inst_74: +// rs1_val==3, +// opcode: slli ; op1:x10; dest:x11; op1val:0x3; immval:0x12 +TEST_IMM_OP( slli, x11, x10, 0xc0000, 0x3, 0x12, x1, 228, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xaaaa0000, -0x55555556, 0x10, x1, 232, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555555; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xaaaaaa80, 0x55555555, 0x7, x1, 236, x2) + +inst_77: +// imm_val == 10, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa +TEST_IMM_OP( slli, x11, x10, 0xffbffc00, -0x1001, 0xa, x1, 240, x2) + +inst_78: +// rs1_val==46339, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x2d40c0, 0xb503, 0x6, x1, 244, x2) + +inst_79: +// rs1_val==1717986917, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666665; immval:0xd +TEST_IMM_OP( slli, x11, x10, 0xcccca000, 0x66666665, 0xd, x1, 248, x2) + +inst_80: +// rs1_val==858993458, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 +TEST_IMM_OP( slli, x11, x10, 0x66666664, 0x33333332, 0x1, x1, 252, x2) + +inst_81: +// rs1_val==1431655764, +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555554, 0x1d, x1, 256, x2) + +inst_82: +// rs1_val==46340, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb504; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0x6a080000, 0xb504, 0x11, x1, 260, x2) + +inst_83: +// rs1_val==-46340, +// opcode: slli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x57e00000, -0xb504, 0x13, x1, 264, x2) + +inst_84: +// rs1_val==1717986918, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0xc0000000, 0x66666666, 0x1d, x1, 268, x2) + +inst_85: +// rs1_val==858993459, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333333; immval:0xa +TEST_IMM_OP( slli, x11, x10, 0xcccccc00, 0x33333333, 0xa, x1, 272, x2) + +inst_86: +// rs1_val==5, +// opcode: slli ; op1:x10; dest:x11; op1val:0x5; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0x28000000, 0x5, 0x1b, x1, 276, x2) + +inst_87: +// rs1_val == -67108865, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x4000001; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xffffff80, -0x4000001, 0x7, x1, 280, x2) + +inst_88: +// rs1_val == -131073, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x20001; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xffff0000, -0x20001, 0x10, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S new file mode 100644 index 000000000..9a17362b8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S @@ -0,0 +1,2990 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slt instruction of the RISC-V E extension for the slt covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slt) + +RVTEST_SIGBASE( x12,signature_x12_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x10, rd==x7, rs1_val != rs2_val, rs2_val == -65537, rs1_val == -129, rs1_val < 0 and rs2_val < 0 +// opcode: slt ; op1:x5; op2:x10; dest:x7; op1val:-0x81; op2val:-0x10001 +TEST_RR_OP(slt, x7, x5, x10, 0x0, -0x81, -0x10001, x12, 0, x13) + +inst_1: +// rs1 == rd != rs2, rs1==x0, rs2==x6, rd==x0, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: slt ; op1:x0; op2:x6; dest:x0; op1val:0x0; op2val:0x7fffffff +TEST_RR_OP(slt, x0, x0, x6, 0, 0x0, 0x7fffffff, x12, 4, x13) + +inst_2: +// rs2 == rd != rs1, rs1==x9, rs2==x8, rd==x8, rs2_val == -1073741825, rs1_val > 0 and rs2_val < 0, rs1_val == 512 +// opcode: slt ; op1:x9; op2:x8; dest:x8; op1val:0x200; op2val:-0x40000001 +TEST_RR_OP(slt, x8, x9, x8, 0x0, 0x200, -0x40000001, x12, 8, x13) + +inst_3: +// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x11, rs2_val == -536870913, rs1_val == -4097 +// opcode: slt ; op1:x4; op2:x4; dest:x11; op1val:-0x1001; op2val:-0x1001 +TEST_RR_OP(slt, x11, x4, x4, 0x0, -0x1001, -0x1001, x12, 12, x13) + +inst_4: +// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs2_val == -268435457, +// opcode: slt ; op1:x3; op2:x3; dest:x3; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x3, x3, x3, 0x0, -0x55555555, -0x55555555, x12, 16, x13) + +inst_5: +// rs1==x1, rs2==x0, rd==x4, rs2_val == -134217729, rs1_val == -65537 +// opcode: slt ; op1:x1; op2:x0; dest:x4; op1val:-0x10001; op2val:0x0 +TEST_RR_OP(slt, x4, x1, x0, 0x1, -0x10001, 0x0, x12, 20, x13) + +inst_6: +// rs1==x6, rs2==x2, rd==x5, rs2_val == -67108865, rs1_val == -33554433 +// opcode: slt ; op1:x6; op2:x2; dest:x5; op1val:-0x2000001; op2val:-0x4000001 +TEST_RR_OP(slt, x5, x6, x2, 0x0, -0x2000001, -0x4000001, x12, 24, x13) + +inst_7: +// rs1==x7, rs2==x11, rd==x13, rs2_val == -33554433, rs1_val == -2 +// opcode: slt ; op1:x7; op2:x11; dest:x13; op1val:-0x2; op2val:-0x2000001 +TEST_RR_OP(slt, x13, x7, x11, 0x0, -0x2, -0x2000001, x12, 28, x1) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x15, rs2==x7, rd==x12, rs2_val == -16777217, rs1_val == 4096 +// opcode: slt ; op1:x15; op2:x7; dest:x12; op1val:0x1000; op2val:-0x1000001 +TEST_RR_OP(slt, x12, x15, x7, 0x0, 0x1000, -0x1000001, x3, 0, x1) + +inst_9: +// rs1==x13, rs2==x5, rd==x2, rs2_val == -8388609, rs1_val == -268435457 +// opcode: slt ; op1:x13; op2:x5; dest:x2; op1val:-0x10000001; op2val:-0x800001 +TEST_RR_OP(slt, x2, x13, x5, 0x1, -0x10000001, -0x800001, x3, 4, x1) + +inst_10: +// rs1==x2, rs2==x9, rd==x15, rs2_val == -4194305, rs1_val == -1431655766 +// opcode: slt ; op1:x2; op2:x9; dest:x15; op1val:-0x55555556; op2val:-0x400001 +TEST_RR_OP(slt, x15, x2, x9, 0x1, -0x55555556, -0x400001, x3, 8, x1) + +inst_11: +// rs1==x8, rs2==x15, rd==x14, rs2_val == -2097153, rs1_val == -513 +// opcode: slt ; op1:x8; op2:x15; dest:x14; op1val:-0x201; op2val:-0x200001 +TEST_RR_OP(slt, x14, x8, x15, 0x0, -0x201, -0x200001, x3, 12, x1) + +inst_12: +// rs1==x10, rs2==x13, rd==x9, rs2_val == -1048577, rs1_val == 524288 +// opcode: slt ; op1:x10; op2:x13; dest:x9; op1val:0x80000; op2val:-0x100001 +TEST_RR_OP(slt, x9, x10, x13, 0x0, 0x80000, -0x100001, x3, 16, x1) + +inst_13: +// rs1==x12, rs2==x14, rd==x6, rs2_val == -524289, rs1_val == 0 +// opcode: slt ; op1:x12; op2:x14; dest:x6; op1val:0x0; op2val:-0x80001 +TEST_RR_OP(slt, x6, x12, x14, 0x0, 0x0, -0x80001, x3, 20, x4) + +inst_14: +// rs1==x14, rs2==x1, rd==x10, rs2_val == -262145, rs1_val == -2049 +// opcode: slt ; op1:x14; op2:x1; dest:x10; op1val:-0x801; op2val:-0x40001 +TEST_RR_OP(slt, x10, x14, x1, 0x0, -0x801, -0x40001, x3, 24, x4) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_15: +// rs1==x11, rs2==x12, rd==x1, rs2_val == -131073, +// opcode: slt ; op1:x11; op2:x12; dest:x1; op1val:0x0; op2val:-0x20001 +TEST_RR_OP(slt, x1, x11, x12, 0x0, 0x0, -0x20001, x2, 0, x4) + +inst_16: +// rs2_val == -32769, rs1_val == 16384 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x8001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000, -0x8001, x2, 4, x4) + +inst_17: +// rs2_val == -16385, rs1_val == 1073741824 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x4001, x2, 8, x4) + +inst_18: +// rs2_val == -8193, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x2001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x801, -0x2001, x2, 12, x4) + +inst_19: +// rs2_val == -4097, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x1001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x1001, x2, 16, x4) + +inst_20: +// rs2_val == -2049, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x801 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x801, x2, 20, x4) + +inst_21: +// rs2_val == -1025, rs1_val == -16385 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x401 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4001, -0x401, x2, 24, x4) + +inst_22: +// rs2_val == -513, rs1_val == 8192 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2000, -0x201, x2, 28, x4) + +inst_23: +// rs2_val == -257, rs1_val == -5 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x101 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x5, -0x101, x2, 32, x4) + +inst_24: +// rs2_val == -129, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x81 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x81, x2, 36, x4) + +inst_25: +// rs2_val == -65, rs1_val == -32769 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8001, -0x41, x2, 40, x4) + +inst_26: +// rs2_val == -33, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x21 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x10000001, -0x21, x2, 44, x4) + +inst_27: +// rs2_val == -17, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x11 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x11, x2, 48, x4) + +inst_28: +// rs2_val == -9, rs1_val == 536870912 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x9 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000000, -0x9, x2, 52, x4) + +inst_29: +// rs2_val == -5, rs1_val == 128 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x80, -0x5, x2, 56, x4) + +inst_30: +// rs2_val == -3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x9, -0x3, x2, 60, x4) + +inst_31: +// rs2_val == -2, rs1_val == -65 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x41, -0x2, x2, 64, x4) + +inst_32: +// rs1_val == 2147483647, rs2_val == 16777216, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x7fffffff, 0x1000000, x2, 68, x4) + +inst_33: +// rs1_val == -1073741825, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40000001, -0x8001, x2, 72, x4) + +inst_34: +// rs1_val == -536870913, rs2_val == 128 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20000001, 0x80, x2, 76, x4) + +inst_35: +// rs1_val == -134217729, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, -0x3, x2, 80, x4) + +inst_36: +// rs1_val == -67108865, rs2_val == 8192 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x2000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x2000, x2, 84, x4) + +inst_37: +// rs1_val == -16777217, rs2_val == 2048 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x800 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x1000001, 0x800, x2, 88, x4) + +inst_38: +// rs1_val == -8388609, rs2_val == -1431655766 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x800001, -0x55555556, x2, 92, x4) + +inst_39: +// rs1_val == -2097153, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x1000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x200001, -0x1000001, x2, 96, x4) + +inst_40: +// rs1_val == -1048577, rs1_val == rs2_val +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x100001, -0x100001, x2, 100, x4) + +inst_41: +// rs1_val == -524289, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x81 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80001, -0x81, x2, 104, x4) + +inst_42: +// rs1_val == -262145, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40001, 0x66666665, x2, 108, x4) + +inst_43: +// rs1_val == -131073, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x7fffffff, x2, 112, x4) + +inst_44: +// rs1_val == -8193, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x2001, -0x4, x2, 116, x4) + +inst_45: +// rs1_val == -1025, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x401, -0x2, x2, 120, x4) + +inst_46: +// rs1_val == -257, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x101, 0x66666667, x2, 124, x4) + +inst_47: +// rs1_val == -33, rs2_val == 512 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x200 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x21, 0x200, x2, 128, x4) + +inst_48: +// rs1_val == -17, rs2_val == 4 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x4, x2, 132, x4) + +inst_49: +// rs1_val == -9, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x20000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x9, -0x20000001, x2, 136, x4) + +inst_50: +// rs1_val == -3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x3, -0x6, x2, 140, x4) + +inst_51: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 8 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8, -0x80000000, x2, 144, x4) + +inst_52: +// rs2_val == 1073741824, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, 0x40000000, x2, 148, x4) + +inst_53: +// rs2_val == 536870912, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x20000000, x2, 152, x4) + +inst_54: +// rs2_val == 268435456, rs1_val == 65536 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10000, 0x10000000, x2, 156, x4) + +inst_55: +// rs2_val == 134217728, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x8000000, x2, 160, x4) + +inst_56: +// rs2_val == 67108864, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4000000, x2, 164, x4) + +inst_57: +// rs2_val == 33554432, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2000000, x2, 168, x4) + +inst_58: +// rs2_val == 8388608, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x800000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x7, 0x800000, x2, 172, x4) + +inst_59: +// rs2_val == 4194304, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x400000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x400000, x2, 176, x4) + +inst_60: +// rs2_val == 2097152, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x200000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x80, 0x200000, x2, 180, x4) + +inst_61: +// rs2_val == 1048576, rs1_val == 16 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 184, x4) + +inst_62: +// rs2_val == 524288, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x80000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x80000, x2, 188, x4) + +inst_63: +// rs2_val == 262144, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x40000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x5, 0x40000, x2, 192, x4) + +inst_64: +// rs2_val == 131072, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x20000, x2, 196, x4) + +inst_65: +// rs2_val == 65536, rs1_val == 2097152 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x10000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x200000, 0x10000, x2, 200, x4) + +inst_66: +// rs2_val == 32768, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 204, x4) + +inst_67: +// rs2_val == 16384, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x4000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x4000, x2, 208, x4) + +inst_68: +// rs2_val == 4096, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x1000, x2, 212, x4) + +inst_69: +// rs2_val == 1024, rs1_val == 32768 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x400 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000, 0x400, x2, 216, x4) + +inst_70: +// rs2_val == 256, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x100 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3fffffff, 0x100, x2, 220, x4) + +inst_71: +// rs2_val == 64, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x40 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x40, x2, 224, x4) + +inst_72: +// rs2_val == 32, rs1_val == 262144 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x20 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000, 0x20, x2, 228, x4) + +inst_73: +// rs2_val == 16, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x10, x2, 232, x4) + +inst_74: +// rs2_val == 8, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x8 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x8, x2, 236, x4) + +inst_75: +// rs2_val == 2, rs1_val==2 and rs2_val==2, rs1_val == 2 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x2, x2, 240, x4) + +inst_76: +// rs2_val == 1, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 244, x4) + +inst_77: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80000000, 0x3, x2, 248, x4) + +inst_78: +// rs1_val == 268435456, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000000, -0x100001, x2, 252, x4) + +inst_79: +// rs1_val == 134217728, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000000, -0xb504, x2, 256, x4) + +inst_80: +// rs1_val == 67108864, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000000, -0x3, x2, 260, x4) + +inst_81: +// rs1_val == 33554432, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2000000, 0x66666667, x2, 264, x4) + +inst_82: +// rs1_val == 16777216, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x200000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x1000000, 0x200000, x2, 268, x4) + +inst_83: +// rs1_val == 8388608, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x8000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800000, 0x8000000, x2, 272, x4) + +inst_84: +// rs1_val == 4194304, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400000, -0x100001, x2, 276, x4) + +inst_85: +// rs1_val == 1048576, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x100000, 0x6, x2, 280, x4) + +inst_86: +// rs1_val == 131072, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000, 0x800, x2, 284, x4) + +inst_87: +// rs1_val == 2048, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800, 0x66666665, x2, 288, x4) + +inst_88: +// rs1_val == 1024, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400, -0x40000000, x2, 292, x4) + +inst_89: +// rs1_val == 256, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x100, 0x40000000, x2, 296, x4) + +inst_90: +// rs1_val == 64, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x80000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40, -0x80000000, x2, 300, x4) + +inst_91: +// rs1_val == 32, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20, -0x3, x2, 304, x4) + +inst_92: +// rs1_val == 4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x7fffffff, x2, 308, x4) + +inst_93: +// rs1_val == 1, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x1, 0x2000, x2, 312, x4) + +inst_94: +// rs1_val==46341 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 316, x4) + +inst_95: +// rs1_val==46341 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb503, x2, 320, x4) + +inst_96: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 324, x4) + +inst_97: +// rs1_val==46341 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 328, x4) + +inst_98: +// rs1_val==46341 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 332, x4) + +inst_99: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555555, x2, 336, x4) + +inst_100: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 340, x4) + +inst_101: +// rs1_val==46341 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 344, x4) + +inst_102: +// rs1_val==46341 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 348, x4) + +inst_103: +// rs1_val==46341 and rs2_val==0, rs2_val == 0 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 352, x4) + +inst_104: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 356, x4) + +inst_105: +// rs1_val==46341 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 360, x4) + +inst_106: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 364, x4) + +inst_107: +// rs1_val==46341 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 368, x4) + +inst_108: +// rs1_val==46341 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 372, x4) + +inst_109: +// rs1_val==46341 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb504, x2, 376, x4) + +inst_110: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 380, x4) + +inst_111: +// rs1_val==46341 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 384, x4) + +inst_112: +// rs1_val==46341 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 388, x4) + +inst_113: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555556, x2, 392, x4) + +inst_114: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 396, x4) + +inst_115: +// rs1_val==46341 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 400, x4) + +inst_116: +// rs1_val==-46339 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb505, x2, 404, x4) + +inst_117: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 408, x4) + +inst_118: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666667, x2, 412, x4) + +inst_119: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333334, x2, 416, x4) + +inst_120: +// rs1_val==-46339 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x6, x2, 420, x4) + +inst_121: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555555, x2, 424, x4) + +inst_122: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555556, x2, 428, x4) + +inst_123: +// rs1_val==-46339 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x4, x2, 432, x4) + +inst_124: +// rs1_val==-46339 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb503, x2, 436, x4) + +inst_125: +// rs1_val==-46339 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x0, x2, 440, x4) + +inst_126: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666665, x2, 444, x4) + +inst_127: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333332, x2, 448, x4) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555554, x2, 452, x4) + +inst_129: +// rs1_val==-46339 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2, x2, 456, x4) + +inst_130: +// rs1_val==-46339 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb504, x2, 460, x4) + +inst_131: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 464, x4) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666666, x2, 468, x4) + +inst_133: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333333, x2, 472, x4) + +inst_134: +// rs1_val==-46339 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x5, x2, 476, x4) + +inst_135: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555556, x2, 480, x4) + +inst_136: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555555, x2, 484, x4) + +inst_137: +// rs1_val==-46339 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x3, x2, 488, x4) + +inst_138: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 492, x4) + +inst_139: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb503, x2, 496, x4) + +inst_140: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 500, x4) + +inst_141: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 504, x4) + +inst_142: +// rs1_val==1717986919 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 508, x4) + +inst_143: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555555, x2, 512, x4) + +inst_144: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 516, x4) + +inst_145: +// rs1_val==1717986919 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 520, x4) + +inst_146: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 524, x4) + +inst_147: +// rs1_val==1717986919 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 528, x4) + +inst_148: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 532, x4) + +inst_149: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 536, x4) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 540, x4) + +inst_151: +// rs1_val==1717986919 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 544, x4) + +inst_152: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 548, x4) + +inst_153: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb504, x2, 552, x4) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 556, x4) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 560, x4) + +inst_156: +// rs1_val==1717986919 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 564, x4) + +inst_157: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555556, x2, 568, x4) + +inst_158: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 572, x4) + +inst_159: +// rs1_val==1717986919 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 576, x4) + +inst_160: +// rs1_val==858993460 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 580, x4) + +inst_161: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb503, x2, 584, x4) + +inst_162: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 588, x4) + +inst_163: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 592, x4) + +inst_164: +// rs1_val==858993460 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 596, x4) + +inst_165: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x2, 600, x4) + +inst_166: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 604, x4) + +inst_167: +// rs1_val==858993460 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 608, x4) + +inst_168: +// rs1_val==858993460 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 612, x4) + +inst_169: +// rs1_val==858993460 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 616, x4) + +inst_170: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 620, x4) + +inst_171: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 624, x4) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 628, x4) + +inst_173: +// rs1_val==858993460 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 632, x4) + +inst_174: +// rs1_val==858993460 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 636, x4) + +inst_175: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb504, x2, 640, x4) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 644, x4) + +inst_177: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 648, x4) + +inst_178: +// rs1_val==858993460 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 652, x4) + +inst_179: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x2, 656, x4) + +inst_180: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 660, x4) + +inst_181: +// rs1_val==858993460 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 664, x4) + +inst_182: +// rs1_val==6 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 668, x4) + +inst_183: +// rs1_val==6 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb503, x2, 672, x4) + +inst_184: +// rs1_val==6 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 676, x4) + +inst_185: +// rs1_val==6 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 680, x4) + +inst_186: +// rs1_val==6 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x6, x2, 684, x4) + +inst_187: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555555, x2, 688, x4) + +inst_188: +// rs1_val==6 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 692, x4) + +inst_189: +// rs1_val==6 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x4, x2, 696, x4) + +inst_190: +// rs1_val==6 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 700, x4) + +inst_191: +// rs1_val==6 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x0, x2, 704, x4) + +inst_192: +// rs1_val==6 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 708, x4) + +inst_193: +// rs1_val==6 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 712, x4) + +inst_194: +// rs1_val==6 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 716, x4) + +inst_195: +// rs1_val==6 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x2, x2, 720, x4) + +inst_196: +// rs1_val==6 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 724, x4) + +inst_197: +// rs1_val==6 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb504, x2, 728, x4) + +inst_198: +// rs1_val==6 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 732, x4) + +inst_199: +// rs1_val==6 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 736, x4) + +inst_200: +// rs1_val==6 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x5, x2, 740, x4) + +inst_201: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555556, x2, 744, x4) + +inst_202: +// rs1_val==6 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 748, x4) + +inst_203: +// rs1_val==6 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x3, x2, 752, x4) + +inst_204: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb505, x2, 756, x4) + +inst_205: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb503, x2, 760, x4) + +inst_206: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666667, x2, 764, x4) + +inst_207: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333334, x2, 768, x4) + +inst_208: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x6, x2, 772, x4) + +inst_209: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 776, x4) + +inst_210: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x2, 780, x4) + +inst_211: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x4, x2, 784, x4) + +inst_212: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb503, x2, 788, x4) + +inst_213: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x0, x2, 792, x4) + +inst_214: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666665, x2, 796, x4) + +inst_215: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333332, x2, 800, x4) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555554, x2, 804, x4) + +inst_217: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x2, x2, 808, x4) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb504, x2, 812, x4) + +inst_219: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb504, x2, 816, x4) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666666, x2, 820, x4) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333333, x2, 824, x4) + +inst_222: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x5, x2, 828, x4) + +inst_223: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x2, 832, x4) + +inst_224: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x2, 836, x4) + +inst_225: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x3, x2, 840, x4) + +inst_226: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 844, x4) + +inst_227: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb503, x2, 848, x4) + +inst_228: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 852, x4) + +inst_229: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 856, x4) + +inst_230: +// rs1_val==1431655766 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 860, x4) + +inst_231: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555555, x2, 864, x4) + +inst_232: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 868, x4) + +inst_233: +// rs1_val==1431655766 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 872, x4) + +inst_234: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 876, x4) + +inst_235: +// rs1_val==1431655766 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 880, x4) + +inst_236: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 884, x4) + +inst_237: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 888, x4) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 892, x4) + +inst_239: +// rs1_val==1431655766 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 896, x4) + +inst_240: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 900, x4) + +inst_241: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb504, x2, 904, x4) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 908, x4) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 912, x4) + +inst_244: +// rs1_val==1431655766 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 916, x4) + +inst_245: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 920, x4) + +inst_246: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 924, x4) + +inst_247: +// rs1_val==1431655766 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 928, x4) + +inst_248: +// rs1_val==4 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 932, x4) + +inst_249: +// rs1_val==4 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb503, x2, 936, x4) + +inst_250: +// rs1_val==4 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 940, x4) + +inst_251: +// rs1_val==4 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 944, x4) + +inst_252: +// rs1_val==4 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x6, x2, 948, x4) + +inst_253: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555555, x2, 952, x4) + +inst_254: +// rs1_val==4 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 956, x4) + +inst_255: +// rs1_val==4 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x4, x2, 960, x4) + +inst_256: +// rs1_val==4 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 964, x4) + +inst_257: +// rs1_val==4 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x0, x2, 968, x4) + +inst_258: +// rs1_val==4 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 972, x4) + +inst_259: +// rs1_val==4 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 976, x4) + +inst_260: +// rs1_val==4 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 980, x4) + +inst_261: +// rs1_val==4 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x2, x2, 984, x4) + +inst_262: +// rs1_val==4 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 988, x4) + +inst_263: +// rs1_val==4 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb504, x2, 992, x4) + +inst_264: +// rs1_val==4 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 996, x4) + +inst_265: +// rs1_val==4 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1000, x4) + +inst_266: +// rs1_val==4 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1004, x4) + +inst_267: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555556, x2, 1008, x4) + +inst_268: +// rs1_val==4 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1012, x4) + +inst_269: +// rs1_val==4 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1016, x4) + +inst_270: +// rs1_val==46339 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1020, x4) + +inst_271: +// rs1_val==46339 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1024, x4) + +inst_272: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1028, x4) + +inst_273: +// rs1_val==46339 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1032, x4) + +inst_274: +// rs1_val==46339 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1036, x4) + +inst_275: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555555, x2, 1040, x4) + +inst_276: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1044, x4) + +inst_277: +// rs1_val==46339 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1048, x4) + +inst_278: +// rs1_val==46339 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1052, x4) + +inst_279: +// rs1_val==46339 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1056, x4) + +inst_280: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1060, x4) + +inst_281: +// rs1_val==46339 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1064, x4) + +inst_282: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1068, x4) + +inst_283: +// rs1_val==46339 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1072, x4) + +inst_284: +// rs1_val==46339 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1076, x4) + +inst_285: +// rs1_val==46339 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb504, x2, 1080, x4) + +inst_286: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1084, x4) + +inst_287: +// rs1_val==46339 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1088, x4) + +inst_288: +// rs1_val==46339 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1092, x4) + +inst_289: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555556, x2, 1096, x4) + +inst_290: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1100, x4) + +inst_291: +// rs1_val==46339 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1104, x4) + +inst_292: +// rs1_val==0 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1108, x4) + +inst_293: +// rs1_val==0 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1112, x4) + +inst_294: +// rs1_val==0 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1116, x4) + +inst_295: +// rs1_val==0 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1120, x4) + +inst_296: +// rs1_val==0 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1124, x4) + +inst_297: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1128, x4) + +inst_298: +// rs1_val==0 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1132, x4) + +inst_299: +// rs1_val==0 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1136, x4) + +inst_300: +// rs1_val==0 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1140, x4) + +inst_301: +// rs1_val==0 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1144, x4) + +inst_302: +// rs1_val==0 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1148, x4) + +inst_303: +// rs1_val==0 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1152, x4) + +inst_304: +// rs1_val==0 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1156, x4) + +inst_305: +// rs1_val==0 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1160, x4) + +inst_306: +// rs1_val==0 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1164, x4) + +inst_307: +// rs1_val==0 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1168, x4) + +inst_308: +// rs1_val==0 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1172, x4) + +inst_309: +// rs1_val==0 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1176, x4) + +inst_310: +// rs1_val==0 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1180, x4) + +inst_311: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1184, x4) + +inst_312: +// rs1_val==0 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1188, x4) + +inst_313: +// rs1_val==0 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1192, x4) + +inst_314: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1196, x4) + +inst_315: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb503, x2, 1200, x4) + +inst_316: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1204, x4) + +inst_317: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1208, x4) + +inst_318: +// rs1_val==1717986917 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1212, x4) + +inst_319: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555555, x2, 1216, x4) + +inst_320: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1220, x4) + +inst_321: +// rs1_val==1717986917 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1224, x4) + +inst_322: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1228, x4) + +inst_323: +// rs1_val==858993459 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1232, x4) + +inst_324: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 1236, x4) + +inst_325: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 1240, x4) + +inst_326: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 1244, x4) + +inst_327: +// rs1_val==858993459 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1248, x4) + +inst_328: +// rs1_val==858993459 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 1252, x4) + +inst_329: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb504, x2, 1256, x4) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 1260, x4) + +inst_331: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1264, x4) + +inst_332: +// rs1_val==858993459 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1268, x4) + +inst_333: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x2, 1272, x4) + +inst_334: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 1276, x4) + +inst_335: +// rs1_val==858993459 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1280, x4) + +inst_336: +// rs1_val==5 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 1284, x4) + +inst_337: +// rs1_val==5 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb503, x2, 1288, x4) + +inst_338: +// rs1_val==5 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 1292, x4) + +inst_339: +// rs1_val==5 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1296, x4) + +inst_340: +// rs1_val==5 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x6, x2, 1300, x4) + +inst_341: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555555, x2, 1304, x4) + +inst_342: +// rs1_val==5 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1308, x4) + +inst_343: +// rs1_val==5 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1312, x4) + +inst_344: +// rs1_val==5 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 1316, x4) + +inst_345: +// rs1_val==5 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1320, x4) + +inst_346: +// rs1_val==5 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1324, x4) + +inst_347: +// rs1_val==5 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 1328, x4) + +inst_348: +// rs1_val==5 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1332, x4) + +inst_349: +// rs1_val==5 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1336, x4) + +inst_350: +// rs1_val==5 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 1340, x4) + +inst_351: +// rs1_val==5 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb504, x2, 1344, x4) + +inst_352: +// rs1_val==5 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1348, x4) + +inst_353: +// rs1_val==5 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 1352, x4) + +inst_354: +// rs1_val==5 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1356, x4) + +inst_355: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555556, x2, 1360, x4) + +inst_356: +// rs1_val==5 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1364, x4) + +inst_357: +// rs1_val==5 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1368, x4) + +inst_358: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb505, x2, 1372, x4) + +inst_359: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb503, x2, 1376, x4) + +inst_360: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666667, x2, 1380, x4) + +inst_361: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333334, x2, 1384, x4) + +inst_362: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x6, x2, 1388, x4) + +inst_363: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x2, 1392, x4) + +inst_364: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555556, x2, 1396, x4) + +inst_365: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x4, x2, 1400, x4) + +inst_366: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb503, x2, 1404, x4) + +inst_367: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x0, x2, 1408, x4) + +inst_368: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666665, x2, 1412, x4) + +inst_369: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333332, x2, 1416, x4) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555554, x2, 1420, x4) + +inst_371: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x2, x2, 1424, x4) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb504, x2, 1428, x4) + +inst_373: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb504, x2, 1432, x4) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666666, x2, 1436, x4) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333333, x2, 1440, x4) + +inst_376: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x5, x2, 1444, x4) + +inst_377: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1448, x4) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555555, x2, 1452, x4) + +inst_379: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x3, x2, 1456, x4) + +inst_380: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 1460, x4) + +inst_381: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb503, x2, 1464, x4) + +inst_382: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 1468, x4) + +inst_383: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 1472, x4) + +inst_384: +// rs1_val==1431655765 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 1476, x4) + +inst_385: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1480, x4) + +inst_386: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 1484, x4) + +inst_387: +// rs1_val==1431655765 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 1488, x4) + +inst_388: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 1492, x4) + +inst_389: +// rs1_val==1431655765 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1496, x4) + +inst_390: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 1500, x4) + +inst_391: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 1504, x4) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 1508, x4) + +inst_393: +// rs1_val==1431655765 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1512, x4) + +inst_394: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 1516, x4) + +inst_395: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb504, x2, 1520, x4) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 1524, x4) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 1528, x4) + +inst_398: +// rs1_val==1431655765 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1532, x4) + +inst_399: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x2, 1536, x4) + +inst_400: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1540, x4) + +inst_401: +// rs1_val==1431655765 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1544, x4) + +inst_402: +// rs1_val==3 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 1548, x4) + +inst_403: +// rs1_val==3 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb503, x2, 1552, x4) + +inst_404: +// rs1_val==3 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1556, x4) + +inst_405: +// rs1_val==3 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 1560, x4) + +inst_406: +// rs1_val==3 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x6, x2, 1564, x4) + +inst_407: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555555, x2, 1568, x4) + +inst_408: +// rs1_val==3 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1572, x4) + +inst_409: +// rs1_val==3 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4, x2, 1576, x4) + +inst_410: +// rs1_val==3 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 1580, x4) + +inst_411: +// rs1_val==3 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1584, x4) + +inst_412: +// rs1_val==3 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1588, x4) + +inst_413: +// rs1_val==3 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 1592, x4) + +inst_414: +// rs1_val==3 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 1596, x4) + +inst_415: +// rs1_val==3 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1600, x4) + +inst_416: +// rs1_val==3 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 1604, x4) + +inst_417: +// rs1_val==3 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb504, x2, 1608, x4) + +inst_418: +// rs1_val==3 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1612, x4) + +inst_419: +// rs1_val==3 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 1616, x4) + +inst_420: +// rs1_val==3 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x5, x2, 1620, x4) + +inst_421: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555556, x2, 1624, x4) + +inst_422: +// rs1_val==3 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 1628, x4) + +inst_423: +// rs1_val==3 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1632, x4) + +inst_424: +// rs1_val==1717986917 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1636, x4) + +inst_425: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1640, x4) + +inst_426: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1644, x4) + +inst_427: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1648, x4) + +inst_428: +// rs1_val==1717986917 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1652, x4) + +inst_429: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1656, x4) + +inst_430: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb504, x2, 1660, x4) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1664, x4) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1668, x4) + +inst_433: +// rs1_val==1717986917 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1672, x4) + +inst_434: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555556, x2, 1676, x4) + +inst_435: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1680, x4) + +inst_436: +// rs1_val==1717986917 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1684, x4) + +inst_437: +// rs1_val==858993458 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1688, x4) + +inst_438: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb503, x2, 1692, x4) + +inst_439: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1696, x4) + +inst_440: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1700, x4) + +inst_441: +// rs1_val==858993458 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1704, x4) + +inst_442: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x2, 1708, x4) + +inst_443: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1712, x4) + +inst_444: +// rs1_val==858993458 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1716, x4) + +inst_445: +// rs1_val==858993458 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1720, x4) + +inst_446: +// rs1_val==858993458 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1724, x4) + +inst_447: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1728, x4) + +inst_448: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1732, x4) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1736, x4) + +inst_450: +// rs1_val==858993458 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1740, x4) + +inst_451: +// rs1_val==858993458 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1744, x4) + +inst_452: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb504, x2, 1748, x4) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1752, x4) + +inst_454: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1756, x4) + +inst_455: +// rs1_val==858993458 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1760, x4) + +inst_456: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x2, 1764, x4) + +inst_457: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1768, x4) + +inst_458: +// rs1_val==858993458 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1772, x4) + +inst_459: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 1776, x4) + +inst_460: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb503, x2, 1780, x4) + +inst_461: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 1784, x4) + +inst_462: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 1788, x4) + +inst_463: +// rs1_val==1431655764 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1792, x4) + +inst_464: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x2, 1796, x4) + +inst_465: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 1800, x4) + +inst_466: +// rs1_val==1431655764 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1804, x4) + +inst_467: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1808, x4) + +inst_468: +// rs1_val==1431655764 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1812, x4) + +inst_469: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1816, x4) + +inst_470: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1820, x4) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1824, x4) + +inst_472: +// rs1_val==1431655764 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1828, x4) + +inst_473: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1832, x4) + +inst_474: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb504, x2, 1836, x4) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1840, x4) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1844, x4) + +inst_477: +// rs1_val==1431655764 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1848, x4) + +inst_478: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x2, 1852, x4) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1856, x4) + +inst_480: +// rs1_val==1431655764 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1860, x4) + +inst_481: +// rs1_val==2 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1864, x4) + +inst_482: +// rs1_val==2 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb503, x2, 1868, x4) + +inst_483: +// rs1_val==2 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1872, x4) + +inst_484: +// rs1_val==2 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1876, x4) + +inst_485: +// rs1_val==2 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1880, x4) + +inst_486: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555555, x2, 1884, x4) + +inst_487: +// rs1_val==2 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1888, x4) + +inst_488: +// rs1_val==2 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1892, x4) + +inst_489: +// rs1_val==2 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1896, x4) + +inst_490: +// rs1_val==2 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1900, x4) + +inst_491: +// rs1_val==2 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1904, x4) + +inst_492: +// rs1_val==2 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1908, x4) + +inst_493: +// rs1_val==2 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1912, x4) + +inst_494: +// rs1_val==2 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1916, x4) + +inst_495: +// rs1_val==2 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb504, x2, 1920, x4) + +inst_496: +// rs1_val==2 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1924, x4) + +inst_497: +// rs1_val==2 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1928, x4) + +inst_498: +// rs1_val==2 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1932, x4) + +inst_499: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555556, x2, 1936, x4) + +inst_500: +// rs1_val==2 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1940, x4) + +inst_501: +// rs1_val==2 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1944, x4) + +inst_502: +// rs1_val==46340 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 1948, x4) + +inst_503: +// rs1_val==46340 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb503, x2, 1952, x4) + +inst_504: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 1956, x4) + +inst_505: +// rs1_val==46340 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 1960, x4) + +inst_506: +// rs1_val==46340 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 1964, x4) + +inst_507: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555555, x2, 1968, x4) + +inst_508: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 1972, x4) + +inst_509: +// rs1_val==46340 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 1976, x4) + +inst_510: +// rs1_val==46340 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 1980, x4) + +inst_511: +// rs1_val==46340 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 1984, x4) + +inst_512: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 1988, x4) + +inst_513: +// rs1_val==46340 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 1992, x4) + +inst_514: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 1996, x4) + +inst_515: +// rs1_val==46340 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2000, x4) + +inst_516: +// rs1_val==46340 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2004, x4) + +inst_517: +// rs1_val==46340 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2008, x4) + +inst_518: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 2012, x4) + +inst_519: +// rs1_val==46340 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 2016, x4) + +inst_520: +// rs1_val==46340 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 2020, x4) + +inst_521: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555556, x2, 2024, x4) + +inst_522: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 2028, x4) + +inst_523: +// rs1_val==46340 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 2032, x4) + +inst_524: +// rs1_val==-46340 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb505, x2, 2036, x4) + +inst_525: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, -0xb503, x2, 2040, x4) + +inst_526: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666667, x2, 2044, x4) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_527: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333334, x2, 0, x4) + +inst_528: +// rs1_val==-46340 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x6, x2, 4, x4) + +inst_529: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555555, x2, 8, x4) + +inst_530: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555556, x2, 12, x4) + +inst_531: +// rs1_val==-46340 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x4, x2, 16, x4) + +inst_532: +// rs1_val==-46340 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb503, x2, 20, x4) + +inst_533: +// rs1_val==-46340 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x0, x2, 24, x4) + +inst_534: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666665, x2, 28, x4) + +inst_535: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333332, x2, 32, x4) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555554, x2, 36, x4) + +inst_537: +// rs1_val==-46340 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x2, x2, 40, x4) + +inst_538: +// rs1_val==-46340 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb504, x2, 44, x4) + +inst_539: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 48, x4) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666666, x2, 52, x4) + +inst_541: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333333, x2, 56, x4) + +inst_542: +// rs1_val==-46340 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x5, x2, 60, x4) + +inst_543: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555556, x2, 64, x4) + +inst_544: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555555, x2, 68, x4) + +inst_545: +// rs1_val==-46340 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x3, x2, 72, x4) + +inst_546: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 76, x4) + +inst_547: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb503, x2, 80, x4) + +inst_548: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 84, x4) + +inst_549: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 88, x4) + +inst_550: +// rs1_val==1717986918 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 92, x4) + +inst_551: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555555, x2, 96, x4) + +inst_552: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 100, x4) + +inst_553: +// rs1_val==1717986918 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 104, x4) + +inst_554: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 108, x4) + +inst_555: +// rs1_val==1717986918 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 112, x4) + +inst_556: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 116, x4) + +inst_557: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 120, x4) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 124, x4) + +inst_559: +// rs1_val==1717986918 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 128, x4) + +inst_560: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 132, x4) + +inst_561: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb504, x2, 136, x4) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 140, x4) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 144, x4) + +inst_564: +// rs1_val==1717986918 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 148, x4) + +inst_565: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555556, x2, 152, x4) + +inst_566: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 156, x4) + +inst_567: +// rs1_val==1717986918 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 160, x4) + +inst_568: +// rs1_val==858993459 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 164, x4) + +inst_569: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb503, x2, 168, x4) + +inst_570: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 172, x4) + +inst_571: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 176, x4) + +inst_572: +// rs1_val==858993459 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 180, x4) + +inst_573: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x2, 184, x4) + +inst_574: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 188, x4) + +inst_575: +// rs1_val==858993459 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 192, x4) + +inst_576: +// rs1_val==858993459 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 196, x4) + +inst_577: +// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x400001, 0x7fffffff, x2, 200, x4) + +inst_578: +// rs2_val == -536870913, rs1_val == -4097 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x20000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x1001, -0x20000001, x2, 204, x4) + +inst_579: +// rs2_val == -268435457, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x10000001 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0x10000001, x2, 208, x4) + +inst_580: +// rs2_val == -134217729, rs1_val == -65537 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x8000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x10001, -0x8000001, x2, 212, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x12_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x12_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 54*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S new file mode 100644 index 000000000..8c53c538c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S @@ -0,0 +1,2890 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slti instruction of the RISC-V E extension for the slti covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slti) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x3, rd==x11, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, rs1_val == 512, imm_val == -2048 +// opcode: slti ; op1:x3; dest:x11; op1val:0x200; immval:-0x800 +TEST_IMM_OP( slti, x11, x3, 0x0, 0x200, -0x800, x4, 0, x8) + +inst_1: +// rs1 == rd, rs1==x7, rd==x7, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: slti ; op1:x7; dest:x7; op1val:0x7fffffff; immval:0x665 +TEST_IMM_OP( slti, x7, x7, 0x0, 0x7fffffff, 0x665, x4, 4, x8) + +inst_2: +// rs1==x13, rd==x3, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 +// opcode: slti ; op1:x13; dest:x3; op1val:-0x40000001; immval:-0x800 +TEST_IMM_OP( slti, x3, x13, 0x1, -0x40000001, -0x800, x4, 8, x8) + +inst_3: +// rs1==x0, rd==x14, rs1_val == -536870913, +// opcode: slti ; op1:x0; dest:x14; op1val:0x0; immval:-0x6 +TEST_IMM_OP( slti, x14, x0, 0x0, 0x0, -0x6, x4, 12, x8) + +inst_4: +// rs1==x15, rd==x10, rs1_val == -268435457, imm_val == -513 +// opcode: slti ; op1:x15; dest:x10; op1val:-0x10000001; immval:-0x201 +TEST_IMM_OP( slti, x10, x15, 0x1, -0x10000001, -0x201, x4, 16, x8) + +inst_5: +// rs1==x6, rd==x5, rs1_val == -134217729, rs1_val < 0 and imm_val > 0, imm_val == 2 +// opcode: slti ; op1:x6; dest:x5; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( slti, x5, x6, 0x1, -0x8000001, 0x2, x4, 20, x8) + +inst_6: +// rs1==x12, rd==x13, rs1_val == -67108865, imm_val == 1024 +// opcode: slti ; op1:x12; dest:x13; op1val:-0x4000001; immval:0x400 +TEST_IMM_OP( slti, x13, x12, 0x1, -0x4000001, 0x400, x4, 24, x8) + +inst_7: +// rs1==x2, rd==x1, rs1_val == -33554433, +// opcode: slti ; op1:x2; dest:x1; op1val:-0x2000001; immval:-0x6 +TEST_IMM_OP( slti, x1, x2, 0x1, -0x2000001, -0x6, x4, 28, x8) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x9, rd==x6, rs1_val == -16777217, +// opcode: slti ; op1:x9; dest:x6; op1val:-0x1000001; immval:0x7 +TEST_IMM_OP( slti, x6, x9, 0x1, -0x1000001, 0x7, x3, 0, x7) + +inst_9: +// rs1==x8, rd==x4, rs1_val == -8388609, imm_val == 512 +// opcode: slti ; op1:x8; dest:x4; op1val:-0x800001; immval:0x200 +TEST_IMM_OP( slti, x4, x8, 0x1, -0x800001, 0x200, x3, 4, x7) + +inst_10: +// rs1==x10, rd==x0, rs1_val == -4194305, +// opcode: slti ; op1:x10; dest:x0; op1val:-0x400001; immval:0x2d +TEST_IMM_OP( slti, x0, x10, 0, -0x400001, 0x2d, x3, 8, x7) + +inst_11: +// rs1==x1, rd==x2, rs1_val == -2097153, +// opcode: slti ; op1:x1; dest:x2; op1val:-0x200001; immval:0x665 +TEST_IMM_OP( slti, x2, x1, 0x1, -0x200001, 0x665, x3, 12, x7) + +inst_12: +// rs1==x14, rd==x8, rs1_val == -1048577, imm_val == 4 +// opcode: slti ; op1:x14; dest:x8; op1val:-0x100001; immval:0x4 +TEST_IMM_OP( slti, x8, x14, 0x1, -0x100001, 0x4, x3, 16, x7) + +inst_13: +// rs1==x5, rd==x12, rs1_val == -524289, imm_val == -1366 +// opcode: slti ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x556 +TEST_IMM_OP( slti, x12, x5, 0x1, -0x80001, -0x556, x3, 20, x7) + +inst_14: +// rs1==x4, rd==x9, rs1_val == -262145, imm_val == 1 +// opcode: slti ; op1:x4; dest:x9; op1val:-0x40001; immval:0x1 +TEST_IMM_OP( slti, x9, x4, 0x1, -0x40001, 0x1, x3, 24, x7) + +inst_15: +// rs1==x11, rd==x15, rs1_val == -131073, +// opcode: slti ; op1:x11; dest:x15; op1val:-0x20001; immval:0x4 +TEST_IMM_OP( slti, x15, x11, 0x1, -0x20001, 0x4, x3, 28, x7) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_16: +// rs1_val == -65537, imm_val == 32 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x10001; immval:0x20 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x10001, 0x20, x1, 0, x2) + +inst_17: +// rs1_val == -32769, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x8001; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x8001, 0x554, x1, 4, x2) + +inst_18: +// rs1_val == -16385, imm_val == -1025 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x401 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x4001, -0x401, x1, 8, x2) + +inst_19: +// rs1_val == -8193, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2001; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x2001, 0x2c, x1, 12, x2) + +inst_20: +// rs1_val == -4097, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x1001, -0x6, x1, 16, x2) + +inst_21: +// rs1_val == -2049, imm_val == 0 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x801; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x801, 0x0, x1, 20, x2) + +inst_22: +// rs1_val == -1025, rs1_val == imm_val +// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x401 +TEST_IMM_OP( slti, x11, x10, 0x0, -0x401, -0x401, x1, 24, x2) + +inst_23: +// rs1_val == -513, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x201, -0x6, x1, 28, x2) + +inst_24: +// rs1_val == -257, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x101, 0x3, x1, 32, x2) + +inst_25: +// rs1_val == -129, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x81; immval:0x200 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x81, 0x200, x1, 36, x2) + +inst_26: +// rs1_val == -65, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x41, -0x2c, x1, 40, x2) + +inst_27: +// rs1_val == -33, imm_val == 8 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x21, 0x8, x1, 44, x2) + +inst_28: +// rs1_val == -17, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x11; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x11, 0x332, x1, 48, x2) + +inst_29: +// rs1_val == -9, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x9; immval:0x3ff +TEST_IMM_OP( slti, x11, x10, 0x1, -0x9, 0x3ff, x1, 52, x2) + +inst_30: +// rs1_val == -5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x5; immval:0x400 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x5, 0x400, x1, 56, x2) + +inst_31: +// rs1_val == -3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x2, x1, 60, x2) + +inst_32: +// rs1_val == -2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, -0x2, -0xa, x1, 64, x2) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1) +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x7ff +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x7ff, x1, 68, x2) + +inst_34: +// imm_val == -257, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x400001; immval:-0x101 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x400001, -0x101, x1, 72, x2) + +inst_35: +// imm_val == -129, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x4; immval:-0x81 +TEST_IMM_OP( slti, x11, x10, 0x0, -0x4, -0x81, x1, 76, x2) + +inst_36: +// imm_val == -65, +// opcode: slti ; op1:x10; dest:x11; op1val:0x7fffffff; immval:-0x41 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x7fffffff, -0x41, x1, 80, x2) + +inst_37: +// imm_val == -33, +// opcode: slti ; op1:x10; dest:x11; op1val:0x7; immval:-0x21 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x7, -0x21, x1, 84, x2) + +inst_38: +// imm_val == -17, rs1_val == 32 +// opcode: slti ; op1:x10; dest:x11; op1val:0x20; immval:-0x11 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20, -0x11, x1, 88, x2) + +inst_39: +// imm_val == -9, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2000001; immval:-0x9 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x2000001, -0x9, x1, 92, x2) + +inst_40: +// imm_val == -5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x401, -0x5, x1, 96, x2) + +inst_41: +// imm_val == -3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x3, x1, 100, x2) + +inst_42: +// imm_val == -2, rs1_val == 0 +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2, x1, 104, x2) + +inst_43: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: slti ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x80000000, 0x667, x1, 108, x2) + +inst_44: +// rs1_val == 1073741824, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40000000; immval:0x9 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000000, 0x9, x1, 112, x2) + +inst_45: +// rs1_val == 536870912, +// opcode: slti ; op1:x10; dest:x11; op1val:0x20000000; immval:-0x8 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000000, -0x8, x1, 116, x2) + +inst_46: +// rs1_val == 268435456, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000000, 0x7, x1, 120, x2) + +inst_47: +// rs1_val == 134217728, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000000, -0x4, x1, 124, x2) + +inst_48: +// rs1_val == 67108864, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x2e, x1, 128, x2) + +inst_49: +// rs1_val == 33554432, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000000, 0x556, x1, 132, x2) + +inst_50: +// rs1_val == 16777216, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000000, -0x556, x1, 136, x2) + +inst_51: +// rs1_val == 8388608, +// opcode: slti ; op1:x10; dest:x11; op1val:0x800000; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x800000, 0x4, x1, 140, x2) + +inst_52: +// rs1_val == 4194304, imm_val == 1365 +// opcode: slti ; op1:x10; dest:x11; op1val:0x400000; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x400000, 0x555, x1, 144, x2) + +inst_53: +// rs1_val == 2097152, +// opcode: slti ; op1:x10; dest:x11; op1val:0x200000; immval:-0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x200000, -0x400, x1, 148, x2) + +inst_54: +// rs1_val == 1048576, +// opcode: slti ; op1:x10; dest:x11; op1val:0x100000; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x100000, 0x2c, x1, 152, x2) + +inst_55: +// rs1_val == 524288, +// opcode: slti ; op1:x10; dest:x11; op1val:0x80000; immval:-0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x80000, -0x4, x1, 156, x2) + +inst_56: +// rs1_val == 262144, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40000; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000, 0x2, x1, 160, x2) + +inst_57: +// rs1_val == 131072, +// opcode: slti ; op1:x10; dest:x11; op1val:0x20000; immval:0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000, 0x400, x1, 164, x2) + +inst_58: +// rs1_val == 65536, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10000; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000, -0x2c, x1, 168, x2) + +inst_59: +// rs1_val == 32768, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8000; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000, 0x6, x1, 172, x2) + +inst_60: +// rs1_val == 16384, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000, 0x0, x1, 176, x2) + +inst_61: +// rs1_val == 8192, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2000; immval:0x200 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000, 0x200, x1, 180, x2) + +inst_62: +// rs1_val == 4096, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1000; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000, 0x3, x1, 184, x2) + +inst_63: +// rs1_val == 2048, +// opcode: slti ; op1:x10; dest:x11; op1val:0x800; immval:-0x81 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x800, -0x81, x1, 188, x2) + +inst_64: +// rs1_val == 1024, +// opcode: slti ; op1:x10; dest:x11; op1val:0x400; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, 0x400, -0xa, x1, 192, x2) + +inst_65: +// rs1_val == 256, +// opcode: slti ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff +TEST_IMM_OP( slti, x11, x10, 0x1, 0x100, 0x3ff, x1, 196, x2) + +inst_66: +// rs1_val == 128, +// opcode: slti ; op1:x10; dest:x11; op1val:0x80; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x80, 0x4, x1, 200, x2) + +inst_67: +// rs1_val == 64, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40; immval:-0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40, -0x400, x1, 204, x2) + +inst_68: +// rs1_val == 16, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x10, 0x2e, x1, 208, x2) + +inst_69: +// rs1_val == 8, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8, 0x3, x1, 212, x2) + +inst_70: +// rs1_val == 4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0xa, x1, 216, x2) + +inst_71: +// rs1_val == 2, rs1_val==2 and imm_val==45 +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2d, x1, 220, x2) + +inst_72: +// rs1_val == 1, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1, -0x3, x1, 224, x2) + +inst_73: +// imm_val == 256, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x100 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x100, x1, 228, x2) + +inst_74: +// imm_val == 128, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x80 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x80, x1, 232, x2) + +inst_75: +// imm_val == 64, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x40 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x40, x1, 236, x2) + +inst_76: +// imm_val == 16, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x10 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x10, x1, 240, x2) + +inst_77: +// rs1_val==46341 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2e, x1, 244, x2) + +inst_78: +// rs1_val==46341 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2c, x1, 248, x2) + +inst_79: +// rs1_val==46341 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x667, x1, 252, x2) + +inst_80: +// rs1_val==46341 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x334, x1, 256, x2) + +inst_81: +// rs1_val==46341 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x6, x1, 260, x2) + +inst_82: +// rs1_val==46341 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x555, x1, 264, x2) + +inst_83: +// rs1_val==46341 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x556, x1, 268, x2) + +inst_84: +// rs1_val==46341 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x4, x1, 272, x2) + +inst_85: +// rs1_val==46341 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2c, x1, 276, x2) + +inst_86: +// rs1_val==46341 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x0, x1, 280, x2) + +inst_87: +// rs1_val==46341 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x665, x1, 284, x2) + +inst_88: +// rs1_val==46341 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x332, x1, 288, x2) + +inst_89: +// rs1_val==46341 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x554, x1, 292, x2) + +inst_90: +// rs1_val==46341 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2, x1, 296, x2) + +inst_91: +// rs1_val==46341 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2d, x1, 300, x2) + +inst_92: +// rs1_val==46341 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2d, x1, 304, x2) + +inst_93: +// rs1_val==46341 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x666, x1, 308, x2) + +inst_94: +// rs1_val==46341 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x333, x1, 312, x2) + +inst_95: +// rs1_val==46341 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x5, x1, 316, x2) + +inst_96: +// rs1_val==46341 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x556, x1, 320, x2) + +inst_97: +// rs1_val==46341 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x555, x1, 324, x2) + +inst_98: +// rs1_val==46341 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x3, x1, 328, x2) + +inst_99: +// rs1_val==-46339 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2e, x1, 332, x2) + +inst_100: +// rs1_val==-46339 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2c, x1, 336, x2) + +inst_101: +// rs1_val==-46339 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x667, x1, 340, x2) + +inst_102: +// rs1_val==-46339 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x334, x1, 344, x2) + +inst_103: +// rs1_val==-46339 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x6, x1, 348, x2) + +inst_104: +// rs1_val==-46339 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x555, x1, 352, x2) + +inst_105: +// rs1_val==-46339 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x556, x1, 356, x2) + +inst_106: +// rs1_val==-46339 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x4, x1, 360, x2) + +inst_107: +// rs1_val==-46339 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2c, x1, 364, x2) + +inst_108: +// rs1_val==-46339 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x0, x1, 368, x2) + +inst_109: +// rs1_val==-46339 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x665, x1, 372, x2) + +inst_110: +// rs1_val==-46339 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x332, x1, 376, x2) + +inst_111: +// rs1_val==-46339 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x554, x1, 380, x2) + +inst_112: +// rs1_val==-46339 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2, x1, 384, x2) + +inst_113: +// rs1_val==-46339 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2d, x1, 388, x2) + +inst_114: +// rs1_val==-46339 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2d, x1, 392, x2) + +inst_115: +// rs1_val==-46339 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x666, x1, 396, x2) + +inst_116: +// rs1_val==-46339 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x333, x1, 400, x2) + +inst_117: +// rs1_val==-46339 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x5, x1, 404, x2) + +inst_118: +// rs1_val==-46339 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x556, x1, 408, x2) + +inst_119: +// rs1_val==-46339 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x555, x1, 412, x2) + +inst_120: +// rs1_val==-46339 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x3, x1, 416, x2) + +inst_121: +// rs1_val==1717986919 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2e, x1, 420, x2) + +inst_122: +// rs1_val==1717986919 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2c, x1, 424, x2) + +inst_123: +// rs1_val==1717986919 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x667, x1, 428, x2) + +inst_124: +// rs1_val==1717986919 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x334, x1, 432, x2) + +inst_125: +// rs1_val==1717986919 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x6, x1, 436, x2) + +inst_126: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x555, x1, 440, x2) + +inst_127: +// rs1_val==1717986919 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x556, x1, 444, x2) + +inst_128: +// rs1_val==1717986919 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x4, x1, 448, x2) + +inst_129: +// rs1_val==1717986919 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2c, x1, 452, x2) + +inst_130: +// rs1_val==1717986919 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x0, x1, 456, x2) + +inst_131: +// rs1_val==1717986919 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x665, x1, 460, x2) + +inst_132: +// rs1_val==1717986919 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x332, x1, 464, x2) + +inst_133: +// rs1_val==1717986919 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x554, x1, 468, x2) + +inst_134: +// rs1_val==1717986919 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2, x1, 472, x2) + +inst_135: +// rs1_val==1717986919 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2d, x1, 476, x2) + +inst_136: +// rs1_val==1717986919 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2d, x1, 480, x2) + +inst_137: +// rs1_val==1717986919 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x666, x1, 484, x2) + +inst_138: +// rs1_val==1717986919 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x333, x1, 488, x2) + +inst_139: +// rs1_val==1717986919 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x5, x1, 492, x2) + +inst_140: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x556, x1, 496, x2) + +inst_141: +// rs1_val==1717986919 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x555, x1, 500, x2) + +inst_142: +// rs1_val==1717986919 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x3, x1, 504, x2) + +inst_143: +// rs1_val==858993460 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2e, x1, 508, x2) + +inst_144: +// rs1_val==858993460 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2c, x1, 512, x2) + +inst_145: +// rs1_val==858993460 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x667, x1, 516, x2) + +inst_146: +// rs1_val==858993460 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x334, x1, 520, x2) + +inst_147: +// rs1_val==858993460 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x6, x1, 524, x2) + +inst_148: +// rs1_val==858993460 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x555, x1, 528, x2) + +inst_149: +// rs1_val==858993460 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x556, x1, 532, x2) + +inst_150: +// rs1_val==858993460 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x4, x1, 536, x2) + +inst_151: +// rs1_val==858993460 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2c, x1, 540, x2) + +inst_152: +// rs1_val==858993460 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x0, x1, 544, x2) + +inst_153: +// rs1_val==858993460 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x665, x1, 548, x2) + +inst_154: +// rs1_val==858993460 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x332, x1, 552, x2) + +inst_155: +// rs1_val==858993460 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x554, x1, 556, x2) + +inst_156: +// rs1_val==858993460 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2, x1, 560, x2) + +inst_157: +// rs1_val==858993460 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2d, x1, 564, x2) + +inst_158: +// rs1_val==858993460 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2d, x1, 568, x2) + +inst_159: +// rs1_val==858993460 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x666, x1, 572, x2) + +inst_160: +// rs1_val==858993460 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x333, x1, 576, x2) + +inst_161: +// rs1_val==858993460 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x5, x1, 580, x2) + +inst_162: +// rs1_val==858993460 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x556, x1, 584, x2) + +inst_163: +// rs1_val==858993460 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x555, x1, 588, x2) + +inst_164: +// rs1_val==858993460 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x3, x1, 592, x2) + +inst_165: +// rs1_val==6 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2e, x1, 596, x2) + +inst_166: +// rs1_val==6 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2c, x1, 600, x2) + +inst_167: +// rs1_val==6 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x667, x1, 604, x2) + +inst_168: +// rs1_val==6 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x334, x1, 608, x2) + +inst_169: +// rs1_val==6 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x6, x1, 612, x2) + +inst_170: +// rs1_val==6 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x555, x1, 616, x2) + +inst_171: +// rs1_val==6 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x556, x1, 620, x2) + +inst_172: +// rs1_val==6 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x4, x1, 624, x2) + +inst_173: +// rs1_val==6 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2c, x1, 628, x2) + +inst_174: +// rs1_val==6 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x0, x1, 632, x2) + +inst_175: +// rs1_val==6 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x665, x1, 636, x2) + +inst_176: +// rs1_val==6 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x332, x1, 640, x2) + +inst_177: +// rs1_val==6 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x554, x1, 644, x2) + +inst_178: +// rs1_val==6 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x2, x1, 648, x2) + +inst_179: +// rs1_val==6 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2d, x1, 652, x2) + +inst_180: +// rs1_val==6 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2d, x1, 656, x2) + +inst_181: +// rs1_val==6 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x666, x1, 660, x2) + +inst_182: +// rs1_val==6 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x333, x1, 664, x2) + +inst_183: +// rs1_val==6 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x5, x1, 668, x2) + +inst_184: +// rs1_val==6 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x556, x1, 672, x2) + +inst_185: +// rs1_val==6 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x555, x1, 676, x2) + +inst_186: +// rs1_val==6 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x3, x1, 680, x2) + +inst_187: +// rs1_val==-1431655765 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2e, x1, 684, x2) + +inst_188: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2c, x1, 688, x2) + +inst_189: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x667, x1, 692, x2) + +inst_190: +// rs1_val==-1431655765 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x334, x1, 696, x2) + +inst_191: +// rs1_val==-1431655765 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x6, x1, 700, x2) + +inst_192: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x555, x1, 704, x2) + +inst_193: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x556, x1, 708, x2) + +inst_194: +// rs1_val==-1431655765 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x4, x1, 712, x2) + +inst_195: +// rs1_val==-1431655765 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2c, x1, 716, x2) + +inst_196: +// rs1_val==-1431655765 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x0, x1, 720, x2) + +inst_197: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x665, x1, 724, x2) + +inst_198: +// rs1_val==-1431655765 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x332, x1, 728, x2) + +inst_199: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x554, x1, 732, x2) + +inst_200: +// rs1_val==-1431655765 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2, x1, 736, x2) + +inst_201: +// rs1_val==-1431655765 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2d, x1, 740, x2) + +inst_202: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2d, x1, 744, x2) + +inst_203: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x666, x1, 748, x2) + +inst_204: +// rs1_val==-1431655765 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x333, x1, 752, x2) + +inst_205: +// rs1_val==-1431655765 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x5, x1, 756, x2) + +inst_206: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x556, x1, 760, x2) + +inst_207: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x555, x1, 764, x2) + +inst_208: +// rs1_val==-1431655765 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x3, x1, 768, x2) + +inst_209: +// rs1_val==1431655766 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2e, x1, 772, x2) + +inst_210: +// rs1_val==1431655766 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2c, x1, 776, x2) + +inst_211: +// rs1_val==1431655766 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x667, x1, 780, x2) + +inst_212: +// rs1_val==1431655766 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x334, x1, 784, x2) + +inst_213: +// rs1_val==1431655766 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x6, x1, 788, x2) + +inst_214: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x555, x1, 792, x2) + +inst_215: +// rs1_val==1431655766 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x556, x1, 796, x2) + +inst_216: +// rs1_val==1431655766 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x4, x1, 800, x2) + +inst_217: +// rs1_val==1431655766 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2c, x1, 804, x2) + +inst_218: +// rs1_val==1431655766 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x0, x1, 808, x2) + +inst_219: +// rs1_val==1431655766 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x665, x1, 812, x2) + +inst_220: +// rs1_val==1431655766 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x332, x1, 816, x2) + +inst_221: +// rs1_val==1431655766 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x554, x1, 820, x2) + +inst_222: +// rs1_val==1431655766 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2, x1, 824, x2) + +inst_223: +// rs1_val==1431655766 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2d, x1, 828, x2) + +inst_224: +// rs1_val==1431655766 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2d, x1, 832, x2) + +inst_225: +// rs1_val==1431655766 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x666, x1, 836, x2) + +inst_226: +// rs1_val==1431655766 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x333, x1, 840, x2) + +inst_227: +// rs1_val==1431655766 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x5, x1, 844, x2) + +inst_228: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x556, x1, 848, x2) + +inst_229: +// rs1_val==1431655766 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x555, x1, 852, x2) + +inst_230: +// rs1_val==1431655766 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x3, x1, 856, x2) + +inst_231: +// rs1_val==4 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2e, x1, 860, x2) + +inst_232: +// rs1_val==4 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2c, x1, 864, x2) + +inst_233: +// rs1_val==4 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x667, x1, 868, x2) + +inst_234: +// rs1_val==4 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x334, x1, 872, x2) + +inst_235: +// rs1_val==4 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x6, x1, 876, x2) + +inst_236: +// rs1_val==4 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x555, x1, 880, x2) + +inst_237: +// rs1_val==4 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x556, x1, 884, x2) + +inst_238: +// rs1_val==4 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x4, x1, 888, x2) + +inst_239: +// rs1_val==4 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2c, x1, 892, x2) + +inst_240: +// rs1_val==4 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x0, x1, 896, x2) + +inst_241: +// rs1_val==4 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x665, x1, 900, x2) + +inst_242: +// rs1_val==4 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x332, x1, 904, x2) + +inst_243: +// rs1_val==4 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x554, x1, 908, x2) + +inst_244: +// rs1_val==4 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x2, x1, 912, x2) + +inst_245: +// rs1_val==4 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2d, x1, 916, x2) + +inst_246: +// rs1_val==4 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2d, x1, 920, x2) + +inst_247: +// rs1_val==4 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x666, x1, 924, x2) + +inst_248: +// rs1_val==4 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x333, x1, 928, x2) + +inst_249: +// rs1_val==4 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x5, x1, 932, x2) + +inst_250: +// rs1_val==4 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x556, x1, 936, x2) + +inst_251: +// rs1_val==4 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x555, x1, 940, x2) + +inst_252: +// rs1_val==4 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x3, x1, 944, x2) + +inst_253: +// rs1_val==46339 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2e, x1, 948, x2) + +inst_254: +// rs1_val==46339 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2c, x1, 952, x2) + +inst_255: +// rs1_val==46339 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x667, x1, 956, x2) + +inst_256: +// rs1_val==46339 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x334, x1, 960, x2) + +inst_257: +// rs1_val==46339 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x6, x1, 964, x2) + +inst_258: +// rs1_val==46339 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x555, x1, 968, x2) + +inst_259: +// rs1_val==46339 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x556, x1, 972, x2) + +inst_260: +// rs1_val==46339 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x4, x1, 976, x2) + +inst_261: +// rs1_val==46339 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2c, x1, 980, x2) + +inst_262: +// rs1_val==46339 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x0, x1, 984, x2) + +inst_263: +// rs1_val==46339 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x665, x1, 988, x2) + +inst_264: +// rs1_val==46339 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x332, x1, 992, x2) + +inst_265: +// rs1_val==46339 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x554, x1, 996, x2) + +inst_266: +// rs1_val==46339 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2, x1, 1000, x2) + +inst_267: +// rs1_val==46339 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2d, x1, 1004, x2) + +inst_268: +// rs1_val==46339 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2d, x1, 1008, x2) + +inst_269: +// rs1_val==46339 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x666, x1, 1012, x2) + +inst_270: +// rs1_val==46339 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x333, x1, 1016, x2) + +inst_271: +// rs1_val==46339 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x5, x1, 1020, x2) + +inst_272: +// rs1_val==46339 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x556, x1, 1024, x2) + +inst_273: +// rs1_val==46339 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x555, x1, 1028, x2) + +inst_274: +// rs1_val==46339 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x3, x1, 1032, x2) + +inst_275: +// rs1_val==0 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2e, x1, 1036, x2) + +inst_276: +// rs1_val==0 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2c, x1, 1040, x2) + +inst_277: +// rs1_val==0 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x667, x1, 1044, x2) + +inst_278: +// rs1_val==0 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x334, x1, 1048, x2) + +inst_279: +// rs1_val==0 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x6, x1, 1052, x2) + +inst_280: +// rs1_val==0 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x555, x1, 1056, x2) + +inst_281: +// rs1_val==0 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x556, x1, 1060, x2) + +inst_282: +// rs1_val==0 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x4, x1, 1064, x2) + +inst_283: +// rs1_val==0 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2c, x1, 1068, x2) + +inst_284: +// rs1_val==0 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, 0x0, x1, 1072, x2) + +inst_285: +// rs1_val==0 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x665, x1, 1076, x2) + +inst_286: +// rs1_val==0 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x332, x1, 1080, x2) + +inst_287: +// rs1_val==0 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x554, x1, 1084, x2) + +inst_288: +// rs1_val==0 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2, x1, 1088, x2) + +inst_289: +// rs1_val==0 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2d, x1, 1092, x2) + +inst_290: +// rs1_val==0 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2d, x1, 1096, x2) + +inst_291: +// rs1_val==0 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x666, x1, 1100, x2) + +inst_292: +// rs1_val==0 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x333, x1, 1104, x2) + +inst_293: +// rs1_val==0 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x5, x1, 1108, x2) + +inst_294: +// rs1_val==0 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x556, x1, 1112, x2) + +inst_295: +// rs1_val==0 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x555, x1, 1116, x2) + +inst_296: +// rs1_val==0 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x3, x1, 1120, x2) + +inst_297: +// rs1_val==1717986917 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1124, x2) + +inst_298: +// rs1_val==1717986917 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2c, x1, 1128, x2) + +inst_299: +// rs1_val==1717986917 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x667, x1, 1132, x2) + +inst_300: +// rs1_val==1717986917 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x334, x1, 1136, x2) + +inst_301: +// rs1_val==1717986917 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x6, x1, 1140, x2) + +inst_302: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x555, x1, 1144, x2) + +inst_303: +// rs1_val==1717986917 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x556, x1, 1148, x2) + +inst_304: +// rs1_val==1717986917 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x4, x1, 1152, x2) + +inst_305: +// rs1_val==1717986917 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1156, x2) + +inst_306: +// rs1_val==1717986917 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x0, x1, 1160, x2) + +inst_307: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x555, x1, 1164, x2) + +inst_308: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x556, x1, 1168, x2) + +inst_309: +// rs1_val==-1431655766 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x4, x1, 1172, x2) + +inst_310: +// rs1_val==-1431655766 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2c, x1, 1176, x2) + +inst_311: +// rs1_val==-1431655766 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x0, x1, 1180, x2) + +inst_312: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x665, x1, 1184, x2) + +inst_313: +// rs1_val==-1431655766 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x332, x1, 1188, x2) + +inst_314: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x554, x1, 1192, x2) + +inst_315: +// rs1_val==-1431655766 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2, x1, 1196, x2) + +inst_316: +// rs1_val==-1431655766 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2d, x1, 1200, x2) + +inst_317: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2d, x1, 1204, x2) + +inst_318: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x666, x1, 1208, x2) + +inst_319: +// rs1_val==-1431655766 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x333, x1, 1212, x2) + +inst_320: +// rs1_val==-1431655766 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x5, x1, 1216, x2) + +inst_321: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x556, x1, 1220, x2) + +inst_322: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x555, x1, 1224, x2) + +inst_323: +// rs1_val==-1431655766 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x3, x1, 1228, x2) + +inst_324: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2e, x1, 1232, x2) + +inst_325: +// rs1_val==1431655765 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2c, x1, 1236, x2) + +inst_326: +// rs1_val==1431655765 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x667, x1, 1240, x2) + +inst_327: +// rs1_val==1431655765 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x334, x1, 1244, x2) + +inst_328: +// rs1_val==1431655765 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x6, x1, 1248, x2) + +inst_329: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x555, x1, 1252, x2) + +inst_330: +// rs1_val==1431655765 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x556, x1, 1256, x2) + +inst_331: +// rs1_val==1431655765 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x4, x1, 1260, x2) + +inst_332: +// rs1_val==1431655765 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2c, x1, 1264, x2) + +inst_333: +// rs1_val==1431655765 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x0, x1, 1268, x2) + +inst_334: +// rs1_val==1431655765 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x665, x1, 1272, x2) + +inst_335: +// rs1_val==1431655765 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x332, x1, 1276, x2) + +inst_336: +// rs1_val==1431655765 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x554, x1, 1280, x2) + +inst_337: +// rs1_val==1431655765 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2, x1, 1284, x2) + +inst_338: +// rs1_val==1431655765 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2d, x1, 1288, x2) + +inst_339: +// rs1_val==1431655765 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2d, x1, 1292, x2) + +inst_340: +// rs1_val==1431655765 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x666, x1, 1296, x2) + +inst_341: +// rs1_val==1431655765 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x333, x1, 1300, x2) + +inst_342: +// rs1_val==1431655765 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x5, x1, 1304, x2) + +inst_343: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x556, x1, 1308, x2) + +inst_344: +// rs1_val==1431655765 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x555, x1, 1312, x2) + +inst_345: +// rs1_val==1431655765 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x3, x1, 1316, x2) + +inst_346: +// rs1_val==3 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2e, x1, 1320, x2) + +inst_347: +// rs1_val==3 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2c, x1, 1324, x2) + +inst_348: +// rs1_val==3 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x667, x1, 1328, x2) + +inst_349: +// rs1_val==3 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x334, x1, 1332, x2) + +inst_350: +// rs1_val==3 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x6, x1, 1336, x2) + +inst_351: +// rs1_val==3 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x555, x1, 1340, x2) + +inst_352: +// rs1_val==3 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x556, x1, 1344, x2) + +inst_353: +// rs1_val==3 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x4, x1, 1348, x2) + +inst_354: +// rs1_val==3 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2c, x1, 1352, x2) + +inst_355: +// rs1_val==3 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x0, x1, 1356, x2) + +inst_356: +// rs1_val==3 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x665, x1, 1360, x2) + +inst_357: +// rs1_val==3 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x332, x1, 1364, x2) + +inst_358: +// rs1_val==3 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x554, x1, 1368, x2) + +inst_359: +// rs1_val==3 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x2, x1, 1372, x2) + +inst_360: +// rs1_val==3 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2d, x1, 1376, x2) + +inst_361: +// rs1_val==3 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2d, x1, 1380, x2) + +inst_362: +// rs1_val==3 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x666, x1, 1384, x2) + +inst_363: +// rs1_val==3 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x333, x1, 1388, x2) + +inst_364: +// rs1_val==3 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x5, x1, 1392, x2) + +inst_365: +// rs1_val==3 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x556, x1, 1396, x2) + +inst_366: +// rs1_val==3 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x555, x1, 1400, x2) + +inst_367: +// rs1_val==3 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x3, x1, 1404, x2) + +inst_368: +// rs1_val==1717986917 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x665, x1, 1408, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x332, x1, 1412, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x554, x1, 1416, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2, x1, 1420, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1424, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2d, x1, 1428, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x666, x1, 1432, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x333, x1, 1436, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x5, x1, 1440, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x556, x1, 1444, x2) + +inst_378: +// rs1_val==1717986917 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x555, x1, 1448, x2) + +inst_379: +// rs1_val==1717986917 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x3, x1, 1452, x2) + +inst_380: +// rs1_val==858993458 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1456, x2) + +inst_381: +// rs1_val==858993458 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2c, x1, 1460, x2) + +inst_382: +// rs1_val==858993458 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x667, x1, 1464, x2) + +inst_383: +// rs1_val==858993458 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x334, x1, 1468, x2) + +inst_384: +// rs1_val==858993458 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x6, x1, 1472, x2) + +inst_385: +// rs1_val==858993458 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x555, x1, 1476, x2) + +inst_386: +// rs1_val==858993458 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x556, x1, 1480, x2) + +inst_387: +// rs1_val==858993458 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x4, x1, 1484, x2) + +inst_388: +// rs1_val==858993458 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1488, x2) + +inst_389: +// rs1_val==858993458 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x0, x1, 1492, x2) + +inst_390: +// rs1_val==858993458 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x665, x1, 1496, x2) + +inst_391: +// rs1_val==858993458 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x332, x1, 1500, x2) + +inst_392: +// rs1_val==858993458 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x554, x1, 1504, x2) + +inst_393: +// rs1_val==858993458 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2, x1, 1508, x2) + +inst_394: +// rs1_val==858993458 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1512, x2) + +inst_395: +// rs1_val==858993458 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2d, x1, 1516, x2) + +inst_396: +// rs1_val==858993458 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x666, x1, 1520, x2) + +inst_397: +// rs1_val==858993458 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x333, x1, 1524, x2) + +inst_398: +// rs1_val==858993458 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x5, x1, 1528, x2) + +inst_399: +// rs1_val==858993458 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x556, x1, 1532, x2) + +inst_400: +// rs1_val==858993458 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x555, x1, 1536, x2) + +inst_401: +// rs1_val==858993458 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x3, x1, 1540, x2) + +inst_402: +// rs1_val==1431655764 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2e, x1, 1544, x2) + +inst_403: +// rs1_val==1431655764 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2c, x1, 1548, x2) + +inst_404: +// rs1_val==1431655764 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x667, x1, 1552, x2) + +inst_405: +// rs1_val==1431655764 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x334, x1, 1556, x2) + +inst_406: +// rs1_val==1431655764 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x6, x1, 1560, x2) + +inst_407: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x555, x1, 1564, x2) + +inst_408: +// rs1_val==1431655764 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x556, x1, 1568, x2) + +inst_409: +// rs1_val==1431655764 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x4, x1, 1572, x2) + +inst_410: +// rs1_val==1431655764 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2c, x1, 1576, x2) + +inst_411: +// rs1_val==1431655764 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x0, x1, 1580, x2) + +inst_412: +// rs1_val==1431655764 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x665, x1, 1584, x2) + +inst_413: +// rs1_val==1431655764 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x332, x1, 1588, x2) + +inst_414: +// rs1_val==1431655764 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x554, x1, 1592, x2) + +inst_415: +// rs1_val==1431655764 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2, x1, 1596, x2) + +inst_416: +// rs1_val==1431655764 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2d, x1, 1600, x2) + +inst_417: +// rs1_val==1431655764 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2d, x1, 1604, x2) + +inst_418: +// rs1_val==1431655764 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x666, x1, 1608, x2) + +inst_419: +// rs1_val==1431655764 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x333, x1, 1612, x2) + +inst_420: +// rs1_val==1431655764 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x5, x1, 1616, x2) + +inst_421: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x556, x1, 1620, x2) + +inst_422: +// rs1_val==1431655764 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x555, x1, 1624, x2) + +inst_423: +// rs1_val==1431655764 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x3, x1, 1628, x2) + +inst_424: +// rs1_val==2 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2e, x1, 1632, x2) + +inst_425: +// rs1_val==2 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2c, x1, 1636, x2) + +inst_426: +// rs1_val==2 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x667, x1, 1640, x2) + +inst_427: +// rs1_val==2 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x334, x1, 1644, x2) + +inst_428: +// rs1_val==2 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x6, x1, 1648, x2) + +inst_429: +// rs1_val==2 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x555, x1, 1652, x2) + +inst_430: +// rs1_val==2 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x556, x1, 1656, x2) + +inst_431: +// rs1_val==2 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x4, x1, 1660, x2) + +inst_432: +// rs1_val==2 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2c, x1, 1664, x2) + +inst_433: +// rs1_val==2 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x0, x1, 1668, x2) + +inst_434: +// rs1_val==2 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x665, x1, 1672, x2) + +inst_435: +// rs1_val==2 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x332, x1, 1676, x2) + +inst_436: +// rs1_val==2 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x554, x1, 1680, x2) + +inst_437: +// rs1_val==2 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x2, x1, 1684, x2) + +inst_438: +// rs1_val==2 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2d, x1, 1688, x2) + +inst_439: +// rs1_val==2 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x666, x1, 1692, x2) + +inst_440: +// rs1_val==2 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x333, x1, 1696, x2) + +inst_441: +// rs1_val==2 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x5, x1, 1700, x2) + +inst_442: +// rs1_val==2 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x556, x1, 1704, x2) + +inst_443: +// rs1_val==2 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x555, x1, 1708, x2) + +inst_444: +// rs1_val==2 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x3, x1, 1712, x2) + +inst_445: +// rs1_val==46340 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2e, x1, 1716, x2) + +inst_446: +// rs1_val==46340 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2c, x1, 1720, x2) + +inst_447: +// rs1_val==46340 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x667, x1, 1724, x2) + +inst_448: +// rs1_val==46340 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x334, x1, 1728, x2) + +inst_449: +// rs1_val==46340 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x6, x1, 1732, x2) + +inst_450: +// rs1_val==46340 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x555, x1, 1736, x2) + +inst_451: +// rs1_val==46340 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x556, x1, 1740, x2) + +inst_452: +// rs1_val==46340 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x4, x1, 1744, x2) + +inst_453: +// rs1_val==46340 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2c, x1, 1748, x2) + +inst_454: +// rs1_val==46340 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x0, x1, 1752, x2) + +inst_455: +// rs1_val==46340 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x665, x1, 1756, x2) + +inst_456: +// rs1_val==46340 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x332, x1, 1760, x2) + +inst_457: +// rs1_val==46340 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x554, x1, 1764, x2) + +inst_458: +// rs1_val==46340 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2, x1, 1768, x2) + +inst_459: +// rs1_val==46340 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2d, x1, 1772, x2) + +inst_460: +// rs1_val==46340 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2d, x1, 1776, x2) + +inst_461: +// rs1_val==46340 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x666, x1, 1780, x2) + +inst_462: +// rs1_val==46340 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x333, x1, 1784, x2) + +inst_463: +// rs1_val==46340 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x5, x1, 1788, x2) + +inst_464: +// rs1_val==46340 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x556, x1, 1792, x2) + +inst_465: +// rs1_val==46340 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x555, x1, 1796, x2) + +inst_466: +// rs1_val==46340 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x3, x1, 1800, x2) + +inst_467: +// rs1_val==-46340 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2e, x1, 1804, x2) + +inst_468: +// rs1_val==-46340 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2c, x1, 1808, x2) + +inst_469: +// rs1_val==-46340 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x667, x1, 1812, x2) + +inst_470: +// rs1_val==-46340 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x334, x1, 1816, x2) + +inst_471: +// rs1_val==-46340 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x6, x1, 1820, x2) + +inst_472: +// rs1_val==-46340 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x555, x1, 1824, x2) + +inst_473: +// rs1_val==-46340 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x556, x1, 1828, x2) + +inst_474: +// rs1_val==-46340 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x4, x1, 1832, x2) + +inst_475: +// rs1_val==-46340 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2c, x1, 1836, x2) + +inst_476: +// rs1_val==-46340 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x0, x1, 1840, x2) + +inst_477: +// rs1_val==-46340 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x665, x1, 1844, x2) + +inst_478: +// rs1_val==-46340 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x332, x1, 1848, x2) + +inst_479: +// rs1_val==-46340 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x554, x1, 1852, x2) + +inst_480: +// rs1_val==-46340 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2, x1, 1856, x2) + +inst_481: +// rs1_val==-46340 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2d, x1, 1860, x2) + +inst_482: +// rs1_val==-46340 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2d, x1, 1864, x2) + +inst_483: +// rs1_val==-46340 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x666, x1, 1868, x2) + +inst_484: +// rs1_val==-46340 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x333, x1, 1872, x2) + +inst_485: +// rs1_val==-46340 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x5, x1, 1876, x2) + +inst_486: +// rs1_val==-46340 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x556, x1, 1880, x2) + +inst_487: +// rs1_val==-46340 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x555, x1, 1884, x2) + +inst_488: +// rs1_val==-46340 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x3, x1, 1888, x2) + +inst_489: +// rs1_val==1717986918 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1892, x2) + +inst_490: +// rs1_val==1717986918 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2c, x1, 1896, x2) + +inst_491: +// rs1_val==1717986918 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x667, x1, 1900, x2) + +inst_492: +// rs1_val==1717986918 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x334, x1, 1904, x2) + +inst_493: +// rs1_val==1717986918 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x6, x1, 1908, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x555, x1, 1912, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x556, x1, 1916, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x4, x1, 1920, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1924, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x0, x1, 1928, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x665, x1, 1932, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x332, x1, 1936, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x554, x1, 1940, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2, x1, 1944, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2d, x1, 1948, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2d, x1, 1952, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x666, x1, 1956, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x333, x1, 1960, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x5, x1, 1964, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x556, x1, 1968, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x555, x1, 1972, x2) + +inst_510: +// rs1_val==1717986918 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x3, x1, 1976, x2) + +inst_511: +// rs1_val==858993459 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2e, x1, 1980, x2) + +inst_512: +// rs1_val==858993459 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2c, x1, 1984, x2) + +inst_513: +// rs1_val==858993459 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x667, x1, 1988, x2) + +inst_514: +// rs1_val==858993459 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x334, x1, 1992, x2) + +inst_515: +// rs1_val==858993459 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x6, x1, 1996, x2) + +inst_516: +// rs1_val==858993459 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x555, x1, 2000, x2) + +inst_517: +// rs1_val==858993459 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x556, x1, 2004, x2) + +inst_518: +// rs1_val==858993459 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x4, x1, 2008, x2) + +inst_519: +// rs1_val==858993459 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2c, x1, 2012, x2) + +inst_520: +// rs1_val==858993459 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x0, x1, 2016, x2) + +inst_521: +// rs1_val==858993459 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x665, x1, 2020, x2) + +inst_522: +// rs1_val==858993459 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x332, x1, 2024, x2) + +inst_523: +// rs1_val==858993459 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x554, x1, 2028, x2) + +inst_524: +// rs1_val==858993459 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2, x1, 2032, x2) + +inst_525: +// rs1_val==858993459 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2d, x1, 2036, x2) + +inst_526: +// rs1_val==858993459 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2d, x1, 2040, x2) + +inst_527: +// rs1_val==858993459 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x666, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_528: +// rs1_val==858993459 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x333, x1, 0, x2) + +inst_529: +// rs1_val==858993459 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x5, x1, 4, x2) + +inst_530: +// rs1_val==858993459 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x556, x1, 8, x2) + +inst_531: +// rs1_val==858993459 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x555, x1, 12, x2) + +inst_532: +// rs1_val==858993459 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x3, x1, 16, x2) + +inst_533: +// rs1_val==5 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2e, x1, 20, x2) + +inst_534: +// rs1_val==5 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2c, x1, 24, x2) + +inst_535: +// rs1_val==5 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x667, x1, 28, x2) + +inst_536: +// rs1_val==5 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x334, x1, 32, x2) + +inst_537: +// rs1_val==5 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x6, x1, 36, x2) + +inst_538: +// rs1_val==5 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x555, x1, 40, x2) + +inst_539: +// rs1_val==5 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x556, x1, 44, x2) + +inst_540: +// rs1_val==5 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x4, x1, 48, x2) + +inst_541: +// rs1_val==5 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2c, x1, 52, x2) + +inst_542: +// rs1_val==5 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x0, x1, 56, x2) + +inst_543: +// rs1_val==5 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x665, x1, 60, x2) + +inst_544: +// rs1_val==5 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x332, x1, 64, x2) + +inst_545: +// rs1_val==5 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x554, x1, 68, x2) + +inst_546: +// rs1_val==5 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x2, x1, 72, x2) + +inst_547: +// rs1_val==5 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2d, x1, 76, x2) + +inst_548: +// rs1_val==5 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2d, x1, 80, x2) + +inst_549: +// rs1_val==5 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x666, x1, 84, x2) + +inst_550: +// rs1_val==5 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x333, x1, 88, x2) + +inst_551: +// rs1_val==5 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x5, x1, 92, x2) + +inst_552: +// rs1_val==5 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x556, x1, 96, x2) + +inst_553: +// rs1_val==5 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x555, x1, 100, x2) + +inst_554: +// rs1_val==5 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x3, x1, 104, x2) + +inst_555: +// rs1_val==-1431655766 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2e, x1, 108, x2) + +inst_556: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2c, x1, 112, x2) + +inst_557: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x667, x1, 116, x2) + +inst_558: +// rs1_val==-1431655766 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x334, x1, 120, x2) + +inst_559: +// rs1_val==-1431655766 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x6, x1, 124, x2) + +inst_560: +// rs1_val == -536870913, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x20000001; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x20000001, -0x6, x1, 128, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 33*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S new file mode 100644 index 000000000..65b2c2a8f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S @@ -0,0 +1,3565 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sltiu instruction of the RISC-V E extension for the sltiu covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltiu) + +RVTEST_SIGBASE( x14,signature_x14_1) + +inst_0: +// rs1 != rd, rs1==x7, rd==x2, imm_val == (2**(12)-1), rs1_val != imm_val and rs1_val > 0 and imm_val > 0 +// opcode: sltiu ; op1:x7; dest:x2; op1val:0x9; immval:0xfff +TEST_IMM_OP( sltiu, x2, x7, 0x1, 0x9, 0xfff, x14, 0, x9) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, imm_val == 3839 +// opcode: sltiu ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0xeff +TEST_IMM_OP( sltiu, x3, x3, 0x1, 0x7fffffff, 0xeff, x14, 4, x9) + +inst_2: +// rs1==x10, rd==x4, rs1_val == 3221225471, imm_val == 32 +// opcode: sltiu ; op1:x10; dest:x4; op1val:0xbfffffff; immval:0x20 +TEST_IMM_OP( sltiu, x4, x10, 0x0, 0xbfffffff, 0x20, x14, 8, x9) + +inst_3: +// rs1==x4, rd==x6, rs1_val == 3758096383, +// opcode: sltiu ; op1:x4; dest:x6; op1val:0xdfffffff; immval:0x5 +TEST_IMM_OP( sltiu, x6, x4, 0x0, 0xdfffffff, 0x5, x14, 12, x9) + +inst_4: +// rs1==x6, rd==x13, rs1_val == 4026531839, +// opcode: sltiu ; op1:x6; dest:x13; op1val:0xefffffff; immval:0x667 +TEST_IMM_OP( sltiu, x13, x6, 0x0, 0xefffffff, 0x667, x14, 16, x9) + +inst_5: +// rs1==x1, rd==x7, rs1_val == 4160749567, imm_val == 16 +// opcode: sltiu ; op1:x1; dest:x7; op1val:0xf7ffffff; immval:0x10 +TEST_IMM_OP( sltiu, x7, x1, 0x0, 0xf7ffffff, 0x10, x14, 20, x9) + +inst_6: +// rs1==x2, rd==x8, rs1_val == 4227858431, imm_val == 0 +// opcode: sltiu ; op1:x2; dest:x8; op1val:0xfbffffff; immval:0x0 +TEST_IMM_OP( sltiu, x8, x2, 0x0, 0xfbffffff, 0x0, x14, 24, x9) + +inst_7: +// rs1==x0, rd==x1, rs1_val == 4261412863, +// opcode: sltiu ; op1:x0; dest:x1; op1val:0x0; immval:0xc +TEST_IMM_OP( sltiu, x1, x0, 0x1, 0x0, 0xc, x14, 28, x9) + +inst_8: +// rs1==x5, rd==x12, rs1_val == 4278190079, imm_val == 512 +// opcode: sltiu ; op1:x5; dest:x12; op1val:0xfeffffff; immval:0x200 +TEST_IMM_OP( sltiu, x12, x5, 0x0, 0xfeffffff, 0x200, x14, 32, x9) + +inst_9: +// rs1==x11, rd==x9, rs1_val == 4286578687, +// opcode: sltiu ; op1:x11; dest:x9; op1val:0xff7fffff; immval:0xf +TEST_IMM_OP( sltiu, x9, x11, 0x0, 0xff7fffff, 0xf, x14, 36, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x12, rd==x10, rs1_val == 4290772991, imm_val == 4093 +// opcode: sltiu ; op1:x12; dest:x10; op1val:0xffbfffff; immval:0xffd +TEST_IMM_OP( sltiu, x10, x12, 0x1, 0xffbfffff, 0xffd, x1, 0, x2) + +inst_11: +// rs1==x9, rd==x14, rs1_val == 4292870143, +// opcode: sltiu ; op1:x9; dest:x14; op1val:0xffdfffff; immval:0xaab +TEST_IMM_OP( sltiu, x14, x9, 0x1, 0xffdfffff, 0xaab, x1, 4, x2) + +inst_12: +// rs1==x14, rd==x15, rs1_val == 4293918719, +// opcode: sltiu ; op1:x14; dest:x15; op1val:0xffefffff; immval:0x11 +TEST_IMM_OP( sltiu, x15, x14, 0x0, 0xffefffff, 0x11, x1, 8, x2) + +inst_13: +// rs1==x15, rd==x0, rs1_val == 4294443007, +// opcode: sltiu ; op1:x15; dest:x0; op1val:0xfff7ffff; immval:0xa +TEST_IMM_OP( sltiu, x0, x15, 0, 0xfff7ffff, 0xa, x1, 12, x2) + +inst_14: +// rs1==x13, rd==x11, rs1_val == 4294705151, +// opcode: sltiu ; op1:x13; dest:x11; op1val:0xfffbffff; immval:0x13 +TEST_IMM_OP( sltiu, x11, x13, 0x0, 0xfffbffff, 0x13, x1, 16, x2) + +inst_15: +// rs1==x8, rd==x5, rs1_val == 4294836223, +// opcode: sltiu ; op1:x8; dest:x5; op1val:0xfffdffff; immval:0x0 +TEST_IMM_OP( sltiu, x5, x8, 0x0, 0xfffdffff, 0x0, x1, 20, x2) + +inst_16: +// rs1_val == 4294901759, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffeffff; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffeffff, 0x3, x1, 24, x2) + +inst_17: +// rs1_val == 4294934527, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff7fff; immval:0xfff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff7fff, 0xfff, x1, 28, x2) + +inst_18: +// rs1_val == 4294950911, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffbfff; immval:0xf +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffbfff, 0xf, x1, 32, x2) + +inst_19: +// rs1_val == 4294959103, imm_val == 4087 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffdfff; immval:0xff7 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffdfff, 0xff7, x1, 36, x2) + +inst_20: +// rs1_val == 4294963199, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffefff; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffefff, 0x334, x1, 40, x2) + +inst_21: +// rs1_val == 4294965247, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffff7ff; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffff7ff, 0x666, x1, 44, x2) + +inst_22: +// rs1_val == 4294966271, imm_val == 3071 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffbff; immval:0xbff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffbff, 0xbff, x1, 48, x2) + +inst_23: +// rs1_val == 4294966783, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffdff; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffdff, 0x332, x1, 52, x2) + +inst_24: +// rs1_val == 4294967039, imm_val == 3583 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffeff; immval:0xdff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffeff, 0xdff, x1, 56, x2) + +inst_25: +// rs1_val == 4294967167, imm_val == 4094 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffff7f; immval:0xffe +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffff7f, 0xffe, x1, 60, x2) + +inst_26: +// rs1_val == 4294967231, imm_val == 128 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffbf; immval:0x80 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffbf, 0x80, x1, 64, x2) + +inst_27: +// rs1_val == 4294967263, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xc +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xc, x1, 68, x2) + +inst_28: +// rs1_val == 4294967279, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffef; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffef, 0xaab, x1, 72, x2) + +inst_29: +// rs1_val == 4294967287, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffff7; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffff7, 0x554, x1, 76, x2) + +inst_30: +// rs1_val == 4294967291, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffb; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffb, 0x667, x1, 80, x2) + +inst_31: +// rs1_val == 4294967293, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffd; immval:0xdff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffd, 0xdff, x1, 84, x2) + +inst_32: +// rs1_val == 4294967294, imm_val == 4079 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0xfef +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0xfef, x1, 88, x2) + +inst_33: +// imm_val == 2047, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0x7ff, x1, 92, x2) + +inst_34: +// imm_val == 3967, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xf7f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xf7f, x1, 96, x2) + +inst_35: +// imm_val == 4031, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xfbf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xfbf, x1, 100, x2) + +inst_36: +// imm_val == 4063, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffbffff; immval:0xfdf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffbffff, 0xfdf, x1, 104, x2) + +inst_37: +// imm_val == 4091, rs1_val == 524288 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000; immval:0xffb +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80000, 0xffb, x1, 108, x2) + +inst_38: +// rs1_val == 2147483648, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000000; immval:0x200 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x80000000, 0x200, x1, 112, x2) + +inst_39: +// rs1_val == 1073741824, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40000000, 0x7ff, x1, 116, x2) + +inst_40: +// rs1_val == 536870912, imm_val == 2 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000000, 0x2, x1, 120, x2) + +inst_41: +// rs1_val == 268435456, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000000; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000000, 0x3, x1, 124, x2) + +inst_42: +// rs1_val == 134217728, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000000; immval:0xeff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000000, 0xeff, x1, 128, x2) + +inst_43: +// rs1_val == 67108864, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000000; immval:0xe +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000000, 0xe, x1, 132, x2) + +inst_44: +// rs1_val == 33554432, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000000; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000000, 0x5, x1, 136, x2) + +inst_45: +// rs1_val == 16777216, imm_val == 2048 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000000; immval:0x800 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1000000, 0x800, x1, 140, x2) + +inst_46: +// rs1_val == 8388608, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x800000, 0x7, x1, 144, x2) + +inst_47: +// rs1_val == 4194304, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400000; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x400000, 0xaab, x1, 148, x2) + +inst_48: +// rs1_val == 2097152, imm_val == 1 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200000; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200000, 0x1, x1, 152, x2) + +inst_49: +// rs1_val == 1048576, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100000; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100000, 0x7ff, x1, 156, x2) + +inst_50: +// rs1_val == 262144, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000; immval:0xff7 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x40000, 0xff7, x1, 160, x2) + +inst_51: +// rs1_val == 131072, imm_val == 4 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000, 0x4, x1, 164, x2) + +inst_52: +// rs1_val == 65536, rs1_val==65536 and imm_val==3 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3, x1, 168, x2) + +inst_53: +// rs1_val == 32768, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000; immval:0xffb +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000, 0xffb, x1, 172, x2) + +inst_54: +// rs1_val == 16384, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000, 0x2, x1, 176, x2) + +inst_55: +// rs1_val == 8192, imm_val == 8 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000; immval:0x8 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000, 0x8, x1, 180, x2) + +inst_56: +// rs1_val == 4096, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1000, 0x2d, x1, 184, x2) + +inst_57: +// rs1_val == 2048, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800; immval:0xfbf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x800, 0xfbf, x1, 188, x2) + +inst_58: +// rs1_val == 1024, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400; immval:0x20 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x400, 0x20, x1, 192, x2) + +inst_59: +// rs1_val == 512, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200; immval:0x9 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200, 0x9, x1, 196, x2) + +inst_60: +// rs1_val == 256, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100; immval:0x7 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100, 0x7, x1, 200, x2) + +inst_61: +// rs1_val == 128, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80; immval:0x800 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80, 0x800, x1, 204, x2) + +inst_62: +// rs1_val == 64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40, 0x3e, x1, 208, x2) + +inst_63: +// rs1_val == 32, imm_val == 2730 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x20, 0xaaa, x1, 212, x2) + +inst_64: +// rs1_val == 16, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10; immval:0xfef +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10, 0xfef, x1, 216, x2) + +inst_65: +// rs1_val == 8, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x8, 0x0, x1, 220, x2) + +inst_66: +// rs1_val == 4, rs1_val==4 and imm_val==4, rs1_val == imm_val and rs1_val > 0 and imm_val > 0 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x4, x1, 224, x2) + +inst_67: +// rs1_val == 2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xbff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xbff, x1, 228, x2) + +inst_68: +// rs1_val == 1, rs1_val==1 and imm_val==1638 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x666, x1, 232, x2) + +inst_69: +// imm_val == 1024, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x400 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x400, x1, 236, x2) + +inst_70: +// imm_val == 256, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xdfffffff; immval:0x100 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xdfffffff, 0x100, x1, 240, x2) + +inst_71: +// imm_val == 64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x12; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x12, 0x40, x1, 244, x2) + +inst_72: +// rs1_val==65536 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x40, x1, 248, x2) + +inst_73: +// rs1_val==65536 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x1, x1, 252, x2) + +inst_74: +// rs1_val==65536 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2e, x1, 256, x2) + +inst_75: +// rs1_val==65536 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x667, x1, 260, x2) + +inst_76: +// rs1_val==65536 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x334, x1, 264, x2) + +inst_77: +// rs1_val==65536 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x6, x1, 268, x2) + +inst_78: +// rs1_val==65536 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaab, x1, 272, x2) + +inst_79: +// rs1_val==65536 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x556, x1, 276, x2) + +inst_80: +// rs1_val==65536 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x4, x1, 280, x2) + +inst_81: +// rs1_val==65536 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3e, x1, 284, x2) + +inst_82: +// rs1_val==65536 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x0, x1, 288, x2) + +inst_83: +// rs1_val==65536 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2c, x1, 292, x2) + +inst_84: +// rs1_val==65536 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x665, x1, 296, x2) + +inst_85: +// rs1_val==65536 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x332, x1, 300, x2) + +inst_86: +// rs1_val==65536 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaa9, x1, 304, x2) + +inst_87: +// rs1_val==65536 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x554, x1, 308, x2) + +inst_88: +// rs1_val==65536 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2, x1, 312, x2) + +inst_89: +// rs1_val==65536 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3f, x1, 316, x2) + +inst_90: +// rs1_val==65536 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2d, x1, 320, x2) + +inst_91: +// rs1_val==65536 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x666, x1, 324, x2) + +inst_92: +// rs1_val==65536 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x333, x1, 328, x2) + +inst_93: +// rs1_val==65536 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x5, x1, 332, x2) + +inst_94: +// rs1_val==65536 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaaa, x1, 336, x2) + +inst_95: +// rs1_val==65536 and imm_val==1365, imm_val == 1365 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x555, x1, 340, x2) + +inst_96: +// rs1_val==1 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x40, x1, 344, x2) + +inst_97: +// rs1_val==1 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x1, x1, 348, x2) + +inst_98: +// rs1_val==1 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2e, x1, 352, x2) + +inst_99: +// rs1_val==1 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x667, x1, 356, x2) + +inst_100: +// rs1_val==1 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x334, x1, 360, x2) + +inst_101: +// rs1_val==1 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x6, x1, 364, x2) + +inst_102: +// rs1_val==1 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaab, x1, 368, x2) + +inst_103: +// rs1_val==1 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x556, x1, 372, x2) + +inst_104: +// rs1_val==1 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x4, x1, 376, x2) + +inst_105: +// rs1_val==1 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3e, x1, 380, x2) + +inst_106: +// rs1_val==1 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x0, x1, 384, x2) + +inst_107: +// rs1_val==1 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2c, x1, 388, x2) + +inst_108: +// rs1_val==1 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x665, x1, 392, x2) + +inst_109: +// rs1_val==1 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x332, x1, 396, x2) + +inst_110: +// rs1_val==1 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaa9, x1, 400, x2) + +inst_111: +// rs1_val==1 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x554, x1, 404, x2) + +inst_112: +// rs1_val==1 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2, x1, 408, x2) + +inst_113: +// rs1_val==1 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3f, x1, 412, x2) + +inst_114: +// rs1_val==1 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2d, x1, 416, x2) + +inst_115: +// rs1_val==1 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x333, x1, 420, x2) + +inst_116: +// rs1_val==1 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x5, x1, 424, x2) + +inst_117: +// rs1_val==1 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaaa, x1, 428, x2) + +inst_118: +// rs1_val==1 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x555, x1, 432, x2) + +inst_119: +// rs1_val==1 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3, x1, 436, x2) + +inst_120: +// rs1_val==46341 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x40, x1, 440, x2) + +inst_121: +// rs1_val==46341 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x1, x1, 444, x2) + +inst_122: +// rs1_val==46341 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2e, x1, 448, x2) + +inst_123: +// rs1_val==46341 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x667, x1, 452, x2) + +inst_124: +// rs1_val==46341 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x334, x1, 456, x2) + +inst_125: +// rs1_val==46341 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x6, x1, 460, x2) + +inst_126: +// rs1_val==46341 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaab, x1, 464, x2) + +inst_127: +// rs1_val==46341 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x556, x1, 468, x2) + +inst_128: +// rs1_val==46341 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x4, x1, 472, x2) + +inst_129: +// rs1_val==46341 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3e, x1, 476, x2) + +inst_130: +// rs1_val==46341 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x0, x1, 480, x2) + +inst_131: +// rs1_val==46341 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2c, x1, 484, x2) + +inst_132: +// rs1_val==46341 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x665, x1, 488, x2) + +inst_133: +// rs1_val==46341 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x332, x1, 492, x2) + +inst_134: +// rs1_val==46341 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaa9, x1, 496, x2) + +inst_135: +// rs1_val==46341 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x554, x1, 500, x2) + +inst_136: +// rs1_val==46341 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2, x1, 504, x2) + +inst_137: +// rs1_val==46341 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3f, x1, 508, x2) + +inst_138: +// rs1_val==46341 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2d, x1, 512, x2) + +inst_139: +// rs1_val==46341 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x666, x1, 516, x2) + +inst_140: +// rs1_val==46341 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x333, x1, 520, x2) + +inst_141: +// rs1_val==46341 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x5, x1, 524, x2) + +inst_142: +// rs1_val==46341 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaaa, x1, 528, x2) + +inst_143: +// rs1_val==46341 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x555, x1, 532, x2) + +inst_144: +// rs1_val==46341 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3, x1, 536, x2) + +inst_145: +// rs1_val==1717986919 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x40, x1, 540, x2) + +inst_146: +// rs1_val==1717986919 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x1, x1, 544, x2) + +inst_147: +// rs1_val==1717986919 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2e, x1, 548, x2) + +inst_148: +// rs1_val==1717986919 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x667, x1, 552, x2) + +inst_149: +// rs1_val==1717986919 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x334, x1, 556, x2) + +inst_150: +// rs1_val==1717986919 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x6, x1, 560, x2) + +inst_151: +// rs1_val==1717986919 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaab, x1, 564, x2) + +inst_152: +// rs1_val==1717986919 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x556, x1, 568, x2) + +inst_153: +// rs1_val==1717986919 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x4, x1, 572, x2) + +inst_154: +// rs1_val==1717986919 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3e, x1, 576, x2) + +inst_155: +// rs1_val==1717986919 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x0, x1, 580, x2) + +inst_156: +// rs1_val==1717986919 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2c, x1, 584, x2) + +inst_157: +// rs1_val==1717986919 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x665, x1, 588, x2) + +inst_158: +// rs1_val==1717986919 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x332, x1, 592, x2) + +inst_159: +// rs1_val==1717986919 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaa9, x1, 596, x2) + +inst_160: +// rs1_val==1717986919 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x554, x1, 600, x2) + +inst_161: +// rs1_val==1717986919 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2, x1, 604, x2) + +inst_162: +// rs1_val==1717986919 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3f, x1, 608, x2) + +inst_163: +// rs1_val==1717986919 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2d, x1, 612, x2) + +inst_164: +// rs1_val==1717986919 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x666, x1, 616, x2) + +inst_165: +// rs1_val==1717986919 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x333, x1, 620, x2) + +inst_166: +// rs1_val==1717986919 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x5, x1, 624, x2) + +inst_167: +// rs1_val==1717986919 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaaa, x1, 628, x2) + +inst_168: +// rs1_val==1717986919 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x555, x1, 632, x2) + +inst_169: +// rs1_val==1717986919 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3, x1, 636, x2) + +inst_170: +// rs1_val==858993460 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x40, x1, 640, x2) + +inst_171: +// rs1_val==858993460 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x1, x1, 644, x2) + +inst_172: +// rs1_val==858993460 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2e, x1, 648, x2) + +inst_173: +// rs1_val==858993460 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x667, x1, 652, x2) + +inst_174: +// rs1_val==858993460 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x334, x1, 656, x2) + +inst_175: +// rs1_val==858993460 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x6, x1, 660, x2) + +inst_176: +// rs1_val==858993460 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaab, x1, 664, x2) + +inst_177: +// rs1_val==858993460 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x556, x1, 668, x2) + +inst_178: +// rs1_val==858993460 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x4, x1, 672, x2) + +inst_179: +// rs1_val==858993460 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3e, x1, 676, x2) + +inst_180: +// rs1_val==858993460 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x0, x1, 680, x2) + +inst_181: +// rs1_val==858993460 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2c, x1, 684, x2) + +inst_182: +// rs1_val==858993460 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x665, x1, 688, x2) + +inst_183: +// rs1_val==858993460 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x332, x1, 692, x2) + +inst_184: +// rs1_val==858993460 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaa9, x1, 696, x2) + +inst_185: +// rs1_val==858993460 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x554, x1, 700, x2) + +inst_186: +// rs1_val==858993460 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2, x1, 704, x2) + +inst_187: +// rs1_val==858993460 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3f, x1, 708, x2) + +inst_188: +// rs1_val==858993460 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2d, x1, 712, x2) + +inst_189: +// rs1_val==858993460 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x666, x1, 716, x2) + +inst_190: +// rs1_val==858993460 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x333, x1, 720, x2) + +inst_191: +// rs1_val==858993460 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x5, x1, 724, x2) + +inst_192: +// rs1_val==858993460 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaaa, x1, 728, x2) + +inst_193: +// rs1_val==858993460 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x555, x1, 732, x2) + +inst_194: +// rs1_val==858993460 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3, x1, 736, x2) + +inst_195: +// rs1_val==6 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x40, x1, 740, x2) + +inst_196: +// rs1_val==6 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x1, x1, 744, x2) + +inst_197: +// rs1_val==6 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2e, x1, 748, x2) + +inst_198: +// rs1_val==6 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x667, x1, 752, x2) + +inst_199: +// rs1_val==6 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x334, x1, 756, x2) + +inst_200: +// rs1_val==6 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x6, x1, 760, x2) + +inst_201: +// rs1_val==6 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaab, x1, 764, x2) + +inst_202: +// rs1_val==6 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x556, x1, 768, x2) + +inst_203: +// rs1_val==6 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x4, x1, 772, x2) + +inst_204: +// rs1_val==6 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3e, x1, 776, x2) + +inst_205: +// rs1_val==6 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x0, x1, 780, x2) + +inst_206: +// rs1_val==6 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2c, x1, 784, x2) + +inst_207: +// rs1_val==6 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x665, x1, 788, x2) + +inst_208: +// rs1_val==6 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x332, x1, 792, x2) + +inst_209: +// rs1_val==6 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaa9, x1, 796, x2) + +inst_210: +// rs1_val==6 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x554, x1, 800, x2) + +inst_211: +// rs1_val==6 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x2, x1, 804, x2) + +inst_212: +// rs1_val==6 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3f, x1, 808, x2) + +inst_213: +// rs1_val==6 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2d, x1, 812, x2) + +inst_214: +// rs1_val==6 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x666, x1, 816, x2) + +inst_215: +// rs1_val==6 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x333, x1, 820, x2) + +inst_216: +// rs1_val==6 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x5, x1, 824, x2) + +inst_217: +// rs1_val==6 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaaa, x1, 828, x2) + +inst_218: +// rs1_val==6 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x555, x1, 832, x2) + +inst_219: +// rs1_val==6 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x3, x1, 836, x2) + +inst_220: +// rs1_val==2863311531 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x40, x1, 840, x2) + +inst_221: +// rs1_val==2863311531 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x1, x1, 844, x2) + +inst_222: +// rs1_val==2863311531 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2e, x1, 848, x2) + +inst_223: +// rs1_val==2863311531 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x667, x1, 852, x2) + +inst_224: +// rs1_val==2863311531 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x334, x1, 856, x2) + +inst_225: +// rs1_val==2863311531 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x6, x1, 860, x2) + +inst_226: +// rs1_val==2863311531 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaab, x1, 864, x2) + +inst_227: +// rs1_val==2863311531 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x556, x1, 868, x2) + +inst_228: +// rs1_val==2863311531 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x4, x1, 872, x2) + +inst_229: +// rs1_val==2863311531 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3e, x1, 876, x2) + +inst_230: +// rs1_val==2863311531 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x0, x1, 880, x2) + +inst_231: +// rs1_val==2863311531 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2c, x1, 884, x2) + +inst_232: +// rs1_val==2863311531 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x665, x1, 888, x2) + +inst_233: +// rs1_val==2863311531 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x332, x1, 892, x2) + +inst_234: +// rs1_val==2863311531 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaa9, x1, 896, x2) + +inst_235: +// rs1_val==2863311531 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x554, x1, 900, x2) + +inst_236: +// rs1_val==2863311531 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2, x1, 904, x2) + +inst_237: +// rs1_val==2863311531 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3f, x1, 908, x2) + +inst_238: +// rs1_val==2863311531 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2d, x1, 912, x2) + +inst_239: +// rs1_val==2863311531 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x666, x1, 916, x2) + +inst_240: +// rs1_val==2863311531 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x333, x1, 920, x2) + +inst_241: +// rs1_val==2863311531 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x5, x1, 924, x2) + +inst_242: +// rs1_val==2863311531 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaaa, x1, 928, x2) + +inst_243: +// rs1_val==2863311531 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x555, x1, 932, x2) + +inst_244: +// rs1_val==2863311531 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3, x1, 936, x2) + +inst_245: +// rs1_val==1431655766 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x40, x1, 940, x2) + +inst_246: +// rs1_val==1431655766 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x1, x1, 944, x2) + +inst_247: +// rs1_val==1431655766 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2e, x1, 948, x2) + +inst_248: +// rs1_val==1431655766 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x667, x1, 952, x2) + +inst_249: +// rs1_val==1431655766 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x334, x1, 956, x2) + +inst_250: +// rs1_val==1431655766 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x6, x1, 960, x2) + +inst_251: +// rs1_val==1431655766 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaab, x1, 964, x2) + +inst_252: +// rs1_val==1431655766 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x556, x1, 968, x2) + +inst_253: +// rs1_val==1431655766 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x4, x1, 972, x2) + +inst_254: +// rs1_val==1431655766 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3e, x1, 976, x2) + +inst_255: +// rs1_val==1431655766 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x0, x1, 980, x2) + +inst_256: +// rs1_val==1431655766 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2c, x1, 984, x2) + +inst_257: +// rs1_val==1431655766 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x665, x1, 988, x2) + +inst_258: +// rs1_val==1431655766 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x332, x1, 992, x2) + +inst_259: +// rs1_val==1431655766 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaa9, x1, 996, x2) + +inst_260: +// rs1_val==1431655766 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x554, x1, 1000, x2) + +inst_261: +// rs1_val==1431655766 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2, x1, 1004, x2) + +inst_262: +// rs1_val==1431655766 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3f, x1, 1008, x2) + +inst_263: +// rs1_val==1431655766 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2d, x1, 1012, x2) + +inst_264: +// rs1_val==1431655766 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x666, x1, 1016, x2) + +inst_265: +// rs1_val==1431655766 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x333, x1, 1020, x2) + +inst_266: +// rs1_val==1431655766 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x5, x1, 1024, x2) + +inst_267: +// rs1_val==1431655766 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaaa, x1, 1028, x2) + +inst_268: +// rs1_val==1431655766 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x555, x1, 1032, x2) + +inst_269: +// rs1_val==1431655766 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3, x1, 1036, x2) + +inst_270: +// rs1_val==4 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x40, x1, 1040, x2) + +inst_271: +// rs1_val==4 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x1, x1, 1044, x2) + +inst_272: +// rs1_val==4 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2e, x1, 1048, x2) + +inst_273: +// rs1_val==4 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x667, x1, 1052, x2) + +inst_274: +// rs1_val==4 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x334, x1, 1056, x2) + +inst_275: +// rs1_val==4 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x6, x1, 1060, x2) + +inst_276: +// rs1_val==4 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaab, x1, 1064, x2) + +inst_277: +// rs1_val==4 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x556, x1, 1068, x2) + +inst_278: +// rs1_val==4 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3e, x1, 1072, x2) + +inst_279: +// rs1_val==4 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x0, x1, 1076, x2) + +inst_280: +// rs1_val==4 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2c, x1, 1080, x2) + +inst_281: +// rs1_val==4 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x665, x1, 1084, x2) + +inst_282: +// rs1_val==4 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x332, x1, 1088, x2) + +inst_283: +// rs1_val==4 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaa9, x1, 1092, x2) + +inst_284: +// rs1_val==4 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x554, x1, 1096, x2) + +inst_285: +// rs1_val==4 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x2, x1, 1100, x2) + +inst_286: +// rs1_val==4 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3f, x1, 1104, x2) + +inst_287: +// rs1_val==4 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2d, x1, 1108, x2) + +inst_288: +// rs1_val==4 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x666, x1, 1112, x2) + +inst_289: +// rs1_val==4 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x333, x1, 1116, x2) + +inst_290: +// rs1_val==4 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x5, x1, 1120, x2) + +inst_291: +// rs1_val==4 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaaa, x1, 1124, x2) + +inst_292: +// rs1_val==4 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x555, x1, 1128, x2) + +inst_293: +// rs1_val==4 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x3, x1, 1132, x2) + +inst_294: +// rs1_val==65534 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x40, x1, 1136, x2) + +inst_295: +// rs1_val==65534 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x1, x1, 1140, x2) + +inst_296: +// rs1_val==65534 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2e, x1, 1144, x2) + +inst_297: +// rs1_val==65534 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x667, x1, 1148, x2) + +inst_298: +// rs1_val==65534 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x334, x1, 1152, x2) + +inst_299: +// rs1_val==65534 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x6, x1, 1156, x2) + +inst_300: +// rs1_val==65534 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaab, x1, 1160, x2) + +inst_301: +// rs1_val==65534 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x556, x1, 1164, x2) + +inst_302: +// rs1_val==65534 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x4, x1, 1168, x2) + +inst_303: +// rs1_val==65534 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3e, x1, 1172, x2) + +inst_304: +// rs1_val==65534 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x0, x1, 1176, x2) + +inst_305: +// rs1_val==65534 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2c, x1, 1180, x2) + +inst_306: +// rs1_val==65534 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x665, x1, 1184, x2) + +inst_307: +// rs1_val==65534 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x332, x1, 1188, x2) + +inst_308: +// rs1_val==65534 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaa9, x1, 1192, x2) + +inst_309: +// rs1_val==65534 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x554, x1, 1196, x2) + +inst_310: +// rs1_val==65534 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2, x1, 1200, x2) + +inst_311: +// rs1_val==65534 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3f, x1, 1204, x2) + +inst_312: +// rs1_val==65534 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2d, x1, 1208, x2) + +inst_313: +// rs1_val==65534 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x666, x1, 1212, x2) + +inst_314: +// rs1_val==65534 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x333, x1, 1216, x2) + +inst_315: +// rs1_val==65534 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x5, x1, 1220, x2) + +inst_316: +// rs1_val==65534 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaaa, x1, 1224, x2) + +inst_317: +// rs1_val==65534 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x555, x1, 1228, x2) + +inst_318: +// rs1_val==65534 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3, x1, 1232, x2) + +inst_319: +// rs1_val==0 and imm_val==64, rs1_val == 0 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x40, x1, 1236, x2) + +inst_320: +// rs1_val==0 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x1, x1, 1240, x2) + +inst_321: +// rs1_val==0 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2e, x1, 1244, x2) + +inst_322: +// rs1_val==0 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x667, x1, 1248, x2) + +inst_323: +// rs1_val==0 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x334, x1, 1252, x2) + +inst_324: +// rs1_val==0 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x6, x1, 1256, x2) + +inst_325: +// rs1_val==0 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaab, x1, 1260, x2) + +inst_326: +// rs1_val==0 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x556, x1, 1264, x2) + +inst_327: +// rs1_val==0 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x4, x1, 1268, x2) + +inst_328: +// rs1_val==0 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3e, x1, 1272, x2) + +inst_329: +// rs1_val==0 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x0, 0x0, x1, 1276, x2) + +inst_330: +// rs1_val==0 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2c, x1, 1280, x2) + +inst_331: +// rs1_val==0 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x665, x1, 1284, x2) + +inst_332: +// rs1_val==0 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x332, x1, 1288, x2) + +inst_333: +// rs1_val==0 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaa9, x1, 1292, x2) + +inst_334: +// rs1_val==0 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x554, x1, 1296, x2) + +inst_335: +// rs1_val==0 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2, x1, 1300, x2) + +inst_336: +// rs1_val==0 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3f, x1, 1304, x2) + +inst_337: +// rs1_val==0 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2d, x1, 1308, x2) + +inst_338: +// rs1_val==0 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x666, x1, 1312, x2) + +inst_339: +// rs1_val==0 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x333, x1, 1316, x2) + +inst_340: +// rs1_val==0 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x5, x1, 1320, x2) + +inst_341: +// rs1_val==0 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaaa, x1, 1324, x2) + +inst_342: +// rs1_val==0 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x555, x1, 1328, x2) + +inst_343: +// rs1_val==0 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3, x1, 1332, x2) + +inst_344: +// rs1_val==46339 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x40, x1, 1336, x2) + +inst_345: +// rs1_val==46339 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x1, x1, 1340, x2) + +inst_346: +// rs1_val==46339 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2e, x1, 1344, x2) + +inst_347: +// rs1_val==46339 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x667, x1, 1348, x2) + +inst_348: +// rs1_val==46339 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x334, x1, 1352, x2) + +inst_349: +// rs1_val==46339 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x6, x1, 1356, x2) + +inst_350: +// rs1_val==46339 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaab, x1, 1360, x2) + +inst_351: +// rs1_val==46339 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x556, x1, 1364, x2) + +inst_352: +// rs1_val==46339 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x4, x1, 1368, x2) + +inst_353: +// rs1_val==46339 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3e, x1, 1372, x2) + +inst_354: +// rs1_val==46339 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x0, x1, 1376, x2) + +inst_355: +// rs1_val==46339 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2c, x1, 1380, x2) + +inst_356: +// rs1_val==46339 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x665, x1, 1384, x2) + +inst_357: +// rs1_val==46339 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x332, x1, 1388, x2) + +inst_358: +// rs1_val==46339 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaa9, x1, 1392, x2) + +inst_359: +// rs1_val==46339 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x554, x1, 1396, x2) + +inst_360: +// rs1_val==46339 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2, x1, 1400, x2) + +inst_361: +// rs1_val==46339 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3f, x1, 1404, x2) + +inst_362: +// rs1_val==46339 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2d, x1, 1408, x2) + +inst_363: +// rs1_val==46339 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x666, x1, 1412, x2) + +inst_364: +// rs1_val==46339 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x333, x1, 1416, x2) + +inst_365: +// rs1_val==46339 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x5, x1, 1420, x2) + +inst_366: +// rs1_val==46339 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaaa, x1, 1424, x2) + +inst_367: +// rs1_val==46339 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x555, x1, 1428, x2) + +inst_368: +// rs1_val==46339 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3, x1, 1432, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x40, x1, 1436, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x1, x1, 1440, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1444, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x667, x1, 1448, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x334, x1, 1452, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x6, x1, 1456, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaab, x1, 1460, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x556, x1, 1464, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x4, x1, 1468, x2) + +inst_378: +// rs1_val==1717986917 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3e, x1, 1472, x2) + +inst_379: +// rs1_val==1717986917 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x0, x1, 1476, x2) + +inst_380: +// rs1_val==1717986917 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1480, x2) + +inst_381: +// rs1_val==1717986917 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x665, x1, 1484, x2) + +inst_382: +// rs1_val==1717986917 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x332, x1, 1488, x2) + +inst_383: +// rs1_val==1717986917 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaa9, x1, 1492, x2) + +inst_384: +// rs1_val==1717986917 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x554, x1, 1496, x2) + +inst_385: +// rs1_val==1717986917 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2, x1, 1500, x2) + +inst_386: +// rs1_val==1717986917 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3f, x1, 1504, x2) + +inst_387: +// rs1_val==1717986917 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1508, x2) + +inst_388: +// rs1_val==1717986917 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x666, x1, 1512, x2) + +inst_389: +// rs1_val==1717986917 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x333, x1, 1516, x2) + +inst_390: +// rs1_val==1717986917 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x5, x1, 1520, x2) + +inst_391: +// rs1_val==1717986917 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaaa, x1, 1524, x2) + +inst_392: +// rs1_val==1717986917 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x555, x1, 1528, x2) + +inst_393: +// rs1_val==1717986917 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3, x1, 1532, x2) + +inst_394: +// rs1_val==858993458 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x40, x1, 1536, x2) + +inst_395: +// rs1_val==858993458 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x1, x1, 1540, x2) + +inst_396: +// rs1_val==858993458 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1544, x2) + +inst_397: +// rs1_val==858993458 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x667, x1, 1548, x2) + +inst_398: +// rs1_val==858993458 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x334, x1, 1552, x2) + +inst_399: +// rs1_val==858993458 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x6, x1, 1556, x2) + +inst_400: +// rs1_val==858993458 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaab, x1, 1560, x2) + +inst_401: +// rs1_val==858993458 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x556, x1, 1564, x2) + +inst_402: +// rs1_val==858993458 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x4, x1, 1568, x2) + +inst_403: +// rs1_val==858993458 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3e, x1, 1572, x2) + +inst_404: +// rs1_val==858993458 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x0, x1, 1576, x2) + +inst_405: +// rs1_val==858993458 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1580, x2) + +inst_406: +// rs1_val==858993458 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x665, x1, 1584, x2) + +inst_407: +// rs1_val==858993458 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x332, x1, 1588, x2) + +inst_408: +// rs1_val==858993458 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaa9, x1, 1592, x2) + +inst_409: +// rs1_val==858993458 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x554, x1, 1596, x2) + +inst_410: +// rs1_val==858993458 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2, x1, 1600, x2) + +inst_411: +// rs1_val==858993458 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3f, x1, 1604, x2) + +inst_412: +// rs1_val==858993458 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1608, x2) + +inst_413: +// rs1_val==858993458 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x666, x1, 1612, x2) + +inst_414: +// rs1_val==858993458 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x333, x1, 1616, x2) + +inst_415: +// rs1_val==858993458 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x5, x1, 1620, x2) + +inst_416: +// rs1_val==858993458 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaaa, x1, 1624, x2) + +inst_417: +// rs1_val==858993458 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x555, x1, 1628, x2) + +inst_418: +// rs1_val==858993458 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3, x1, 1632, x2) + +inst_419: +// rs1_val==2863311529 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x40, x1, 1636, x2) + +inst_420: +// rs1_val==2863311529 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x1, x1, 1640, x2) + +inst_421: +// rs1_val==2863311529 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2e, x1, 1644, x2) + +inst_422: +// rs1_val==2863311529 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x667, x1, 1648, x2) + +inst_423: +// rs1_val==2863311529 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x334, x1, 1652, x2) + +inst_424: +// rs1_val==2863311529 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x6, x1, 1656, x2) + +inst_425: +// rs1_val==2863311529 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaab, x1, 1660, x2) + +inst_426: +// rs1_val==2863311529 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x556, x1, 1664, x2) + +inst_427: +// rs1_val==2863311529 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x4, x1, 1668, x2) + +inst_428: +// rs1_val==2863311529 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3e, x1, 1672, x2) + +inst_429: +// rs1_val==2863311529 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x0, x1, 1676, x2) + +inst_430: +// rs1_val==2863311529 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2c, x1, 1680, x2) + +inst_431: +// rs1_val==2863311529 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x665, x1, 1684, x2) + +inst_432: +// rs1_val==2863311529 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x332, x1, 1688, x2) + +inst_433: +// rs1_val==2863311529 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaa9, x1, 1692, x2) + +inst_434: +// rs1_val==2863311529 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x554, x1, 1696, x2) + +inst_435: +// rs1_val==2863311529 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2, x1, 1700, x2) + +inst_436: +// rs1_val==2863311529 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3f, x1, 1704, x2) + +inst_437: +// rs1_val==2863311529 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2d, x1, 1708, x2) + +inst_438: +// rs1_val==2863311529 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x666, x1, 1712, x2) + +inst_439: +// rs1_val==2863311529 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x333, x1, 1716, x2) + +inst_440: +// rs1_val==2863311529 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x5, x1, 1720, x2) + +inst_441: +// rs1_val==2863311529 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaaa, x1, 1724, x2) + +inst_442: +// rs1_val==2 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x555, x1, 1728, x2) + +inst_443: +// rs1_val==2 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3, x1, 1732, x2) + +inst_444: +// rs1_val==65535 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x40, x1, 1736, x2) + +inst_445: +// rs1_val==65535 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x1, x1, 1740, x2) + +inst_446: +// rs1_val==65535 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2e, x1, 1744, x2) + +inst_447: +// rs1_val==65535 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x667, x1, 1748, x2) + +inst_448: +// rs1_val==65535 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x334, x1, 1752, x2) + +inst_449: +// rs1_val==65535 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x6, x1, 1756, x2) + +inst_450: +// rs1_val==65535 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaab, x1, 1760, x2) + +inst_451: +// rs1_val==65535 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x556, x1, 1764, x2) + +inst_452: +// rs1_val==65535 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x4, x1, 1768, x2) + +inst_453: +// rs1_val==65535 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3e, x1, 1772, x2) + +inst_454: +// rs1_val==65535 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x0, x1, 1776, x2) + +inst_455: +// rs1_val==65535 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2c, x1, 1780, x2) + +inst_456: +// rs1_val==65535 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x665, x1, 1784, x2) + +inst_457: +// rs1_val==65535 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x332, x1, 1788, x2) + +inst_458: +// rs1_val==65535 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaa9, x1, 1792, x2) + +inst_459: +// rs1_val==65535 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x554, x1, 1796, x2) + +inst_460: +// rs1_val==65535 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2, x1, 1800, x2) + +inst_461: +// rs1_val==65535 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3f, x1, 1804, x2) + +inst_462: +// rs1_val==65535 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2d, x1, 1808, x2) + +inst_463: +// rs1_val==65535 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x666, x1, 1812, x2) + +inst_464: +// rs1_val==65535 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x333, x1, 1816, x2) + +inst_465: +// rs1_val==65535 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x5, x1, 1820, x2) + +inst_466: +// rs1_val==65535 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaaa, x1, 1824, x2) + +inst_467: +// rs1_val==65535 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x555, x1, 1828, x2) + +inst_468: +// rs1_val==65535 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3, x1, 1832, x2) + +inst_469: +// rs1_val==46340 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x40, x1, 1836, x2) + +inst_470: +// rs1_val==46340 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x1, x1, 1840, x2) + +inst_471: +// rs1_val==46340 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2e, x1, 1844, x2) + +inst_472: +// rs1_val==46340 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x667, x1, 1848, x2) + +inst_473: +// rs1_val==46340 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x334, x1, 1852, x2) + +inst_474: +// rs1_val==46340 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x6, x1, 1856, x2) + +inst_475: +// rs1_val==46340 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaab, x1, 1860, x2) + +inst_476: +// rs1_val==46340 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x556, x1, 1864, x2) + +inst_477: +// rs1_val==46340 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x4, x1, 1868, x2) + +inst_478: +// rs1_val==46340 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3e, x1, 1872, x2) + +inst_479: +// rs1_val==46340 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x0, x1, 1876, x2) + +inst_480: +// rs1_val==46340 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2c, x1, 1880, x2) + +inst_481: +// rs1_val==46340 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x665, x1, 1884, x2) + +inst_482: +// rs1_val==46340 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x332, x1, 1888, x2) + +inst_483: +// rs1_val==46340 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaa9, x1, 1892, x2) + +inst_484: +// rs1_val==46340 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x554, x1, 1896, x2) + +inst_485: +// rs1_val==46340 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2, x1, 1900, x2) + +inst_486: +// rs1_val==46340 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3f, x1, 1904, x2) + +inst_487: +// rs1_val==46340 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2d, x1, 1908, x2) + +inst_488: +// rs1_val==46340 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x666, x1, 1912, x2) + +inst_489: +// rs1_val==46340 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x333, x1, 1916, x2) + +inst_490: +// rs1_val==46340 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x5, x1, 1920, x2) + +inst_491: +// rs1_val==46340 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaaa, x1, 1924, x2) + +inst_492: +// rs1_val==46340 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x555, x1, 1928, x2) + +inst_493: +// rs1_val==46340 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3, x1, 1932, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x40, x1, 1936, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x1, x1, 1940, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1944, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x667, x1, 1948, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x334, x1, 1952, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x6, x1, 1956, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaab, x1, 1960, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x556, x1, 1964, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x4, x1, 1968, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3e, x1, 1972, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x0, x1, 1976, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1980, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x665, x1, 1984, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x332, x1, 1988, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaa9, x1, 1992, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x554, x1, 1996, x2) + +inst_510: +// rs1_val==1717986918 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2, x1, 2000, x2) + +inst_511: +// rs1_val==1717986918 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3f, x1, 2004, x2) + +inst_512: +// rs1_val==1717986918 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2d, x1, 2008, x2) + +inst_513: +// rs1_val==1717986918 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x666, x1, 2012, x2) + +inst_514: +// rs1_val==1717986918 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x333, x1, 2016, x2) + +inst_515: +// rs1_val==1717986918 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x5, x1, 2020, x2) + +inst_516: +// rs1_val==1717986918 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaaa, x1, 2024, x2) + +inst_517: +// rs1_val==1717986918 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x555, x1, 2028, x2) + +inst_518: +// rs1_val==1717986918 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3, x1, 2032, x2) + +inst_519: +// rs1_val==858993459 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x40, x1, 2036, x2) + +inst_520: +// rs1_val==858993459 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x1, x1, 2040, x2) + +inst_521: +// rs1_val==858993459 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2e, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_522: +// rs1_val==858993459 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x667, x1, 0, x2) + +inst_523: +// rs1_val==858993459 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x334, x1, 4, x2) + +inst_524: +// rs1_val==858993459 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x6, x1, 8, x2) + +inst_525: +// rs1_val==858993459 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaab, x1, 12, x2) + +inst_526: +// rs1_val==858993459 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x556, x1, 16, x2) + +inst_527: +// rs1_val==858993459 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x4, x1, 20, x2) + +inst_528: +// rs1_val==858993459 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3e, x1, 24, x2) + +inst_529: +// rs1_val==858993459 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x0, x1, 28, x2) + +inst_530: +// rs1_val==858993459 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2c, x1, 32, x2) + +inst_531: +// rs1_val==858993459 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x665, x1, 36, x2) + +inst_532: +// rs1_val==858993459 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x332, x1, 40, x2) + +inst_533: +// rs1_val==858993459 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaa9, x1, 44, x2) + +inst_534: +// rs1_val==858993459 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x554, x1, 48, x2) + +inst_535: +// rs1_val==858993459 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2, x1, 52, x2) + +inst_536: +// rs1_val==858993459 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3f, x1, 56, x2) + +inst_537: +// rs1_val==858993459 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2d, x1, 60, x2) + +inst_538: +// rs1_val==858993459 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x666, x1, 64, x2) + +inst_539: +// rs1_val==858993459 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x333, x1, 68, x2) + +inst_540: +// rs1_val==858993459 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x5, x1, 72, x2) + +inst_541: +// rs1_val==858993459 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaaa, x1, 76, x2) + +inst_542: +// rs1_val==858993459 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x555, x1, 80, x2) + +inst_543: +// rs1_val==858993459 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3, x1, 84, x2) + +inst_544: +// rs1_val==5 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x40, x1, 88, x2) + +inst_545: +// rs1_val==5 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x1, x1, 92, x2) + +inst_546: +// rs1_val==5 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2e, x1, 96, x2) + +inst_547: +// rs1_val==5 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x667, x1, 100, x2) + +inst_548: +// rs1_val==5 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x334, x1, 104, x2) + +inst_549: +// rs1_val==5 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x6, x1, 108, x2) + +inst_550: +// rs1_val==5 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaab, x1, 112, x2) + +inst_551: +// rs1_val==5 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x556, x1, 116, x2) + +inst_552: +// rs1_val==5 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x4, x1, 120, x2) + +inst_553: +// rs1_val==5 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3e, x1, 124, x2) + +inst_554: +// rs1_val==5 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x0, x1, 128, x2) + +inst_555: +// rs1_val==5 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2c, x1, 132, x2) + +inst_556: +// rs1_val==5 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x665, x1, 136, x2) + +inst_557: +// rs1_val==5 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x332, x1, 140, x2) + +inst_558: +// rs1_val==5 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaa9, x1, 144, x2) + +inst_559: +// rs1_val==5 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x554, x1, 148, x2) + +inst_560: +// rs1_val==5 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x2, x1, 152, x2) + +inst_561: +// rs1_val==5 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3f, x1, 156, x2) + +inst_562: +// rs1_val==5 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2d, x1, 160, x2) + +inst_563: +// rs1_val==5 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x666, x1, 164, x2) + +inst_564: +// rs1_val==5 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x333, x1, 168, x2) + +inst_565: +// rs1_val==5 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x5, x1, 172, x2) + +inst_566: +// rs1_val==5 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaaa, x1, 176, x2) + +inst_567: +// rs1_val==5 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x555, x1, 180, x2) + +inst_568: +// rs1_val==5 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x3, x1, 184, x2) + +inst_569: +// rs1_val==2863311530 and imm_val==64, rs1_val == 2863311530 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x40, x1, 188, x2) + +inst_570: +// rs1_val==2 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaa9, x1, 192, x2) + +inst_571: +// rs1_val==2863311530 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x1, x1, 196, x2) + +inst_572: +// rs1_val==2863311530 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2e, x1, 200, x2) + +inst_573: +// rs1_val==2863311530 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x667, x1, 204, x2) + +inst_574: +// rs1_val==2863311530 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x334, x1, 208, x2) + +inst_575: +// rs1_val==2863311530 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x6, x1, 212, x2) + +inst_576: +// rs1_val==2863311530 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaab, x1, 216, x2) + +inst_577: +// rs1_val==2863311530 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x556, x1, 220, x2) + +inst_578: +// rs1_val==2863311530 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x4, x1, 224, x2) + +inst_579: +// rs1_val==2863311530 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3e, x1, 228, x2) + +inst_580: +// rs1_val==2863311530 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x0, x1, 232, x2) + +inst_581: +// rs1_val==2863311530 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2c, x1, 236, x2) + +inst_582: +// rs1_val==2863311530 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x665, x1, 240, x2) + +inst_583: +// rs1_val==2863311530 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x332, x1, 244, x2) + +inst_584: +// rs1_val==2863311530 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaa9, x1, 248, x2) + +inst_585: +// rs1_val==2863311530 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x554, x1, 252, x2) + +inst_586: +// rs1_val==2863311530 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2, x1, 256, x2) + +inst_587: +// rs1_val==2863311530 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3f, x1, 260, x2) + +inst_588: +// rs1_val==2863311530 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2d, x1, 264, x2) + +inst_589: +// rs1_val==2863311530 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x666, x1, 268, x2) + +inst_590: +// rs1_val==2863311530 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x333, x1, 272, x2) + +inst_591: +// rs1_val==2863311530 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x5, x1, 276, x2) + +inst_592: +// rs1_val==2863311530 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaaa, x1, 280, x2) + +inst_593: +// rs1_val==2863311530 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x555, x1, 284, x2) + +inst_594: +// rs1_val==2863311530 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3, x1, 288, x2) + +inst_595: +// rs1_val==1431655765 and imm_val==64, rs1_val == 1431655765 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x40, x1, 292, x2) + +inst_596: +// rs1_val==1431655765 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x1, x1, 296, x2) + +inst_597: +// rs1_val==1431655765 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2e, x1, 300, x2) + +inst_598: +// rs1_val==1431655765 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x667, x1, 304, x2) + +inst_599: +// rs1_val==1431655765 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x334, x1, 308, x2) + +inst_600: +// rs1_val==1431655765 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x6, x1, 312, x2) + +inst_601: +// rs1_val==1431655765 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaab, x1, 316, x2) + +inst_602: +// rs1_val==1431655765 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x556, x1, 320, x2) + +inst_603: +// rs1_val==1431655765 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x4, x1, 324, x2) + +inst_604: +// rs1_val==1431655765 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3e, x1, 328, x2) + +inst_605: +// rs1_val==1431655765 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x0, x1, 332, x2) + +inst_606: +// rs1_val==1431655765 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2c, x1, 336, x2) + +inst_607: +// rs1_val==1431655765 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x665, x1, 340, x2) + +inst_608: +// rs1_val==1431655765 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x332, x1, 344, x2) + +inst_609: +// rs1_val==1431655765 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaa9, x1, 348, x2) + +inst_610: +// rs1_val==1431655765 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x554, x1, 352, x2) + +inst_611: +// rs1_val==1431655765 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2, x1, 356, x2) + +inst_612: +// rs1_val==1431655765 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3f, x1, 360, x2) + +inst_613: +// rs1_val==1431655765 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2d, x1, 364, x2) + +inst_614: +// rs1_val==1431655765 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x666, x1, 368, x2) + +inst_615: +// rs1_val==1431655765 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x333, x1, 372, x2) + +inst_616: +// rs1_val==1431655765 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x5, x1, 376, x2) + +inst_617: +// rs1_val==1431655765 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaaa, x1, 380, x2) + +inst_618: +// rs1_val==1431655765 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x555, x1, 384, x2) + +inst_619: +// rs1_val==1431655765 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3, x1, 388, x2) + +inst_620: +// rs1_val==3 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x40, x1, 392, x2) + +inst_621: +// rs1_val==3 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x1, x1, 396, x2) + +inst_622: +// rs1_val==3 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2e, x1, 400, x2) + +inst_623: +// rs1_val==3 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x667, x1, 404, x2) + +inst_624: +// rs1_val==3 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x334, x1, 408, x2) + +inst_625: +// rs1_val==3 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x6, x1, 412, x2) + +inst_626: +// rs1_val==3 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaab, x1, 416, x2) + +inst_627: +// rs1_val==3 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x556, x1, 420, x2) + +inst_628: +// rs1_val==3 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x4, x1, 424, x2) + +inst_629: +// rs1_val==3 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3e, x1, 428, x2) + +inst_630: +// rs1_val==3 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x0, x1, 432, x2) + +inst_631: +// rs1_val==3 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2c, x1, 436, x2) + +inst_632: +// rs1_val==3 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x665, x1, 440, x2) + +inst_633: +// rs1_val==3 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x332, x1, 444, x2) + +inst_634: +// rs1_val==3 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaa9, x1, 448, x2) + +inst_635: +// rs1_val==3 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x554, x1, 452, x2) + +inst_636: +// rs1_val==3 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x2, x1, 456, x2) + +inst_637: +// rs1_val==3 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3f, x1, 460, x2) + +inst_638: +// rs1_val==3 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2d, x1, 464, x2) + +inst_639: +// rs1_val==3 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x666, x1, 468, x2) + +inst_640: +// rs1_val==3 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x333, x1, 472, x2) + +inst_641: +// rs1_val==3 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x5, x1, 476, x2) + +inst_642: +// rs1_val==3 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaaa, x1, 480, x2) + +inst_643: +// rs1_val==3 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x555, x1, 484, x2) + +inst_644: +// rs1_val==3 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x3, x1, 488, x2) + +inst_645: +// rs1_val==2863311529 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x555, x1, 492, x2) + +inst_646: +// rs1_val==2863311529 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3, x1, 496, x2) + +inst_647: +// rs1_val == (2**(xlen)-1), +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffff; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffff, 0x40, x1, 500, x2) + +inst_648: +// rs1_val==1431655764 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x40, x1, 504, x2) + +inst_649: +// rs1_val==1431655764 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x1, x1, 508, x2) + +inst_650: +// rs1_val==1431655764 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2e, x1, 512, x2) + +inst_651: +// rs1_val==1431655764 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x667, x1, 516, x2) + +inst_652: +// rs1_val==1431655764 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x334, x1, 520, x2) + +inst_653: +// rs1_val==1431655764 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x6, x1, 524, x2) + +inst_654: +// rs1_val==1431655764 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaab, x1, 528, x2) + +inst_655: +// rs1_val==1431655764 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x556, x1, 532, x2) + +inst_656: +// rs1_val==1431655764 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x4, x1, 536, x2) + +inst_657: +// rs1_val==1431655764 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3e, x1, 540, x2) + +inst_658: +// rs1_val==1431655764 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x0, x1, 544, x2) + +inst_659: +// rs1_val==1431655764 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2c, x1, 548, x2) + +inst_660: +// rs1_val==1431655764 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x665, x1, 552, x2) + +inst_661: +// rs1_val==1431655764 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x332, x1, 556, x2) + +inst_662: +// rs1_val==1431655764 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaa9, x1, 560, x2) + +inst_663: +// rs1_val==1431655764 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x554, x1, 564, x2) + +inst_664: +// rs1_val==1431655764 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2, x1, 568, x2) + +inst_665: +// rs1_val==1431655764 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3f, x1, 572, x2) + +inst_666: +// rs1_val==1431655764 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2d, x1, 576, x2) + +inst_667: +// rs1_val==1431655764 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x666, x1, 580, x2) + +inst_668: +// rs1_val==1431655764 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x333, x1, 584, x2) + +inst_669: +// rs1_val==1431655764 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x5, x1, 588, x2) + +inst_670: +// rs1_val==1431655764 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaaa, x1, 592, x2) + +inst_671: +// rs1_val==1431655764 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x555, x1, 596, x2) + +inst_672: +// rs1_val==1431655764 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3, x1, 600, x2) + +inst_673: +// rs1_val==2 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x40, x1, 604, x2) + +inst_674: +// rs1_val==2 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x1, x1, 608, x2) + +inst_675: +// rs1_val==2 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2e, x1, 612, x2) + +inst_676: +// rs1_val==2 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x667, x1, 616, x2) + +inst_677: +// rs1_val==2 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x334, x1, 620, x2) + +inst_678: +// rs1_val==2 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x6, x1, 624, x2) + +inst_679: +// rs1_val==2 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaab, x1, 628, x2) + +inst_680: +// rs1_val==2 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x556, x1, 632, x2) + +inst_681: +// rs1_val==2 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x4, x1, 636, x2) + +inst_682: +// rs1_val==2 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3e, x1, 640, x2) + +inst_683: +// rs1_val==2 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x0, x1, 644, x2) + +inst_684: +// rs1_val==2 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2c, x1, 648, x2) + +inst_685: +// rs1_val==2 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x665, x1, 652, x2) + +inst_686: +// rs1_val==2 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x332, x1, 656, x2) + +inst_687: +// rs1_val==2 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x554, x1, 660, x2) + +inst_688: +// rs1_val==2 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x2, x1, 664, x2) + +inst_689: +// rs1_val==2 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3f, x1, 668, x2) + +inst_690: +// rs1_val==2 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2d, x1, 672, x2) + +inst_691: +// rs1_val==2 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x666, x1, 676, x2) + +inst_692: +// rs1_val==2 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x333, x1, 680, x2) + +inst_693: +// rs1_val==2 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x5, x1, 684, x2) + +inst_694: +// rs1_val==2 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaaa, x1, 688, x2) + +inst_695: +// rs1_val == 4261412863, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfdffffff; immval:0xc +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfdffffff, 0xc, x1, 692, x2) + +inst_696: +// rs1_val == 4294443007, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfff7ffff; immval:0xa +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfff7ffff, 0xa, x1, 696, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x14_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x14_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 175*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S new file mode 100644 index 000000000..999007f7c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S @@ -0,0 +1,3695 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sltu instruction of the RISC-V E extension for the sltu covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltu) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x2, rd==x7, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 16384 +// opcode: sltu ; op1:x12; op2:x2; dest:x7; op1val:0xaaaaaaab; op2val:0x4000 +TEST_RR_OP(sltu, x7, x12, x2, 0x0, 0xaaaaaaab, 0x4000, x4, 0, x9) + +inst_1: +// rs1 == rd != rs2, rs1==x11, rs2==x7, rd==x11, rs2_val == 2147483647, rs1_val == 262144 +// opcode: sltu ; op1:x11; op2:x7; dest:x11; op1val:0x40000; op2val:0x7fffffff +TEST_RR_OP(sltu, x11, x11, x7, 0x1, 0x40000, 0x7fffffff, x4, 4, x9) + +inst_2: +// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs2_val == 3221225471, rs1_val == 131072 +// opcode: sltu ; op1:x6; op2:x10; dest:x10; op1val:0x20000; op2val:0xbfffffff +TEST_RR_OP(sltu, x10, x6, x10, 0x1, 0x20000, 0xbfffffff, x4, 8, x9) + +inst_3: +// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x3, rs2_val == 3758096383, rs1_val == 4261412863 +// opcode: sltu ; op1:x14; op2:x14; dest:x3; op1val:0xfdffffff; op2val:0xfdffffff +TEST_RR_OP(sltu, x3, x14, x14, 0x0, 0xfdffffff, 0xfdffffff, x4, 12, x9) + +inst_4: +// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 4026531839, rs1_val == 0 +// opcode: sltu ; op1:x5; op2:x5; dest:x5; op1val:0x0; op2val:0x0 +TEST_RR_OP(sltu, x5, x5, x5, 0x0, 0x0, 0x0, x4, 16, x9) + +inst_5: +// rs1==x8, rs2==x1, rd==x0, rs2_val == 4160749567, +// opcode: sltu ; op1:x8; op2:x1; dest:x0; op1val:0x0; op2val:0xf7ffffff +TEST_RR_OP(sltu, x0, x8, x1, 0, 0x0, 0xf7ffffff, x4, 20, x9) + +inst_6: +// rs1==x3, rs2==x9, rd==x13, rs2_val == 4227858431, +// opcode: sltu ; op1:x3; op2:x9; dest:x13; op1val:0x0; op2val:0xfbffffff +TEST_RR_OP(sltu, x13, x3, x9, 0x1, 0x0, 0xfbffffff, x4, 24, x7) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_7: +// rs1==x9, rs2==x3, rd==x2, rs2_val == 4261412863, rs1_val == 4294967167 +// opcode: sltu ; op1:x9; op2:x3; dest:x2; op1val:0xffffff7f; op2val:0xfdffffff +TEST_RR_OP(sltu, x2, x9, x3, 0x0, 0xffffff7f, 0xfdffffff, x5, 0, x7) + +inst_8: +// rs1==x15, rs2==x13, rd==x6, rs2_val == 4278190079, rs1_val == 4294950911 +// opcode: sltu ; op1:x15; op2:x13; dest:x6; op1val:0xffffbfff; op2val:0xfeffffff +TEST_RR_OP(sltu, x6, x15, x13, 0x0, 0xffffbfff, 0xfeffffff, x5, 4, x7) + +inst_9: +// rs1==x13, rs2==x0, rd==x12, rs2_val == 4286578687, rs1_val == 4026531839 +// opcode: sltu ; op1:x13; op2:x0; dest:x12; op1val:0xefffffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x13, x0, 0x0, 0xefffffff, 0x0, x5, 8, x7) + +inst_10: +// rs1==x1, rs2==x11, rd==x14, rs2_val == 4290772991, rs1_val == 4294901759 +// opcode: sltu ; op1:x1; op2:x11; dest:x14; op1val:0xfffeffff; op2val:0xffbfffff +TEST_RR_OP(sltu, x14, x1, x11, 0x0, 0xfffeffff, 0xffbfffff, x5, 12, x7) + +inst_11: +// rs1==x2, rs2==x8, rd==x4, rs2_val == 4292870143, rs1_val == 8 +// opcode: sltu ; op1:x2; op2:x8; dest:x4; op1val:0x8; op2val:0xffdfffff +TEST_RR_OP(sltu, x4, x2, x8, 0x1, 0x8, 0xffdfffff, x5, 16, x3) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_12: +// rs1==x7, rs2==x4, rd==x8, rs2_val == 4293918719, rs1_val == 2863311530 +// opcode: sltu ; op1:x7; op2:x4; dest:x8; op1val:0xaaaaaaaa; op2val:0xffefffff +TEST_RR_OP(sltu, x8, x7, x4, 0x1, 0xaaaaaaaa, 0xffefffff, x2, 0, x3) + +inst_13: +// rs1==x10, rs2==x12, rd==x15, rs2_val == 4294443007, +// opcode: sltu ; op1:x10; op2:x12; dest:x15; op1val:0xb504; op2val:0xfff7ffff +TEST_RR_OP(sltu, x15, x10, x12, 0x1, 0xb504, 0xfff7ffff, x2, 4, x3) + +inst_14: +// rs1==x4, rs2==x15, rd==x9, rs2_val == 4294705151, rs1_val == 2048 +// opcode: sltu ; op1:x4; op2:x15; dest:x9; op1val:0x800; op2val:0xfffbffff +TEST_RR_OP(sltu, x9, x4, x15, 0x1, 0x800, 0xfffbffff, x2, 8, x3) + +inst_15: +// rs1==x0, rs2==x6, rd==x1, rs2_val == 4294836223, +// opcode: sltu ; op1:x0; op2:x6; dest:x1; op1val:0x0; op2val:0xfffdffff +TEST_RR_OP(sltu, x1, x0, x6, 0x1, 0x0, 0xfffdffff, x2, 12, x3) + +inst_16: +// rs2_val == 4294901759, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xfffeffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xfffeffff, x2, 16, x3) + +inst_17: +// rs2_val == 4294934527, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xffff7fff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xffff7fff, x2, 20, x1) + +inst_18: +// rs2_val == 4294950911, rs1_val == 1431655765 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffffbfff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xffffbfff, x2, 24, x1) + +inst_19: +// rs2_val == 4294959103, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffffdfff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xffffdfff, x2, 28, x1) + +inst_20: +// rs2_val == 4294963199, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffffefff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xffffefff, x2, 32, x1) + +inst_21: +// rs2_val == 4294965247, rs1_val == 4293918719 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xfffff7ff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffefffff, 0xfffff7ff, x2, 36, x1) + +inst_22: +// rs2_val == 4294966271, rs1_val == 524288 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80000, 0xfffffbff, x2, 40, x1) + +inst_23: +// rs2_val == 4294966783, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffffdff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xfffffdff, x2, 44, x1) + +inst_24: +// rs2_val == 4294967039, rs1_val == 4286578687 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xfffffeff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xff7fffff, 0xfffffeff, x2, 48, x1) + +inst_25: +// rs2_val == 4294967167, rs1_val == 4294966271 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffff7f +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffbff, 0xffffff7f, x2, 52, x1) + +inst_26: +// rs2_val == 4294967231, rs1_val == 64 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xffffffbf +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x40, 0xffffffbf, x2, 56, x1) + +inst_27: +// rs2_val == 4294967263, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xffffffdf +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x800, 0xffffffdf, x2, 60, x1) + +inst_28: +// rs2_val == 4294967279, rs1_val == 4294965247 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffffef +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffff7ff, 0xffffffef, x2, 64, x1) + +inst_29: +// rs2_val == 4294967287, rs1_val == 4194304 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfffffff7 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400000, 0xfffffff7, x2, 68, x1) + +inst_30: +// rs2_val == 4294967291, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 4294967291 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xfffffffb +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffb, 0xfffffffb, x2, 72, x1) + +inst_31: +// rs2_val == 4294967293, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffffffd +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xfffffffd, x2, 76, x1) + +inst_32: +// rs2_val == 4294967294, rs1_val == 536870912 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffffffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20000000, 0xfffffffe, x2, 80, x1) + +inst_33: +// rs1_val == 2147483647, rs2_val == 536870912 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x7fffffff, 0x20000000, x2, 84, x1) + +inst_34: +// rs1_val == 3221225471, rs2_val == 0 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xbfffffff, 0x0, x2, 88, x1) + +inst_35: +// rs1_val == 3758096383, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0x12 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xdfffffff, 0x12, x2, 92, x1) + +inst_36: +// rs1_val == 4160749567, rs2_val == 2863311530 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xf7ffffff, 0xaaaaaaaa, x2, 96, x1) + +inst_37: +// rs1_val == 4227858431, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfbffffff, 0x20000000, x2, 100, x1) + +inst_38: +// rs1_val == 4278190079, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffffdff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfeffffff, 0xfffffdff, x2, 104, x1) + +inst_39: +// rs1_val == 4290772991, rs2_val == 65536 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffbfffff, 0x10000, x2, 108, x1) + +inst_40: +// rs1_val == 4292870143, rs2_val == 2097152 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x200000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x200000, x2, 112, x1) + +inst_41: +// rs1_val == 4294443007, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfff7ffff, 0x3, x2, 116, x1) + +inst_42: +// rs1_val == 4294705151, rs2_val == 16 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x10 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x10, x2, 120, x1) + +inst_43: +// rs1_val == 4294836223, rs2_val == 32768 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x8000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffdffff, 0x8000, x2, 124, x1) + +inst_44: +// rs1_val == 4294934527, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff7fff, 0xfffffffd, x2, 128, x1) + +inst_45: +// rs1_val == 4294959103, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x8000, x2, 132, x1) + +inst_46: +// rs1_val == 4294963199, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffefff, 0xaaaaaaa9, x2, 136, x1) + +inst_47: +// rs1_val == 4294966783, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffffb +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffdff, 0xfffffffb, x2, 140, x1) + +inst_48: +// rs1_val == 4294967039, rs2_val == 4194304 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x400000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffeff, 0x400000, x2, 144, x1) + +inst_49: +// rs1_val == 4294967231, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffbf, 0xa, x2, 148, x1) + +inst_50: +// rs1_val == 4294967263, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffdf, 0x5, x2, 152, x1) + +inst_51: +// rs1_val == 4294967279, rs2_val == 2048 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x800 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffef, 0x800, x2, 156, x1) + +inst_52: +// rs1_val == 4294967287, rs2_val == 33554432 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x2000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x2000000, x2, 160, x1) + +inst_53: +// rs1_val == 4294967293, rs2_val == 8388608 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x800000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffd, 0x800000, x2, 164, x1) + +inst_54: +// rs1_val == 4294967294, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x6, x2, 168, x1) + +inst_55: +// rs2_val == 2147483648, rs1_val == 2 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x80000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x80000000, x2, 172, x1) + +inst_56: +// rs2_val == 1073741824, rs1_val == 4 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 176, x1) + +inst_57: +// rs2_val == 268435456, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x10000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xd, 0x10000000, x2, 180, x1) + +inst_58: +// rs2_val == 134217728, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x8000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x8000000, x2, 184, x1) + +inst_59: +// rs2_val == 67108864, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4000000, x2, 188, x1) + +inst_60: +// rs2_val == 16777216, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x1000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x1000000, x2, 192, x1) + +inst_61: +// rs2_val == 1048576, rs1_val == 16 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 196, x1) + +inst_62: +// rs2_val == 524288, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x80000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x80000, x2, 200, x1) + +inst_63: +// rs2_val == 262144, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x40000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x40000, x2, 204, x1) + +inst_64: +// rs2_val == 131072, rs1_val == 2097152 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x20000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x200000, 0x20000, x2, 208, x1) + +inst_65: +// rs2_val == 8192, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x2000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x2000, x2, 212, x1) + +inst_66: +// rs2_val == 4096, rs1_val == 268435456 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x1000, x2, 216, x1) + +inst_67: +// rs2_val == 1024, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x400, x2, 220, x1) + +inst_68: +// rs2_val == 512, rs1_val == 1048576 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x100000, 0x200, x2, 224, x1) + +inst_69: +// rs2_val == 256, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x100, x2, 228, x1) + +inst_70: +// rs2_val == 128, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x80 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x80, x2, 232, x1) + +inst_71: +// rs2_val == 64, rs1_val == 2147483648 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x40 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x80000000, 0x40, x2, 236, x1) + +inst_72: +// rs2_val == 32, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x20 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x20, x2, 240, x1) + +inst_73: +// rs2_val == 8, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x8 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x8, x2, 244, x1) + +inst_74: +// rs2_val == 4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8, 0x4, x2, 248, x1) + +inst_75: +// rs2_val == 2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x2, x2, 252, x1) + +inst_76: +// rs2_val == 1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x1, x2, 256, x1) + +inst_77: +// rs1_val == 1073741824, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x2000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x40000000, 0x2000000, x2, 260, x1) + +inst_78: +// rs1_val == 134217728, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x200 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8000000, 0x200, x2, 264, x1) + +inst_79: +// rs1_val == 67108864, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000000, 0xfffe, x2, 268, x1) + +inst_80: +// rs1_val == 33554432, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000000, 0x4, x2, 272, x1) + +inst_81: +// rs1_val == 16777216, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000000, 0x33333334, x2, 276, x1) + +inst_82: +// rs1_val == 8388608, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x80000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x800000, 0x80000, x2, 280, x1) + +inst_83: +// rs1_val == 65536, rs1_val==65536 and rs2_val==0 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x0, x2, 284, x1) + +inst_84: +// rs1_val == 32768, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x8000, 0xaaaaaaa9, x2, 288, x1) + +inst_85: +// rs1_val == 16384, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000, 0x4, x2, 292, x1) + +inst_86: +// rs1_val == 8192, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x20 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000, 0x20, x2, 296, x1) + +inst_87: +// rs1_val == 4096, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000, 0xfffffbff, x2, 300, x1) + +inst_88: +// rs1_val == 1024, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xbfffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400, 0xbfffffff, x2, 304, x1) + +inst_89: +// rs1_val == 512, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x200, 0x10000, x2, 308, x1) + +inst_90: +// rs1_val == 256, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xf7ffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x100, 0xf7ffffff, x2, 312, x1) + +inst_91: +// rs1_val == 128, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80, 0x10000, x2, 316, x1) + +inst_92: +// rs1_val == 32, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xefffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20, 0xefffffff, x2, 320, x1) + +inst_93: +// rs1_val == 1, rs1_val==1 and rs2_val==46340 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb504, x2, 324, x1) + +inst_94: +// rs1_val==65536 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x10000, x2, 328, x1) + +inst_95: +// rs1_val==65536 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x1, x2, 332, x1) + +inst_96: +// rs1_val==65536 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb505, x2, 336, x1) + +inst_97: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666667, x2, 340, x1) + +inst_98: +// rs1_val==65536 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333334, x2, 344, x1) + +inst_99: +// rs1_val==65536 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x6, x2, 348, x1) + +inst_100: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaab, x2, 352, x1) + +inst_101: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555556, x2, 356, x1) + +inst_102: +// rs1_val==65536 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x4, x2, 360, x1) + +inst_103: +// rs1_val==65536 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x2, 364, x1) + +inst_104: +// rs1_val==65536 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb503, x2, 368, x1) + +inst_105: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666665, x2, 372, x1) + +inst_106: +// rs1_val==65536 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333332, x2, 376, x1) + +inst_107: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaa9, x2, 380, x1) + +inst_108: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555554, x2, 384, x1) + +inst_109: +// rs1_val==65536 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x2, x2, 388, x1) + +inst_110: +// rs1_val==65536 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xffff, x2, 392, x1) + +inst_111: +// rs1_val==65536 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb504, x2, 396, x1) + +inst_112: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666666, x2, 400, x1) + +inst_113: +// rs1_val==65536 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333333, x2, 404, x1) + +inst_114: +// rs1_val==65536 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x5, x2, 408, x1) + +inst_115: +// rs1_val==65536 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaaa, x2, 412, x1) + +inst_116: +// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555555, x2, 416, x1) + +inst_117: +// rs1_val==65536 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x3, x2, 420, x1) + +inst_118: +// rs1_val==1 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x10000, x2, 424, x1) + +inst_119: +// rs1_val==1 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x1, x2, 428, x1) + +inst_120: +// rs1_val==1 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb505, x2, 432, x1) + +inst_121: +// rs1_val==1 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666667, x2, 436, x1) + +inst_122: +// rs1_val==1 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333334, x2, 440, x1) + +inst_123: +// rs1_val==1 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x6, x2, 444, x1) + +inst_124: +// rs1_val==1 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x2, 448, x1) + +inst_125: +// rs1_val==1 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555556, x2, 452, x1) + +inst_126: +// rs1_val==1 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x4, x2, 456, x1) + +inst_127: +// rs1_val==1 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xfffe, x2, 460, x1) + +inst_128: +// rs1_val==1 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x0, x2, 464, x1) + +inst_129: +// rs1_val==1 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb503, x2, 468, x1) + +inst_130: +// rs1_val==1 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666665, x2, 472, x1) + +inst_131: +// rs1_val==1 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333332, x2, 476, x1) + +inst_132: +// rs1_val==1 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x2, 480, x1) + +inst_133: +// rs1_val==1 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555554, x2, 484, x1) + +inst_134: +// rs1_val==1 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x2, x2, 488, x1) + +inst_135: +// rs1_val==1 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xffff, x2, 492, x1) + +inst_136: +// rs1_val==1 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666666, x2, 496, x1) + +inst_137: +// rs1_val==1 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333333, x2, 500, x1) + +inst_138: +// rs1_val==1 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x5, x2, 504, x1) + +inst_139: +// rs1_val==1 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x2, 508, x1) + +inst_140: +// rs1_val==1 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555555, x2, 512, x1) + +inst_141: +// rs1_val==1 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x3, x2, 516, x1) + +inst_142: +// rs1_val==46341 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x10000, x2, 520, x1) + +inst_143: +// rs1_val==46341 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x1, x2, 524, x1) + +inst_144: +// rs1_val==46341 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 528, x1) + +inst_145: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 532, x1) + +inst_146: +// rs1_val==46341 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 536, x1) + +inst_147: +// rs1_val==46341 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 540, x1) + +inst_148: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaab, x2, 544, x1) + +inst_149: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 548, x1) + +inst_150: +// rs1_val==46341 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 552, x1) + +inst_151: +// rs1_val==46341 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xfffe, x2, 556, x1) + +inst_152: +// rs1_val==46341 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 560, x1) + +inst_153: +// rs1_val==46341 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 564, x1) + +inst_154: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 568, x1) + +inst_155: +// rs1_val==46341 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 572, x1) + +inst_156: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaa9, x2, 576, x1) + +inst_157: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 580, x1) + +inst_158: +// rs1_val==46341 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 584, x1) + +inst_159: +// rs1_val==46341 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xffff, x2, 588, x1) + +inst_160: +// rs1_val==46341 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 592, x1) + +inst_161: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 596, x1) + +inst_162: +// rs1_val==46341 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 600, x1) + +inst_163: +// rs1_val==46341 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 604, x1) + +inst_164: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaaa, x2, 608, x1) + +inst_165: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 612, x1) + +inst_166: +// rs1_val==46341 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 616, x1) + +inst_167: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x10000, x2, 620, x1) + +inst_168: +// rs1_val==1717986919 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x1, x2, 624, x1) + +inst_169: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 628, x1) + +inst_170: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 632, x1) + +inst_171: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 636, x1) + +inst_172: +// rs1_val==1717986919 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 640, x1) + +inst_173: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaab, x2, 644, x1) + +inst_174: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 648, x1) + +inst_175: +// rs1_val==1717986919 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 652, x1) + +inst_176: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xfffe, x2, 656, x1) + +inst_177: +// rs1_val==1717986919 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 660, x1) + +inst_178: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 664, x1) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 668, x1) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 672, x1) + +inst_181: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaa9, x2, 676, x1) + +inst_182: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 680, x1) + +inst_183: +// rs1_val==1717986919 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 684, x1) + +inst_184: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xffff, x2, 688, x1) + +inst_185: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 692, x1) + +inst_186: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 696, x1) + +inst_187: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 700, x1) + +inst_188: +// rs1_val==1717986919 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 704, x1) + +inst_189: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaaa, x2, 708, x1) + +inst_190: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 712, x1) + +inst_191: +// rs1_val==1717986919 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 716, x1) + +inst_192: +// rs1_val==858993460 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x10000, x2, 720, x1) + +inst_193: +// rs1_val==858993460 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x1, x2, 724, x1) + +inst_194: +// rs1_val==858993460 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 728, x1) + +inst_195: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 732, x1) + +inst_196: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 736, x1) + +inst_197: +// rs1_val==858993460 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 740, x1) + +inst_198: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaab, x2, 744, x1) + +inst_199: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 748, x1) + +inst_200: +// rs1_val==858993460 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 752, x1) + +inst_201: +// rs1_val==858993460 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xfffe, x2, 756, x1) + +inst_202: +// rs1_val==858993460 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 760, x1) + +inst_203: +// rs1_val==858993460 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 764, x1) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 768, x1) + +inst_205: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 772, x1) + +inst_206: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaa9, x2, 776, x1) + +inst_207: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 780, x1) + +inst_208: +// rs1_val==858993460 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 784, x1) + +inst_209: +// rs1_val==858993460 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xffff, x2, 788, x1) + +inst_210: +// rs1_val==858993460 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 792, x1) + +inst_211: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 796, x1) + +inst_212: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 800, x1) + +inst_213: +// rs1_val==858993460 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 804, x1) + +inst_214: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaaa, x2, 808, x1) + +inst_215: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 812, x1) + +inst_216: +// rs1_val==858993460 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 816, x1) + +inst_217: +// rs1_val==6 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x10000, x2, 820, x1) + +inst_218: +// rs1_val==6 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x1, x2, 824, x1) + +inst_219: +// rs1_val==6 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 828, x1) + +inst_220: +// rs1_val==6 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 832, x1) + +inst_221: +// rs1_val==6 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 836, x1) + +inst_222: +// rs1_val==6 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x6, x2, 840, x1) + +inst_223: +// rs1_val==6 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaab, x2, 844, x1) + +inst_224: +// rs1_val==6 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 848, x1) + +inst_225: +// rs1_val==6 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x4, x2, 852, x1) + +inst_226: +// rs1_val==6 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xfffe, x2, 856, x1) + +inst_227: +// rs1_val==6 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x0, x2, 860, x1) + +inst_228: +// rs1_val==6 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 864, x1) + +inst_229: +// rs1_val==6 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 868, x1) + +inst_230: +// rs1_val==6 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 872, x1) + +inst_231: +// rs1_val==6 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaa9, x2, 876, x1) + +inst_232: +// rs1_val==6 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 880, x1) + +inst_233: +// rs1_val==6 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x2, x2, 884, x1) + +inst_234: +// rs1_val==6 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xffff, x2, 888, x1) + +inst_235: +// rs1_val==6 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 892, x1) + +inst_236: +// rs1_val==6 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 896, x1) + +inst_237: +// rs1_val==6 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 900, x1) + +inst_238: +// rs1_val==6 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x5, x2, 904, x1) + +inst_239: +// rs1_val==6 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaaa, x2, 908, x1) + +inst_240: +// rs1_val==6 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 912, x1) + +inst_241: +// rs1_val==6 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x3, x2, 916, x1) + +inst_242: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x10000, x2, 920, x1) + +inst_243: +// rs1_val==2863311531 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x2, 924, x1) + +inst_244: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb505, x2, 928, x1) + +inst_245: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666667, x2, 932, x1) + +inst_246: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333334, x2, 936, x1) + +inst_247: +// rs1_val==2863311531 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x6, x2, 940, x1) + +inst_248: +// rs1_val==2863311531 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x2, 944, x1) + +inst_249: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555556, x2, 948, x1) + +inst_250: +// rs1_val==2863311531 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x4, x2, 952, x1) + +inst_251: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xfffe, x2, 956, x1) + +inst_252: +// rs1_val==2863311531 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x2, 960, x1) + +inst_253: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb503, x2, 964, x1) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666665, x2, 968, x1) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333332, x2, 972, x1) + +inst_256: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaa9, x2, 976, x1) + +inst_257: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555554, x2, 980, x1) + +inst_258: +// rs1_val==2863311531 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x2, x2, 984, x1) + +inst_259: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xffff, x2, 988, x1) + +inst_260: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb504, x2, 992, x1) + +inst_261: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666666, x2, 996, x1) + +inst_262: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333333, x2, 1000, x1) + +inst_263: +// rs1_val==2863311531 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x5, x2, 1004, x1) + +inst_264: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaaa, x2, 1008, x1) + +inst_265: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555555, x2, 1012, x1) + +inst_266: +// rs1_val==2863311531 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x2, 1016, x1) + +inst_267: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x10000, x2, 1020, x1) + +inst_268: +// rs1_val==1431655766 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x1, x2, 1024, x1) + +inst_269: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 1028, x1) + +inst_270: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 1032, x1) + +inst_271: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 1036, x1) + +inst_272: +// rs1_val==1431655766 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 1040, x1) + +inst_273: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaab, x2, 1044, x1) + +inst_274: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 1048, x1) + +inst_275: +// rs1_val==1431655766 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 1052, x1) + +inst_276: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xfffe, x2, 1056, x1) + +inst_277: +// rs1_val==1431655766 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 1060, x1) + +inst_278: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 1064, x1) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 1068, x1) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 1072, x1) + +inst_281: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaa9, x2, 1076, x1) + +inst_282: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 1080, x1) + +inst_283: +// rs1_val==1431655766 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 1084, x1) + +inst_284: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xffff, x2, 1088, x1) + +inst_285: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 1092, x1) + +inst_286: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 1096, x1) + +inst_287: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 1100, x1) + +inst_288: +// rs1_val==1431655766 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 1104, x1) + +inst_289: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaaa, x2, 1108, x1) + +inst_290: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 1112, x1) + +inst_291: +// rs1_val==1431655766 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 1116, x1) + +inst_292: +// rs1_val==4 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x10000, x2, 1120, x1) + +inst_293: +// rs1_val==4 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x1, x2, 1124, x1) + +inst_294: +// rs1_val==4 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 1128, x1) + +inst_295: +// rs1_val==4 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 1132, x1) + +inst_296: +// rs1_val==4 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 1136, x1) + +inst_297: +// rs1_val==4 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x6, x2, 1140, x1) + +inst_298: +// rs1_val==4 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaab, x2, 1144, x1) + +inst_299: +// rs1_val==4 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1148, x1) + +inst_300: +// rs1_val==4 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1152, x1) + +inst_301: +// rs1_val==4 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xfffe, x2, 1156, x1) + +inst_302: +// rs1_val==4 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1160, x1) + +inst_303: +// rs1_val==4 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 1164, x1) + +inst_304: +// rs1_val==4 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1168, x1) + +inst_305: +// rs1_val==4 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 1172, x1) + +inst_306: +// rs1_val==4 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaa9, x2, 1176, x1) + +inst_307: +// rs1_val==4 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1180, x1) + +inst_308: +// rs1_val==4 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1184, x1) + +inst_309: +// rs1_val==4 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xffff, x2, 1188, x1) + +inst_310: +// rs1_val==4 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 1192, x1) + +inst_311: +// rs1_val==4 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1196, x1) + +inst_312: +// rs1_val==4 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1200, x1) + +inst_313: +// rs1_val==4 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1204, x1) + +inst_314: +// rs1_val==4 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaaa, x2, 1208, x1) + +inst_315: +// rs1_val==4 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1212, x1) + +inst_316: +// rs1_val==4 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1216, x1) + +inst_317: +// rs1_val==65534 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x10000, x2, 1220, x1) + +inst_318: +// rs1_val==65534 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x1, x2, 1224, x1) + +inst_319: +// rs1_val==65534 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x2, 1228, x1) + +inst_320: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666667, x2, 1232, x1) + +inst_321: +// rs1_val==65534 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333334, x2, 1236, x1) + +inst_322: +// rs1_val==65534 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x6, x2, 1240, x1) + +inst_323: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaab, x2, 1244, x1) + +inst_324: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555556, x2, 1248, x1) + +inst_325: +// rs1_val==65534 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x4, x2, 1252, x1) + +inst_326: +// rs1_val==65534 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x2, 1256, x1) + +inst_327: +// rs1_val==65534 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x0, x2, 1260, x1) + +inst_328: +// rs1_val==65534 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x2, 1264, x1) + +inst_329: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666665, x2, 1268, x1) + +inst_330: +// rs1_val==65534 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333332, x2, 1272, x1) + +inst_331: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaa9, x2, 1276, x1) + +inst_332: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555554, x2, 1280, x1) + +inst_333: +// rs1_val==65534 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x2, x2, 1284, x1) + +inst_334: +// rs1_val==65534 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xffff, x2, 1288, x1) + +inst_335: +// rs1_val==65534 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x2, 1292, x1) + +inst_336: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666666, x2, 1296, x1) + +inst_337: +// rs1_val==65534 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333333, x2, 1300, x1) + +inst_338: +// rs1_val==65534 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x5, x2, 1304, x1) + +inst_339: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaaa, x2, 1308, x1) + +inst_340: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555555, x2, 1312, x1) + +inst_341: +// rs1_val==65534 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x3, x2, 1316, x1) + +inst_342: +// rs1_val==0 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x10000, x2, 1320, x1) + +inst_343: +// rs1_val==0 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x1, x2, 1324, x1) + +inst_344: +// rs1_val==0 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1328, x1) + +inst_345: +// rs1_val==0 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1332, x1) + +inst_346: +// rs1_val==0 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1336, x1) + +inst_347: +// rs1_val==0 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1340, x1) + +inst_348: +// rs1_val==0 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaab, x2, 1344, x1) + +inst_349: +// rs1_val==0 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1348, x1) + +inst_350: +// rs1_val==0 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1352, x1) + +inst_351: +// rs1_val==0 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xfffe, x2, 1356, x1) + +inst_352: +// rs1_val==0 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1360, x1) + +inst_353: +// rs1_val==0 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1364, x1) + +inst_354: +// rs1_val==0 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1368, x1) + +inst_355: +// rs1_val==0 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1372, x1) + +inst_356: +// rs1_val==0 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaa9, x2, 1376, x1) + +inst_357: +// rs1_val==0 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1380, x1) + +inst_358: +// rs1_val==0 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1384, x1) + +inst_359: +// rs1_val==0 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xffff, x2, 1388, x1) + +inst_360: +// rs1_val==0 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1392, x1) + +inst_361: +// rs1_val==0 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1396, x1) + +inst_362: +// rs1_val==0 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1400, x1) + +inst_363: +// rs1_val==0 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1404, x1) + +inst_364: +// rs1_val==0 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaaa, x2, 1408, x1) + +inst_365: +// rs1_val==0 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1412, x1) + +inst_366: +// rs1_val==0 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1416, x1) + +inst_367: +// rs1_val==46339 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x10000, x2, 1420, x1) + +inst_368: +// rs1_val==46339 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x1, x2, 1424, x1) + +inst_369: +// rs1_val==46339 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1428, x1) + +inst_370: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1432, x1) + +inst_371: +// rs1_val==46339 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1436, x1) + +inst_372: +// rs1_val==46339 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1440, x1) + +inst_373: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaab, x2, 1444, x1) + +inst_374: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1448, x1) + +inst_375: +// rs1_val==46339 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1452, x1) + +inst_376: +// rs1_val==46339 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xfffe, x2, 1456, x1) + +inst_377: +// rs1_val==46339 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1460, x1) + +inst_378: +// rs1_val==46339 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1464, x1) + +inst_379: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1468, x1) + +inst_380: +// rs1_val==46339 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1472, x1) + +inst_381: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaa9, x2, 1476, x1) + +inst_382: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1480, x1) + +inst_383: +// rs1_val==46339 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1484, x1) + +inst_384: +// rs1_val==46339 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xffff, x2, 1488, x1) + +inst_385: +// rs1_val==46339 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1492, x1) + +inst_386: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1496, x1) + +inst_387: +// rs1_val==46339 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1500, x1) + +inst_388: +// rs1_val==46339 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1504, x1) + +inst_389: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaaa, x2, 1508, x1) + +inst_390: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1512, x1) + +inst_391: +// rs1_val==46339 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1516, x1) + +inst_392: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x10000, x2, 1520, x1) + +inst_393: +// rs1_val==1717986917 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x1, x2, 1524, x1) + +inst_394: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1528, x1) + +inst_395: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1532, x1) + +inst_396: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1536, x1) + +inst_397: +// rs1_val==1717986917 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1540, x1) + +inst_398: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaab, x2, 1544, x1) + +inst_399: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1548, x1) + +inst_400: +// rs1_val==1717986917 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1552, x1) + +inst_401: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xfffe, x2, 1556, x1) + +inst_402: +// rs1_val==1717986917 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1560, x1) + +inst_403: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1564, x1) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1568, x1) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1572, x1) + +inst_406: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaa9, x2, 1576, x1) + +inst_407: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1580, x1) + +inst_408: +// rs1_val==1717986917 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1584, x1) + +inst_409: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xffff, x2, 1588, x1) + +inst_410: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1592, x1) + +inst_411: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1596, x1) + +inst_412: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1600, x1) + +inst_413: +// rs1_val==1717986917 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1604, x1) + +inst_414: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaaa, x2, 1608, x1) + +inst_415: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1612, x1) + +inst_416: +// rs1_val==1717986917 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1616, x1) + +inst_417: +// rs1_val==858993458 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x10000, x2, 1620, x1) + +inst_418: +// rs1_val==858993458 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x1, x2, 1624, x1) + +inst_419: +// rs1_val==858993458 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1628, x1) + +inst_420: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1632, x1) + +inst_421: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1636, x1) + +inst_422: +// rs1_val==858993458 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1640, x1) + +inst_423: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaab, x2, 1644, x1) + +inst_424: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1648, x1) + +inst_425: +// rs1_val==858993458 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1652, x1) + +inst_426: +// rs1_val==858993458 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xfffe, x2, 1656, x1) + +inst_427: +// rs1_val==858993458 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1660, x1) + +inst_428: +// rs1_val==858993458 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1664, x1) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1668, x1) + +inst_430: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1672, x1) + +inst_431: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaa9, x2, 1676, x1) + +inst_432: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1680, x1) + +inst_433: +// rs1_val==858993458 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1684, x1) + +inst_434: +// rs1_val==858993458 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xffff, x2, 1688, x1) + +inst_435: +// rs1_val==858993458 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1692, x1) + +inst_436: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1696, x1) + +inst_437: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1700, x1) + +inst_438: +// rs1_val==858993458 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1704, x1) + +inst_439: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaaa, x2, 1708, x1) + +inst_440: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1712, x1) + +inst_441: +// rs1_val==858993458 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1716, x1) + +inst_442: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x10000, x2, 1720, x1) + +inst_443: +// rs1_val==2863311529 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x2, 1724, x1) + +inst_444: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb505, x2, 1728, x1) + +inst_445: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666667, x2, 1732, x1) + +inst_446: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333334, x2, 1736, x1) + +inst_447: +// rs1_val==2863311529 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x6, x2, 1740, x1) + +inst_448: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaab, x2, 1744, x1) + +inst_449: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555556, x2, 1748, x1) + +inst_450: +// rs1_val==2863311529 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x4, x2, 1752, x1) + +inst_451: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xfffe, x2, 1756, x1) + +inst_452: +// rs1_val==2863311529 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x2, 1760, x1) + +inst_453: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb503, x2, 1764, x1) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666665, x2, 1768, x1) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333332, x2, 1772, x1) + +inst_456: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x2, 1776, x1) + +inst_457: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555554, x2, 1780, x1) + +inst_458: +// rs1_val==2863311529 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x2, x2, 1784, x1) + +inst_459: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xffff, x2, 1788, x1) + +inst_460: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb504, x2, 1792, x1) + +inst_461: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666666, x2, 1796, x1) + +inst_462: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333333, x2, 1800, x1) + +inst_463: +// rs1_val==2863311529 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x5, x2, 1804, x1) + +inst_464: +// rs1_val==1431655764 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1808, x1) + +inst_465: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x2, 1812, x1) + +inst_466: +// rs1_val==1431655764 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1816, x1) + +inst_467: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1820, x1) + +inst_468: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1824, x1) + +inst_469: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1828, x1) + +inst_470: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaa9, x2, 1832, x1) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1836, x1) + +inst_472: +// rs1_val==1431655764 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1840, x1) + +inst_473: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xffff, x2, 1844, x1) + +inst_474: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1848, x1) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1852, x1) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1856, x1) + +inst_477: +// rs1_val==1431655764 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1860, x1) + +inst_478: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaaa, x2, 1864, x1) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1868, x1) + +inst_480: +// rs1_val==1431655764 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1872, x1) + +inst_481: +// rs1_val==2 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x10000, x2, 1876, x1) + +inst_482: +// rs1_val==2 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x1, x2, 1880, x1) + +inst_483: +// rs1_val==2 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1884, x1) + +inst_484: +// rs1_val==2 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1888, x1) + +inst_485: +// rs1_val==2 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1892, x1) + +inst_486: +// rs1_val==2 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1896, x1) + +inst_487: +// rs1_val==2 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x2, 1900, x1) + +inst_488: +// rs1_val==2 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1904, x1) + +inst_489: +// rs1_val==2 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1908, x1) + +inst_490: +// rs1_val==2 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xfffe, x2, 1912, x1) + +inst_491: +// rs1_val==2 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1916, x1) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1920, x1) + +inst_493: +// rs1_val==2 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1924, x1) + +inst_494: +// rs1_val==2 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1928, x1) + +inst_495: +// rs1_val==2 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x2, 1932, x1) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1936, x1) + +inst_497: +// rs1_val==2 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1940, x1) + +inst_498: +// rs1_val==2 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xffff, x2, 1944, x1) + +inst_499: +// rs1_val==2 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1948, x1) + +inst_500: +// rs1_val==2 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1952, x1) + +inst_501: +// rs1_val==2 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1956, x1) + +inst_502: +// rs1_val==2 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1960, x1) + +inst_503: +// rs1_val==2 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x2, 1964, x1) + +inst_504: +// rs1_val==2 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1968, x1) + +inst_505: +// rs1_val==2 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1972, x1) + +inst_506: +// rs1_val==65535 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x10000, x2, 1976, x1) + +inst_507: +// rs1_val==65535 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x1, x2, 1980, x1) + +inst_508: +// rs1_val==65535 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb505, x2, 1984, x1) + +inst_509: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666667, x2, 1988, x1) + +inst_510: +// rs1_val==65535 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333334, x2, 1992, x1) + +inst_511: +// rs1_val==65535 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x6, x2, 1996, x1) + +inst_512: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaab, x2, 2000, x1) + +inst_513: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555556, x2, 2004, x1) + +inst_514: +// rs1_val==65535 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x4, x2, 2008, x1) + +inst_515: +// rs1_val==65535 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x2, 2012, x1) + +inst_516: +// rs1_val==65535 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x0, x2, 2016, x1) + +inst_517: +// rs1_val==65535 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb503, x2, 2020, x1) + +inst_518: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666665, x2, 2024, x1) + +inst_519: +// rs1_val==65535 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333332, x2, 2028, x1) + +inst_520: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaa9, x2, 2032, x1) + +inst_521: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555554, x2, 2036, x1) + +inst_522: +// rs1_val==65535 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x2, x2, 2040, x1) + +inst_523: +// rs1_val==65535 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xffff, x2, 2044, x1) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_524: +// rs1_val==65535 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb504, x2, 0, x1) + +inst_525: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666666, x2, 4, x1) + +inst_526: +// rs1_val==65535 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333333, x2, 8, x1) + +inst_527: +// rs1_val==65535 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x5, x2, 12, x1) + +inst_528: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaaa, x2, 16, x1) + +inst_529: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555555, x2, 20, x1) + +inst_530: +// rs1_val==65535 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x3, x2, 24, x1) + +inst_531: +// rs1_val==46340 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x10000, x2, 28, x1) + +inst_532: +// rs1_val==46340 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x1, x2, 32, x1) + +inst_533: +// rs1_val==46340 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 36, x1) + +inst_534: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 40, x1) + +inst_535: +// rs1_val==46340 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 44, x1) + +inst_536: +// rs1_val==46340 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 48, x1) + +inst_537: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaab, x2, 52, x1) + +inst_538: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 56, x1) + +inst_539: +// rs1_val==46340 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 60, x1) + +inst_540: +// rs1_val==46340 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xfffe, x2, 64, x1) + +inst_541: +// rs1_val==46340 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 68, x1) + +inst_542: +// rs1_val==46340 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 72, x1) + +inst_543: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 76, x1) + +inst_544: +// rs1_val==46340 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 80, x1) + +inst_545: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaa9, x2, 84, x1) + +inst_546: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 88, x1) + +inst_547: +// rs1_val==46340 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 92, x1) + +inst_548: +// rs1_val==46340 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xffff, x2, 96, x1) + +inst_549: +// rs1_val==46340 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 100, x1) + +inst_550: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 104, x1) + +inst_551: +// rs1_val==46340 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 108, x1) + +inst_552: +// rs1_val==46340 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 112, x1) + +inst_553: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaaa, x2, 116, x1) + +inst_554: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 120, x1) + +inst_555: +// rs1_val==46340 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 124, x1) + +inst_556: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x10000, x2, 128, x1) + +inst_557: +// rs1_val==1717986918 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x1, x2, 132, x1) + +inst_558: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 136, x1) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 140, x1) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 144, x1) + +inst_561: +// rs1_val==1717986918 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 148, x1) + +inst_562: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaab, x2, 152, x1) + +inst_563: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 156, x1) + +inst_564: +// rs1_val==1717986918 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 160, x1) + +inst_565: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xfffe, x2, 164, x1) + +inst_566: +// rs1_val==1717986918 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 168, x1) + +inst_567: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 172, x1) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 176, x1) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 180, x1) + +inst_570: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaa9, x2, 184, x1) + +inst_571: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 188, x1) + +inst_572: +// rs1_val==1717986918 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 192, x1) + +inst_573: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xffff, x2, 196, x1) + +inst_574: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 200, x1) + +inst_575: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 204, x1) + +inst_576: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 208, x1) + +inst_577: +// rs1_val==1717986918 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 212, x1) + +inst_578: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaaa, x2, 216, x1) + +inst_579: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 220, x1) + +inst_580: +// rs1_val==1717986918 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 224, x1) + +inst_581: +// rs1_val==858993459 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x10000, x2, 228, x1) + +inst_582: +// rs1_val==858993459 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x1, x2, 232, x1) + +inst_583: +// rs1_val==858993459 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 236, x1) + +inst_584: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 240, x1) + +inst_585: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 244, x1) + +inst_586: +// rs1_val==858993459 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 248, x1) + +inst_587: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaab, x2, 252, x1) + +inst_588: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 256, x1) + +inst_589: +// rs1_val==858993459 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 260, x1) + +inst_590: +// rs1_val==858993459 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xfffe, x2, 264, x1) + +inst_591: +// rs1_val==858993459 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 268, x1) + +inst_592: +// rs1_val==2863311529 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x3, x2, 272, x1) + +inst_593: +// rs2_val == (2**(xlen)-1), +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xffffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xffffffff, x2, 276, x1) + +inst_594: +// rs1_val==858993459 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 280, x1) + +inst_595: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 284, x1) + +inst_596: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 288, x1) + +inst_597: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaa9, x2, 292, x1) + +inst_598: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 296, x1) + +inst_599: +// rs1_val==858993459 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 300, x1) + +inst_600: +// rs1_val==858993459 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xffff, x2, 304, x1) + +inst_601: +// rs1_val==858993459 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 308, x1) + +inst_602: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 312, x1) + +inst_603: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 316, x1) + +inst_604: +// rs1_val==858993459 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 320, x1) + +inst_605: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaaa, x2, 324, x1) + +inst_606: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 328, x1) + +inst_607: +// rs1_val==858993459 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 332, x1) + +inst_608: +// rs1_val==5 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x10000, x2, 336, x1) + +inst_609: +// rs1_val==5 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x1, x2, 340, x1) + +inst_610: +// rs1_val==5 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 344, x1) + +inst_611: +// rs1_val==5 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 348, x1) + +inst_612: +// rs1_val==5 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 352, x1) + +inst_613: +// rs1_val==5 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x6, x2, 356, x1) + +inst_614: +// rs1_val==5 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaab, x2, 360, x1) + +inst_615: +// rs1_val==5 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 364, x1) + +inst_616: +// rs1_val==5 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x4, x2, 368, x1) + +inst_617: +// rs1_val==5 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffe, x2, 372, x1) + +inst_618: +// rs1_val==5 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x0, x2, 376, x1) + +inst_619: +// rs1_val==5 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 380, x1) + +inst_620: +// rs1_val==5 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 384, x1) + +inst_621: +// rs1_val==5 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 388, x1) + +inst_622: +// rs1_val==5 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaa9, x2, 392, x1) + +inst_623: +// rs1_val==5 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 396, x1) + +inst_624: +// rs1_val==5 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x2, x2, 400, x1) + +inst_625: +// rs1_val==5 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xffff, x2, 404, x1) + +inst_626: +// rs1_val==5 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 408, x1) + +inst_627: +// rs1_val==5 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 412, x1) + +inst_628: +// rs1_val==5 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 416, x1) + +inst_629: +// rs1_val==5 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x5, x2, 420, x1) + +inst_630: +// rs1_val==5 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaaa, x2, 424, x1) + +inst_631: +// rs1_val==5 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 428, x1) + +inst_632: +// rs1_val==5 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x3, x2, 432, x1) + +inst_633: +// rs1_val==2863311530 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x10000, x2, 436, x1) + +inst_634: +// rs1_val==2863311530 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x2, 440, x1) + +inst_635: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb505, x2, 444, x1) + +inst_636: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666667, x2, 448, x1) + +inst_637: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333334, x2, 452, x1) + +inst_638: +// rs1_val==2863311530 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x6, x2, 456, x1) + +inst_639: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaab, x2, 460, x1) + +inst_640: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555556, x2, 464, x1) + +inst_641: +// rs1_val==2863311530 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x4, x2, 468, x1) + +inst_642: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xfffe, x2, 472, x1) + +inst_643: +// rs1_val==2863311530 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x2, 476, x1) + +inst_644: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb503, x2, 480, x1) + +inst_645: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666665, x2, 484, x1) + +inst_646: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333332, x2, 488, x1) + +inst_647: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaa9, x2, 492, x1) + +inst_648: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555554, x2, 496, x1) + +inst_649: +// rs1_val==2863311530 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x2, 500, x1) + +inst_650: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xffff, x2, 504, x1) + +inst_651: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb504, x2, 508, x1) + +inst_652: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666666, x2, 512, x1) + +inst_653: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333333, x2, 516, x1) + +inst_654: +// rs1_val==2863311530 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x2, 520, x1) + +inst_655: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x2, 524, x1) + +inst_656: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x2, 528, x1) + +inst_657: +// rs1_val==2863311530 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x3, x2, 532, x1) + +inst_658: +// rs1_val==1431655765 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x10000, x2, 536, x1) + +inst_659: +// rs1_val==1431655765 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x1, x2, 540, x1) + +inst_660: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 544, x1) + +inst_661: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 548, x1) + +inst_662: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 552, x1) + +inst_663: +// rs1_val==1431655765 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 556, x1) + +inst_664: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaab, x2, 560, x1) + +inst_665: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 564, x1) + +inst_666: +// rs1_val==1431655765 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 568, x1) + +inst_667: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xfffe, x2, 572, x1) + +inst_668: +// rs1_val==1431655765 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 576, x1) + +inst_669: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 580, x1) + +inst_670: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 584, x1) + +inst_671: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 588, x1) + +inst_672: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaa9, x2, 592, x1) + +inst_673: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 596, x1) + +inst_674: +// rs1_val==1431655765 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 600, x1) + +inst_675: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xffff, x2, 604, x1) + +inst_676: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 608, x1) + +inst_677: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 612, x1) + +inst_678: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 616, x1) + +inst_679: +// rs1_val==1431655765 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 620, x1) + +inst_680: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaaa, x2, 624, x1) + +inst_681: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 628, x1) + +inst_682: +// rs1_val==1431655765 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 632, x1) + +inst_683: +// rs1_val==3 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x10000, x2, 636, x1) + +inst_684: +// rs1_val==3 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x1, x2, 640, x1) + +inst_685: +// rs1_val==3 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 644, x1) + +inst_686: +// rs1_val==3 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 648, x1) + +inst_687: +// rs1_val==3 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 652, x1) + +inst_688: +// rs1_val==3 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x6, x2, 656, x1) + +inst_689: +// rs1_val==3 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaab, x2, 660, x1) + +inst_690: +// rs1_val==3 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 664, x1) + +inst_691: +// rs1_val==3 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x4, x2, 668, x1) + +inst_692: +// rs1_val==3 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xfffe, x2, 672, x1) + +inst_693: +// rs1_val==3 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x0, x2, 676, x1) + +inst_694: +// rs1_val==3 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 680, x1) + +inst_695: +// rs1_val==3 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 684, x1) + +inst_696: +// rs1_val==3 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 688, x1) + +inst_697: +// rs1_val==3 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x2, 692, x1) + +inst_698: +// rs1_val==3 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 696, x1) + +inst_699: +// rs1_val==3 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x2, x2, 700, x1) + +inst_700: +// rs1_val==3 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xffff, x2, 704, x1) + +inst_701: +// rs1_val==3 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 708, x1) + +inst_702: +// rs1_val==3 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 712, x1) + +inst_703: +// rs1_val==3 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 716, x1) + +inst_704: +// rs1_val==3 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x5, x2, 720, x1) + +inst_705: +// rs1_val==3 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x2, 724, x1) + +inst_706: +// rs1_val==3 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 728, x1) + +inst_707: +// rs1_val==3 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x3, x2, 732, x1) + +inst_708: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaaa, x2, 736, x1) + +inst_709: +// rs1_val == (2**(xlen)-1), +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffff, 0xfffffbff, x2, 740, x1) + +inst_710: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555555, x2, 744, x1) + +inst_711: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x10000, x2, 748, x1) + +inst_712: +// rs1_val==1431655764 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 752, x1) + +inst_713: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 756, x1) + +inst_714: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 760, x1) + +inst_715: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 764, x1) + +inst_716: +// rs1_val==1431655764 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 768, x1) + +inst_717: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaab, x2, 772, x1) + +inst_718: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 776, x1) + +inst_719: +// rs2_val == 3758096383, rs1_val == 4261412863 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xdfffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfdffffff, 0xdfffffff, x2, 780, x1) + +inst_720: +// rs2_val == 4286578687, rs1_val == 4026531839 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xff7fffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xff7fffff, x2, 784, x1) + +inst_721: +// rs2_val == 4294836223, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffdffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffdffff, x2, 788, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 198*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S new file mode 100644 index 000000000..98801fb44 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S @@ -0,0 +1,530 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sra instruction of the RISC-V E extension for the sra covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sra) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x9, rd==x12, rs1_val < 0 and rs2_val == 0, rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: sra ; op1:x11; op2:x9; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(sra, x12, x11, x9, -0x55555556, -0x55555556, 0x0, x3, 0, x5) + +inst_1: +// rs1 == rd != rs2, rs1==x8, rs2==x12, rd==x8, rs2_val == 15, rs1_val == -524289, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sra ; op1:x8; op2:x12; dest:x8; op1val:-0x80001; op2val:0xf +TEST_RR_OP(sra, x8, x8, x12, -0x11, -0x80001, 0xf, x3, 4, x5) + +inst_2: +// rs2 == rd != rs1, rs1==x10, rs2==x2, rd==x2, rs2_val == 23, rs1_val==3, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x2; dest:x2; op1val:0x3; op2val:0x17 +TEST_RR_OP(sra, x2, x10, x2, 0x0, 0x3, 0x17, x3, 8, x5) + +inst_3: +// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x4, rs2_val == 27, +// opcode: sra ; op1:x6; op2:x6; dest:x4; op1val:-0x8; op2val:-0x8 +TEST_RR_OP(sra, x4, x6, x6, -0x1, -0x8, -0x8, x3, 12, x5) + +inst_4: +// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == 29, rs1_val==-1431655765 +// opcode: sra ; op1:x13; op2:x13; dest:x13; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(sra, x13, x13, x13, -0xaaaab, -0x55555555, -0x55555555, x3, 16, x5) + +inst_5: +// rs1==x0, rs2==x10, rd==x7, rs2_val == 30, rs1_val == 16384 +// opcode: sra ; op1:x0; op2:x10; dest:x7; op1val:0x0; op2val:0x1e +TEST_RR_OP(sra, x7, x0, x10, 0x0, 0x0, 0x1e, x3, 20, x5) + +inst_6: +// rs1==x1, rs2==x15, rd==x14, rs1_val == 2147483647, rs2_val == 21, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x1; op2:x15; dest:x14; op1val:0x7fffffff; op2val:0x15 +TEST_RR_OP(sra, x14, x1, x15, 0x3ff, 0x7fffffff, 0x15, x3, 24, x5) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x3, rs2==x7, rd==x5, rs1_val == -1073741825, rs2_val == 1 +// opcode: sra ; op1:x3; op2:x7; dest:x5; op1val:-0x40000001; op2val:0x1 +TEST_RR_OP(sra, x5, x3, x7, -0x20000001, -0x40000001, 0x1, x4, 0, x6) + +inst_8: +// rs1==x2, rs2==x8, rd==x15, rs1_val == -536870913, +// opcode: sra ; op1:x2; op2:x8; dest:x15; op1val:-0x20000001; op2val:0x7 +TEST_RR_OP(sra, x15, x2, x8, -0x400001, -0x20000001, 0x7, x4, 4, x6) + +inst_9: +// rs1==x7, rs2==x11, rd==x1, rs1_val == -268435457, +// opcode: sra ; op1:x7; op2:x11; dest:x1; op1val:-0x10000001; op2val:0x9 +TEST_RR_OP(sra, x1, x7, x11, -0x80001, -0x10000001, 0x9, x4, 8, x6) + +inst_10: +// rs1==x12, rs2==x0, rd==x9, rs1_val == -134217729, +// opcode: sra ; op1:x12; op2:x0; dest:x9; op1val:-0x8000001; op2val:0x0 +TEST_RR_OP(sra, x9, x12, x0, -0x8000001, -0x8000001, 0x0, x4, 12, x6) + +inst_11: +// rs1==x5, rs2==x14, rd==x10, rs1_val == -67108865, +// opcode: sra ; op1:x5; op2:x14; dest:x10; op1val:-0x4000001; op2val:0x17 +TEST_RR_OP(sra, x10, x5, x14, -0x9, -0x4000001, 0x17, x4, 16, x6) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_12: +// rs1==x4, rs2==x5, rd==x0, rs1_val == -33554433, +// opcode: sra ; op1:x4; op2:x5; dest:x0; op1val:-0x2000001; op2val:0x9 +TEST_RR_OP(sra, x0, x4, x5, 0, -0x2000001, 0x9, x2, 0, x7) + +inst_13: +// rs1==x15, rs2==x4, rd==x3, rs1_val == -16777217, +// opcode: sra ; op1:x15; op2:x4; dest:x3; op1val:-0x1000001; op2val:0xe +TEST_RR_OP(sra, x3, x15, x4, -0x401, -0x1000001, 0xe, x2, 4, x7) + +inst_14: +// rs1==x9, rs2==x3, rd==x11, rs1_val == -8388609, +// opcode: sra ; op1:x9; op2:x3; dest:x11; op1val:-0x800001; op2val:0x11 +TEST_RR_OP(sra, x11, x9, x3, -0x41, -0x800001, 0x11, x2, 8, x7) + +inst_15: +// rs1==x14, rs2==x1, rd==x6, rs1_val == -4194305, +// opcode: sra ; op1:x14; op2:x1; dest:x6; op1val:-0x400001; op2val:0x1b +TEST_RR_OP(sra, x6, x14, x1, -0x1, -0x400001, 0x1b, x2, 12, x7) + +inst_16: +// rs1_val == -2097153, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, -0x41, -0x200001, 0xf, x2, 16, x7) + +inst_17: +// rs1_val == -1048577, rs2_val == 2 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 +TEST_RR_OP(sra, x12, x10, x11, -0x40001, -0x100001, 0x2, x2, 20, x1) + +inst_18: +// rs1_val == -262145, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x201, -0x40001, 0x9, x2, 24, x1) + +inst_19: +// rs1_val == -131073, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x20001, 0x1e, x2, 28, x1) + +inst_20: +// rs1_val == -65537, rs2_val == 16 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, -0x2, -0x10001, 0x10, x2, 32, x1) + +inst_21: +// rs1_val == -32769, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x8001, 0x1b, x2, 36, x1) + +inst_22: +// rs1_val == -16385, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x2 +TEST_RR_OP(sra, x12, x10, x11, -0x1001, -0x4001, 0x2, x2, 40, x1) + +inst_23: +// rs1_val == -8193, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2001, 0x1e, x2, 44, x1) + +inst_24: +// rs1_val == -4097, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x1001, 0xf, x2, 48, x1) + +inst_25: +// rs1_val == -2049, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x12 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x801, 0x12, x2, 52, x1) + +inst_26: +// rs1_val == -1025, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x12 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x401, 0x12, x2, 56, x1) + +inst_27: +// rs1_val == -513, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x201, 0x1b, x2, 60, x1) + +inst_28: +// rs1_val == -257, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x101, 0x9, x2, 64, x1) + +inst_29: +// rs1_val == -129, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x6 +TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x81, 0x6, x2, 68, x1) + +inst_30: +// rs1_val == -65, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x41, 0x1d, x2, 72, x1) + +inst_31: +// rs1_val == -33, rs2_val == 8 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x21, 0x8, x2, 76, x1) + +inst_32: +// rs1_val == -17, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x11, 0xe, x2, 80, x1) + +inst_33: +// rs1_val == -9, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x9, 0x9, x2, 84, x1) + +inst_34: +// rs1_val == -5, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xe +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x5, 0xe, x2, 88, x1) + +inst_35: +// rs1_val == -3, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x3, 0x15, x2, 92, x1) + +inst_36: +// rs1_val == -2, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2, 0x10, x2, 96, x1) + +inst_37: +// rs2_val == 4, rs1_val==2, rs1_val == 2 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2, 0x4, x2, 100, x1) + +inst_38: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, -0x1000, -0x80000000, 0x13, x2, 104, x1) + +inst_39: +// rs1_val == 1073741824, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x40000000, 0x1b, x2, 108, x1) + +inst_40: +// rs1_val == 536870912, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, 0x100000, 0x20000000, 0x9, x2, 112, x1) + +inst_41: +// rs1_val == 268435456, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x20000, 0x10000000, 0xb, x2, 116, x1) + +inst_42: +// rs1_val == 134217728, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x17 +TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x8000000, 0x17, x2, 120, x1) + +inst_43: +// rs1_val == 67108864, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xc +TEST_RR_OP(sra, x12, x10, x11, 0x4000, 0x4000000, 0xc, x2, 124, x1) + +inst_44: +// rs1_val == 33554432, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x11 +TEST_RR_OP(sra, x12, x10, x11, 0x100, 0x2000000, 0x11, x2, 128, x1) + +inst_45: +// rs1_val == 16777216, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x11 +TEST_RR_OP(sra, x12, x10, x11, 0x80, 0x1000000, 0x11, x2, 132, x1) + +inst_46: +// rs1_val == 8388608, rs1_val > 0 and rs2_val == 0 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x0 +TEST_RR_OP(sra, x12, x10, x11, 0x800000, 0x800000, 0x0, x2, 136, x1) + +inst_47: +// rs1_val == 4194304, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x800, 0x400000, 0xb, x2, 140, x1) + +inst_48: +// rs1_val == 2097152, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, 0x1000, 0x200000, 0x9, x2, 144, x1) + +inst_49: +// rs1_val == 1048576, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1 +TEST_RR_OP(sra, x12, x10, x11, 0x80000, 0x100000, 0x1, x2, 148, x1) + +inst_50: +// rs1_val == 524288, rs2_val == 10 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x200, 0x80000, 0xa, x2, 152, x1) + +inst_51: +// rs1_val == 262144, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1f +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x40000, 0x1f, x2, 156, x1) + +inst_52: +// rs1_val == 131072, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x20000, 0xb, x2, 160, x1) + +inst_53: +// rs1_val == 65536, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x10000, 0xa, x2, 164, x1) + +inst_54: +// rs1_val == 32768, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x8000, 0x10, x2, 168, x1) + +inst_55: +// rs1_val == 8192, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2000, 0xf, x2, 172, x1) + +inst_56: +// rs1_val == 4096, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1000, 0x1d, x2, 176, x1) + +inst_57: +// rs1_val == 2048, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x800, 0x8, x2, 180, x1) + +inst_58: +// rs1_val == 1024, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x20, 0x400, 0x5, x2, 184, x1) + +inst_59: +// rs1_val == 512, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x200, 0x1b, x2, 188, x1) + +inst_60: +// rs1_val == 256, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xd +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x100, 0xd, x2, 192, x1) + +inst_61: +// rs1_val == 128, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3 +TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x80, 0x3, x2, 196, x1) + +inst_62: +// rs1_val == 64, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x2, 0x40, 0x5, x2, 200, x1) + +inst_63: +// rs1_val == 32, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x20, 0x5, x2, 204, x1) + +inst_64: +// rs1_val == 16, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x10, 0x8, x2, 208, x1) + +inst_65: +// rs1_val == 8, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x3 +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x8, 0x3, x2, 212, x1) + +inst_66: +// rs1_val == 4, rs1_val==4 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4, 0xb, x2, 216, x1) + +inst_67: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1, 0x8, x2, 220, x1) + +inst_68: +// rs1_val==46341, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0xb505, 0x1e, x2, 224, x1) + +inst_69: +// rs1_val==-46339, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, -0xb6, -0xb503, 0x8, x2, 228, x1) + +inst_70: +// rs1_val==1717986919, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, 0x6666, 0x66666667, 0x10, x2, 232, x1) + +inst_71: +// rs1_val==858993460, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0xccccc, 0x33333334, 0xa, x2, 236, x1) + +inst_72: +// rs1_val==6, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x6, 0x13, x2, 240, x1) + +inst_73: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, 0x2aa, 0x55555555, 0x15, x2, 244, x1) + +inst_74: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x0, 0xa, x2, 248, x1) + +inst_75: +// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x7, 0x7, x2, 252, x1) + +inst_76: +// rs1_val==1431655766, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, 0xaaa, 0x55555556, 0x13, x2, 256, x1) + +inst_77: +// rs1_val==46339, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0x16a, 0xb503, 0x7, x2, 260, x1) + +inst_78: +// rs1_val==1717986917, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0xc, 0x66666665, 0x1b, x2, 264, x1) + +inst_79: +// rs1_val==858993458, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, 0x199, 0x33333332, 0x15, x2, 268, x1) + +inst_80: +// rs1_val==1431655764, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0xaaaaaa, 0x55555554, 0x7, x2, 272, x1) + +inst_81: +// rs1_val==46340, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0xb504, 0xf, x2, 276, x1) + +inst_82: +// rs1_val==-46340, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0xb504, 0x15, x2, 280, x1) + +inst_83: +// rs1_val==1717986918, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x66666666, 0x1e, x2, 284, x1) + +inst_84: +// rs1_val==858993459, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x33333333, 0x1d, x2, 288, x1) + +inst_85: +// rs1_val==5, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x5, 0x1d, x2, 292, x1) + +inst_86: +// rs2_val == 29, rs1_val==-1431655765 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x55555555, 0x1d, x2, 296, x1) + +inst_87: +// rs2_val == 30, rs1_val == 16384 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4000, 0x1e, x2, 300, x1) + +inst_88: +// rs1_val == -134217729, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x8000001, 0xb, x2, 304, x1) + +inst_89: +// rs1_val == -33554433, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x2000001, 0x9, x2, 308, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 78*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S new file mode 100644 index 000000000..7e5a571ad --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S @@ -0,0 +1,515 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srai instruction of the RISC-V E extension for the srai covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srai) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x0, rd==x2, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x0; dest:x2; op1val:0x0; immval:0x1f +TEST_IMM_OP( srai, x2, x0, 0x0, 0x0, 0x1f, x1, 0, x5) + +inst_1: +// rs1 == rd, rs1==x14, rd==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x14; dest:x14; op1val:0x7fffffff; immval:0xc +TEST_IMM_OP( srai, x14, x14, 0x7ffff, 0x7fffffff, 0xc, x1, 4, x5) + +inst_2: +// rs1==x3, rd==x11, rs1_val == -1073741825, +// opcode: srai ; op1:x3; dest:x11; op1val:-0x40000001; immval:0x7 +TEST_IMM_OP( srai, x11, x3, -0x800001, -0x40000001, 0x7, x1, 8, x5) + +inst_3: +// rs1==x15, rd==x4, rs1_val == -268435457, rs1_val < 0 and imm_val == 0 +// opcode: srai ; op1:x15; dest:x4; op1val:-0x10000001; immval:0x0 +TEST_IMM_OP( srai, x4, x15, -0x10000001, -0x10000001, 0x0, x1, 12, x5) + +inst_4: +// rs1==x7, rd==x10, rs1_val == -134217729, imm_val == 21 +// opcode: srai ; op1:x7; dest:x10; op1val:-0x8000001; immval:0x15 +TEST_IMM_OP( srai, x10, x7, -0x41, -0x8000001, 0x15, x1, 16, x5) + +inst_5: +// rs1==x8, rd==x6, rs1_val == -67108865, imm_val == 10 +// opcode: srai ; op1:x8; dest:x6; op1val:-0x4000001; immval:0xa +TEST_IMM_OP( srai, x6, x8, -0x10001, -0x4000001, 0xa, x1, 20, x5) + +inst_6: +// rs1==x9, rd==x13, rs1_val == -33554433, imm_val == 2 +// opcode: srai ; op1:x9; dest:x13; op1val:-0x2000001; immval:0x2 +TEST_IMM_OP( srai, x13, x9, -0x800001, -0x2000001, 0x2, x1, 24, x5) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_7: +// rs1==x5, rd==x0, rs1_val == -16777217, +// opcode: srai ; op1:x5; dest:x0; op1val:-0x1000001; immval:0x12 +TEST_IMM_OP( srai, x0, x5, 0, -0x1000001, 0x12, x3, 0, x6) + +inst_8: +// rs1==x10, rd==x8, rs1_val == -8388609, imm_val == 30 +// opcode: srai ; op1:x10; dest:x8; op1val:-0x800001; immval:0x1e +TEST_IMM_OP( srai, x8, x10, -0x1, -0x800001, 0x1e, x3, 4, x6) + +inst_9: +// rs1==x13, rd==x5, rs1_val == -4194305, +// opcode: srai ; op1:x13; dest:x5; op1val:-0x400001; immval:0x9 +TEST_IMM_OP( srai, x5, x13, -0x2001, -0x400001, 0x9, x3, 8, x6) + +inst_10: +// rs1==x4, rd==x12, rs1_val == -2097153, +// opcode: srai ; op1:x4; dest:x12; op1val:-0x200001; immval:0x7 +TEST_IMM_OP( srai, x12, x4, -0x4001, -0x200001, 0x7, x3, 12, x6) + +inst_11: +// rs1==x12, rd==x7, rs1_val == -1048577, +// opcode: srai ; op1:x12; dest:x7; op1val:-0x100001; immval:0x7 +TEST_IMM_OP( srai, x7, x12, -0x2001, -0x100001, 0x7, x3, 16, x6) + +inst_12: +// rs1==x2, rd==x15, rs1_val == -524289, +// opcode: srai ; op1:x2; dest:x15; op1val:-0x80001; immval:0x7 +TEST_IMM_OP( srai, x15, x2, -0x1001, -0x80001, 0x7, x3, 20, x6) + +inst_13: +// rs1==x1, rd==x9, rs1_val == -262145, imm_val == 8 +// opcode: srai ; op1:x1; dest:x9; op1val:-0x40001; immval:0x8 +TEST_IMM_OP( srai, x9, x1, -0x401, -0x40001, 0x8, x3, 24, x6) + +inst_14: +// rs1==x6, rd==x1, rs1_val == -131073, +// opcode: srai ; op1:x6; dest:x1; op1val:-0x20001; immval:0x13 +TEST_IMM_OP( srai, x1, x6, -0x1, -0x20001, 0x13, x3, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rs1==x11, rd==x3, rs1_val == -65537, imm_val == 4 +// opcode: srai ; op1:x11; dest:x3; op1val:-0x10001; immval:0x4 +TEST_IMM_OP( srai, x3, x11, -0x1001, -0x10001, 0x4, x1, 0, x2) + +inst_16: +// rs1_val == -32769, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x8001; immval:0x7 +TEST_IMM_OP( srai, x11, x10, -0x101, -0x8001, 0x7, x1, 4, x2) + +inst_17: +// rs1_val == -16385, imm_val == 16 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x4001; immval:0x10 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x4001, 0x10, x1, 8, x2) + +inst_18: +// rs1_val == -8193, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x2001, 0x13, x1, 12, x2) + +inst_19: +// rs1_val == -4097, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1e +TEST_IMM_OP( srai, x11, x10, -0x1, -0x1001, 0x1e, x1, 16, x2) + +inst_20: +// rs1_val == -2049, imm_val == 15 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x801; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x1, -0x801, 0xf, x1, 20, x2) + +inst_21: +// rs1_val == -1025, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x401; immval:0x11 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x401, 0x11, x1, 24, x2) + +inst_22: +// rs1_val == -513, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x201; immval:0xb +TEST_IMM_OP( srai, x11, x10, -0x1, -0x201, 0xb, x1, 28, x2) + +inst_23: +// rs1_val == -257, imm_val == 1 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x101; immval:0x1 +TEST_IMM_OP( srai, x11, x10, -0x81, -0x101, 0x1, x1, 32, x2) + +inst_24: +// rs1_val == -129, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x81; immval:0xc +TEST_IMM_OP( srai, x11, x10, -0x1, -0x81, 0xc, x1, 36, x2) + +inst_25: +// rs1_val == -65, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x41; immval:0x13 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x41, 0x13, x1, 40, x2) + +inst_26: +// rs1_val == -33, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x21; immval:0xd +TEST_IMM_OP( srai, x11, x10, -0x1, -0x21, 0xd, x1, 44, x2) + +inst_27: +// rs1_val == -17, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x11; immval:0x1e +TEST_IMM_OP( srai, x11, x10, -0x1, -0x11, 0x1e, x1, 48, x2) + +inst_28: +// rs1_val == -9, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x9; immval:0x12 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x9, 0x12, x1, 52, x2) + +inst_29: +// rs1_val == -5, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x5; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x1, -0x5, 0xf, x1, 56, x2) + +inst_30: +// rs1_val == -3, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x3, 0x9, x1, 60, x2) + +inst_31: +// rs1_val == -2, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x2; immval:0x1f +TEST_IMM_OP( srai, x11, x10, -0x1, -0x2, 0x1f, x1, 64, x2) + +inst_32: +// imm_val == 23, rs1_val == 4096 +// opcode: srai ; op1:x10; dest:x11; op1val:0x1000; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x1000, 0x17, x1, 68, x2) + +inst_33: +// imm_val == 27, rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x1b +TEST_IMM_OP( srai, x11, x10, -0xb, -0x55555556, 0x1b, x1, 72, x2) + +inst_34: +// imm_val == 29, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 +// opcode: srai ; op1:x10; dest:x11; op1val:0x0; immval:0x1d +TEST_IMM_OP( srai, x11, x10, 0x0, 0x0, 0x1d, x1, 76, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x2 +TEST_IMM_OP( srai, x11, x10, -0x20000000, -0x80000000, 0x2, x1, 80, x2) + +inst_36: +// rs1_val == 1073741824, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40000000; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x10000000, 0x40000000, 0x2, x1, 84, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20000000; immval:0x4 +TEST_IMM_OP( srai, x11, x10, 0x2000000, 0x20000000, 0x4, x1, 88, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10000000; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x4000, 0x10000000, 0xe, x1, 92, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: srai ; op1:x10; dest:x11; op1val:0x8000000; immval:0x15 +TEST_IMM_OP( srai, x11, x10, 0x40, 0x8000000, 0x15, x1, 96, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: srai ; op1:x10; dest:x11; op1val:0x4000000; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x4000, 0x4000000, 0xc, x1, 100, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2000000; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x10000, 0x2000000, 0x9, x1, 104, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: srai ; op1:x10; dest:x11; op1val:0x1000000; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x400, 0x1000000, 0xe, x1, 108, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: srai ; op1:x10; dest:x11; op1val:0x800000; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x1000, 0x800000, 0xb, x1, 112, x2) + +inst_44: +// rs1_val == 4194304, +// opcode: srai ; op1:x10; dest:x11; op1val:0x400000; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x400000, 0x11, x1, 116, x2) + +inst_45: +// rs1_val == 2097152, +// opcode: srai ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 +TEST_IMM_OP( srai, x11, x10, 0x20000, 0x200000, 0x4, x1, 120, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: srai ; op1:x10; dest:x11; op1val:0x100000; immval:0x1b +TEST_IMM_OP( srai, x11, x10, 0x0, 0x100000, 0x1b, x1, 124, x2) + +inst_47: +// rs1_val == 524288, +// opcode: srai ; op1:x10; dest:x11; op1val:0x80000; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x80000, 0x17, x1, 128, x2) + +inst_48: +// rs1_val == 262144, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40000; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x2, 0x40000, 0x11, x1, 132, x2) + +inst_49: +// rs1_val == 131072, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20000; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x100, 0x20000, 0x9, x1, 136, x2) + +inst_50: +// rs1_val == 65536, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10000; immval:0x10 +TEST_IMM_OP( srai, x11, x10, 0x1, 0x10000, 0x10, x1, 140, x2) + +inst_51: +// rs1_val == 32768, +// opcode: srai ; op1:x10; dest:x11; op1val:0x8000; immval:0xa +TEST_IMM_OP( srai, x11, x10, 0x20, 0x8000, 0xa, x1, 144, x2) + +inst_52: +// rs1_val == 16384, +// opcode: srai ; op1:x10; dest:x11; op1val:0x4000; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x4000, 0x12, x1, 148, x2) + +inst_53: +// rs1_val == 8192, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2000; immval:0x5 +TEST_IMM_OP( srai, x11, x10, 0x100, 0x2000, 0x5, x1, 152, x2) + +inst_54: +// rs1_val == 2048, +// opcode: srai ; op1:x10; dest:x11; op1val:0x800; immval:0x6 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x800, 0x6, x1, 156, x2) + +inst_55: +// rs1_val == 1024, +// opcode: srai ; op1:x10; dest:x11; op1val:0x400; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x400, 0x17, x1, 160, x2) + +inst_56: +// rs1_val == 512, +// opcode: srai ; op1:x10; dest:x11; op1val:0x200; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x0, 0x200, 0xb, x1, 164, x2) + +inst_57: +// rs1_val == 256, +// opcode: srai ; op1:x10; dest:x11; op1val:0x100; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x100, 0x9, x1, 168, x2) + +inst_58: +// rs1_val == 128, +// opcode: srai ; op1:x10; dest:x11; op1val:0x80; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x80, 0x2, x1, 172, x2) + +inst_59: +// rs1_val == 64, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x40, 0x11, x1, 176, x2) + +inst_60: +// rs1_val == 32, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x0, 0x20, 0xb, x1, 180, x2) + +inst_61: +// rs1_val == 16, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10; immval:0xd +TEST_IMM_OP( srai, x11, x10, 0x0, 0x10, 0xd, x1, 184, x2) + +inst_62: +// rs1_val == 8, rs1_val > 0 and imm_val == (xlen-1) +// opcode: srai ; op1:x10; dest:x11; op1val:0x8; immval:0x1f +TEST_IMM_OP( srai, x11, x10, 0x0, 0x8, 0x1f, x1, 188, x2) + +inst_63: +// rs1_val == 4, rs1_val==4 +// opcode: srai ; op1:x10; dest:x11; op1val:0x4; immval:0x1f +TEST_IMM_OP( srai, x11, x10, 0x0, 0x4, 0x1f, x1, 192, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x0, 0x2, 0xc, x1, 196, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:0x1; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x1, 0x9, x1, 200, x2) + +inst_66: +// rs1_val==46341, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb505; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x16, 0xb505, 0xb, x1, 204, x2) + +inst_67: +// rs1_val==-46339, +// opcode: srai ; op1:x10; dest:x11; op1val:-0xb503; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x2, -0xb503, 0xf, x1, 208, x2) + +inst_68: +// rs1_val==1717986919, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666667; immval:0x8 +TEST_IMM_OP( srai, x11, x10, 0x666666, 0x66666667, 0x8, x1, 212, x2) + +inst_69: +// rs1_val==858993460, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333334; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x33333, 0x33333334, 0xc, x1, 216, x2) + +inst_70: +// rs1_val==6, +// opcode: srai ; op1:x10; dest:x11; op1val:0x6; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x6, 0x17, x1, 220, x2) + +inst_71: +// rs1_val==-1431655765, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555555; immval:0xb +TEST_IMM_OP( srai, x11, x10, -0xaaaab, -0x55555555, 0xb, x1, 224, x2) + +inst_72: +// rs1_val==3, +// opcode: srai ; op1:x10; dest:x11; op1val:0x3; immval:0x8 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x3, 0x8, x1, 228, x2) + +inst_73: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1e +TEST_IMM_OP( srai, x11, x10, 0x1, 0x55555555, 0x1e, x1, 232, x2) + +inst_74: +// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, rs1_val==5 +// opcode: srai ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x5, 0x5, x1, 236, x2) + +inst_75: +// rs1_val > 0 and imm_val == 0, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( srai, x11, x10, 0x2, 0x2, 0x0, x1, 240, x2) + +inst_76: +// rs1_val==1431655766, +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x15555555, 0x55555556, 0x2, x1, 244, x2) + +inst_77: +// rs1_val==46339, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 +TEST_IMM_OP( srai, x11, x10, 0x5a81, 0xb503, 0x1, x1, 248, x2) + +inst_78: +// rs1_val==1717986917, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666665; immval:0x10 +TEST_IMM_OP( srai, x11, x10, 0x6666, 0x66666665, 0x10, x1, 252, x2) + +inst_79: +// rs1_val==858993458, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333332; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333332, 0x12, x1, 256, x2) + +inst_80: +// rs1_val==1431655764, +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1b +TEST_IMM_OP( srai, x11, x10, 0xa, 0x55555554, 0x1b, x1, 260, x2) + +inst_81: +// rs1_val==46340, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb504; immval:0x1e +TEST_IMM_OP( srai, x11, x10, 0x0, 0xb504, 0x1e, x1, 264, x2) + +inst_82: +// rs1_val==-46340, +// opcode: srai ; op1:x10; dest:x11; op1val:-0xb504; immval:0x11 +TEST_IMM_OP( srai, x11, x10, -0x1, -0xb504, 0x11, x1, 268, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666666; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x19999, 0x66666666, 0xe, x1, 272, x2) + +inst_84: +// rs1_val==858993459, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333333; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333333, 0x12, x1, 276, x2) + +inst_85: +// rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:-0x20000001; immval:0x1f +TEST_IMM_OP( srai, x11, x10, -0x1, -0x20000001, 0x1f, x1, 280, x2) + +inst_86: +// rs1_val == -16777217, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x12 +TEST_IMM_OP( srai, x11, x10, -0x41, -0x1000001, 0x12, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S new file mode 100644 index 000000000..b392ecba8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S @@ -0,0 +1,525 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srl instruction of the RISC-V E extension for the srl covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srl) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x4, rd==x7, rs1_val < 0 and rs2_val == 0, rs1_val == -9 +// opcode: srl ; op1:x10; op2:x4; dest:x7; op1val:-0x9; op2val:0x0 +TEST_RR_OP(srl, x7, x10, x4, 0xfffffff7, -0x9, 0x0, x1, 0, x6) + +inst_1: +// rs1 == rd != rs2, rs1==x15, rs2==x0, rd==x15, rs2_val == 15, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: srl ; op1:x15; op2:x0; dest:x15; op1val:-0x6; op2val:0x0 +TEST_RR_OP(srl, x15, x15, x0, 0xfffffffa, -0x6, 0x0, x1, 4, x6) + +inst_2: +// rs2 == rd != rs1, rs1==x2, rs2==x3, rd==x3, rs2_val == 23, rs1_val == -2049 +// opcode: srl ; op1:x2; op2:x3; dest:x3; op1val:-0x801; op2val:0x17 +TEST_RR_OP(srl, x3, x2, x3, 0x1ff, -0x801, 0x17, x1, 8, x6) + +inst_3: +// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x12, rs2_val == 27, rs1_val == -1048577 +// opcode: srl ; op1:x5; op2:x5; dest:x12; op1val:-0x100001; op2val:-0x100001 +TEST_RR_OP(srl, x12, x5, x5, 0x1, -0x100001, -0x100001, x1, 12, x6) + +inst_4: +// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 29, rs1_val == -16777217 +// opcode: srl ; op1:x14; op2:x14; dest:x14; op1val:-0x1000001; op2val:-0x1000001 +TEST_RR_OP(srl, x14, x14, x14, 0x1, -0x1000001, -0x1000001, x1, 16, x6) + +inst_5: +// rs1==x12, rs2==x10, rd==x2, rs2_val == 30, rs1_val == -1073741825 +// opcode: srl ; op1:x12; op2:x10; dest:x2; op1val:-0x40000001; op2val:0x1e +TEST_RR_OP(srl, x2, x12, x10, 0x2, -0x40000001, 0x1e, x1, 20, x6) + +inst_6: +// rs1==x11, rs2==x15, rd==x9, rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x11; op2:x15; dest:x9; op1val:0x7fffffff; op2val:0x1b +TEST_RR_OP(srl, x9, x11, x15, 0xf, 0x7fffffff, 0x1b, x1, 24, x6) + +inst_7: +// rs1==x4, rs2==x6, rd==x10, rs1_val == -536870913, +// opcode: srl ; op1:x4; op2:x6; dest:x10; op1val:-0x20000001; op2val:0x13 +TEST_RR_OP(srl, x10, x4, x6, 0x1bff, -0x20000001, 0x13, x1, 28, x2) +RVTEST_SIGBASE( x10,signature_x10_0) + +inst_8: +// rs1==x0, rs2==x9, rd==x8, rs1_val == -268435457, +// opcode: srl ; op1:x0; op2:x9; dest:x8; op1val:0x0; op2val:0xe +TEST_RR_OP(srl, x8, x0, x9, 0x0, 0x0, 0xe, x10, 0, x2) + +inst_9: +// rs1==x1, rs2==x7, rd==x11, rs1_val == -134217729, +// opcode: srl ; op1:x1; op2:x7; dest:x11; op1val:-0x8000001; op2val:0x13 +TEST_RR_OP(srl, x11, x1, x7, 0x1eff, -0x8000001, 0x13, x10, 4, x2) + +inst_10: +// rs1==x7, rs2==x12, rd==x5, rs1_val == -67108865, +// opcode: srl ; op1:x7; op2:x12; dest:x5; op1val:-0x4000001; op2val:0x1b +TEST_RR_OP(srl, x5, x7, x12, 0x1f, -0x4000001, 0x1b, x10, 8, x2) + +inst_11: +// rs1==x6, rs2==x13, rd==x1, rs1_val == -33554433, +// opcode: srl ; op1:x6; op2:x13; dest:x1; op1val:-0x2000001; op2val:0x6 +TEST_RR_OP(srl, x1, x6, x13, 0x3f7ffff, -0x2000001, 0x6, x10, 12, x2) + +inst_12: +// rs1==x9, rs2==x8, rd==x4, rs1_val == -8388609, +// opcode: srl ; op1:x9; op2:x8; dest:x4; op1val:-0x800001; op2val:0xe +TEST_RR_OP(srl, x4, x9, x8, 0x3fdff, -0x800001, 0xe, x10, 16, x2) + +inst_13: +// rs1==x3, rs2==x11, rd==x6, rs1_val == -4194305, rs2_val == 8 +// opcode: srl ; op1:x3; op2:x11; dest:x6; op1val:-0x400001; op2val:0x8 +TEST_RR_OP(srl, x6, x3, x11, 0xffbfff, -0x400001, 0x8, x10, 20, x2) + +inst_14: +// rs1==x13, rs2==x1, rd==x0, rs1_val == -2097153, rs2_val == 4 +// opcode: srl ; op1:x13; op2:x1; dest:x0; op1val:-0x200001; op2val:0x4 +TEST_RR_OP(srl, x0, x13, x1, 0, -0x200001, 0x4, x10, 24, x3) + +inst_15: +// rs1==x8, rs2==x2, rd==x13, rs1_val == -524289, +// opcode: srl ; op1:x8; op2:x2; dest:x13; op1val:-0x80001; op2val:0x1d +TEST_RR_OP(srl, x13, x8, x2, 0x7, -0x80001, 0x1d, x10, 28, x3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs1_val == -262145, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xfffbfff, -0x40001, 0x4, x1, 0, x3) + +inst_17: +// rs1_val == -131073, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0xfffdff, -0x20001, 0x8, x1, 4, x3) + +inst_18: +// rs1_val == -65537, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x10001, 0x1e, x1, 8, x3) + +inst_19: +// rs1_val == -32769, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x8001, 0x1d, x1, 12, x3) + +inst_20: +// rs1_val == -16385, rs2_val == 10 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x3fffef, -0x4001, 0xa, x1, 16, x3) + +inst_21: +// rs1_val == -8193, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x2001, 0xe, x1, 20, x3) + +inst_22: +// rs1_val == -4097, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x1001, 0x1f, x1, 24, x3) + +inst_23: +// rs1_val == -1025, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x401, 0x1e, x1, 28, x3) + +inst_24: +// rs1_val == -513, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x7fff, -0x201, 0x11, x1, 32, x3) + +inst_25: +// rs1_val == -257, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x101, 0x1d, x1, 36, x3) + +inst_26: +// rs1_val == -129, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x7ffff, -0x81, 0xd, x1, 40, x3) + +inst_27: +// rs1_val == -65, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x9 +TEST_RR_OP(srl, x12, x10, x11, 0x7fffff, -0x41, 0x9, x1, 44, x3) + +inst_28: +// rs1_val == -33, rs2_val == 21 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x15 +TEST_RR_OP(srl, x12, x10, x11, 0x7ff, -0x21, 0x15, x1, 48, x3) + +inst_29: +// rs1_val == -17, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x11, 0xe, x1, 52, x3) + +inst_30: +// rs1_val == -5, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x0 +TEST_RR_OP(srl, x12, x10, x11, 0xfffffffb, -0x5, 0x0, x1, 56, x3) + +inst_31: +// rs1_val == -3, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x3, 0x1f, x1, 60, x3) + +inst_32: +// rs1_val == -2, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x5 +TEST_RR_OP(srl, x12, x10, x11, 0x7ffffff, -0x2, 0x5, x1, 64, x3) + +inst_33: +// rs2_val == 16, rs1_val == 524288 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x80000, 0x10, x1, 68, x3) + +inst_34: +// rs2_val == 2, rs1_val==46341 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(srl, x12, x10, x11, 0x2d41, 0xb505, 0x2, x1, 72, x3) + +inst_35: +// rs2_val == 1, rs1_val == 268435456 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 +TEST_RR_OP(srl, x12, x10, x11, 0x8000000, 0x10000000, 0x1, x1, 76, x3) + +inst_36: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x17 +TEST_RR_OP(srl, x12, x10, x11, 0x100, -0x80000000, 0x17, x1, 80, x3) + +inst_37: +// rs1_val == 1073741824, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x1000000, 0x40000000, 0x6, x1, 84, x3) + +inst_38: +// rs1_val == 536870912, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x80000, 0x20000000, 0xa, x1, 88, x3) + +inst_39: +// rs1_val == 134217728, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x400, 0x8000000, 0x11, x1, 92, x3) + +inst_40: +// rs1_val == 67108864, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x1000, 0x4000000, 0xe, x1, 96, x3) + +inst_41: +// rs1_val == 33554432, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x80, 0x2000000, 0x12, x1, 100, x3) + +inst_42: +// rs1_val == 16777216, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x4000, 0x1000000, 0xa, x1, 104, x3) + +inst_43: +// rs1_val == 8388608, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x10, 0x800000, 0x13, x1, 108, x3) + +inst_44: +// rs1_val == 4194304, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb +TEST_RR_OP(srl, x12, x10, x11, 0x800, 0x400000, 0xb, x1, 112, x3) + +inst_45: +// rs1_val == 2097152, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0x2000, 0x200000, 0x8, x1, 116, x3) + +inst_46: +// rs1_val == 1048576, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x100000, 0xe, x1, 120, x3) + +inst_47: +// rs1_val == 262144, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x2, 0x40000, 0x11, x1, 124, x3) + +inst_48: +// rs1_val == 131072, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x20000, 0xe, x1, 128, x3) + +inst_49: +// rs1_val == 65536, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10000, 0x13, x1, 132, x3) + +inst_50: +// rs1_val == 32768, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xf +TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x8000, 0xf, x1, 136, x3) + +inst_51: +// rs1_val == 16384, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x4000, 0xe, x1, 140, x3) + +inst_52: +// rs1_val == 8192, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0x20, 0x2000, 0x8, x1, 144, x3) + +inst_53: +// rs1_val == 4096, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x1000, 0x6, x1, 148, x3) + +inst_54: +// rs1_val == 2048, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x800, 0x1b, x1, 152, x3) + +inst_55: +// rs1_val == 1024, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x400, 0x13, x1, 156, x3) + +inst_56: +// rs1_val == 512, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x3 +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x200, 0x3, x1, 160, x3) + +inst_57: +// rs1_val == 256, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x100, 0x1e, x1, 164, x3) + +inst_58: +// rs1_val == 128, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x80, 0xa, x1, 168, x3) + +inst_59: +// rs1_val == 64, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0x4, 0x40, 0x4, x1, 172, x3) + +inst_60: +// rs1_val == 32, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x20, 0xd, x1, 176, x3) + +inst_61: +// rs1_val == 16, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10, 0x11, x1, 180, x3) + +inst_62: +// rs1_val == 8, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x8, 0x12, x1, 184, x3) + +inst_63: +// rs1_val == 4, rs1_val==4 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x4, 0x10, x1, 188, x3) + +inst_64: +// rs1_val == 2, rs1_val==2, rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x2, 0x2, x1, 192, x3) + +inst_65: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x1, 0x1f, x1, 196, x3) + +inst_66: +// rs1_val==-46339, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x3fffd2b, -0xb503, 0x6, x1, 200, x3) + +inst_67: +// rs1_val==1717986919, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x19999, 0x66666667, 0xe, x1, 204, x3) + +inst_68: +// rs1_val==858993460, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x9 +TEST_RR_OP(srl, x12, x10, x11, 0x199999, 0x33333334, 0x9, x1, 208, x3) + +inst_69: +// rs1_val==6, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x6, 0xd, x1, 212, x3) + +inst_70: +// rs1_val==-1431655765, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x2aaaa, -0x55555555, 0xe, x1, 216, x3) + +inst_71: +// rs1_val==1431655766, rs1_val > 0 and rs2_val == 0 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(srl, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 220, x3) + +inst_72: +// rs1_val==46339, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x5, 0xb503, 0xd, x1, 224, x3) + +inst_73: +// rs1_val==3, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xf +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x3, 0xf, x1, 228, x3) + +inst_74: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaaa, -0x55555556, 0x4, x1, 232, x3) + +inst_75: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x7 +TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaa, 0x55555555, 0x7, x1, 236, x3) + +inst_76: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x0, 0x7, x1, 240, x3) + +inst_77: +// rs1_val==1717986917, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666665, 0x1b, x1, 244, x3) + +inst_78: +// rs1_val==858993458, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x1999, 0x33333332, 0x11, x1, 248, x3) + +inst_79: +// rs1_val==1431655764, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0xaaa, 0x55555554, 0x13, x1, 252, x3) + +inst_80: +// rs1_val==46340, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0xb504, 0x12, x1, 256, x3) + +inst_81: +// rs1_val==-46340, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xffff4af, -0xb504, 0x4, x1, 260, x3) + +inst_82: +// rs1_val==1717986918, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666666, 0x1b, x1, 264, x3) + +inst_83: +// rs1_val==858993459, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x15 +TEST_RR_OP(srl, x12, x10, x11, 0x199, 0x33333333, 0x15, x1, 268, x3) + +inst_84: +// rs1_val==5, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x5, 0x10, x1, 272, x3) + +inst_85: +// rs2_val == 27, rs1_val == -1048577 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0x1f, -0x100001, 0x1b, x1, 276, x3) + +inst_86: +// rs2_val == 29, rs1_val == -16777217 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x1000001, 0x1d, x1, 280, x3) + +inst_87: +// rs1_val == -268435457, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3bfff, -0x10000001, 0xe, x1, 284, x3) + +inst_88: +// rs1_val == -2097153, rs2_val == 4 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xffdffff, -0x200001, 0x4, x1, 288, x3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x10_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 73*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S new file mode 100644 index 000000000..d47f805a4 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S @@ -0,0 +1,520 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srli instruction of the RISC-V E extension for the srli covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srli) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x4, rd==x5, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -65, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srli ; op1:x4; dest:x5; op1val:-0x41; immval:0x1f +TEST_IMM_OP( srli, x5, x4, 0x1, -0x41, 0x1f, x1, 0, x10) + +inst_1: +// rs1 == rd, rs1==x9, rd==x9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen, imm_val == 8 +// opcode: srli ; op1:x9; dest:x9; op1val:0x7fffffff; immval:0x8 +TEST_IMM_OP( srli, x9, x9, 0x7fffff, 0x7fffffff, 0x8, x1, 4, x10) + +inst_2: +// rs1==x0, rd==x6, rs1_val == -1073741825, imm_val == 23 +// opcode: srli ; op1:x0; dest:x6; op1val:0x0; immval:0x17 +TEST_IMM_OP( srli, x6, x0, 0x0, 0x0, 0x17, x1, 8, x10) + +inst_3: +// rs1==x12, rd==x4, rs1_val == -536870913, +// opcode: srli ; op1:x12; dest:x4; op1val:-0x20000001; immval:0x6 +TEST_IMM_OP( srli, x4, x12, 0x37fffff, -0x20000001, 0x6, x1, 12, x10) + +inst_4: +// rs1==x8, rd==x14, rs1_val == -268435457, imm_val == 10 +// opcode: srli ; op1:x8; dest:x14; op1val:-0x10000001; immval:0xa +TEST_IMM_OP( srli, x14, x8, 0x3bffff, -0x10000001, 0xa, x1, 16, x10) + +inst_5: +// rs1==x7, rd==x3, rs1_val == -134217729, imm_val == 2 +// opcode: srli ; op1:x7; dest:x3; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( srli, x3, x7, 0x3dffffff, -0x8000001, 0x2, x1, 20, x10) + +inst_6: +// rs1==x6, rd==x2, rs1_val == -67108865, +// opcode: srli ; op1:x6; dest:x2; op1val:-0x4000001; immval:0x12 +TEST_IMM_OP( srli, x2, x6, 0x3eff, -0x4000001, 0x12, x1, 24, x10) + +inst_7: +// rs1==x11, rd==x15, rs1_val == -33554433, imm_val == 16 +// opcode: srli ; op1:x11; dest:x15; op1val:-0x2000001; immval:0x10 +TEST_IMM_OP( srli, x15, x11, 0xfdff, -0x2000001, 0x10, x1, 28, x10) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_8: +// rs1==x5, rd==x13, rs1_val == -16777217, imm_val == 1 +// opcode: srli ; op1:x5; dest:x13; op1val:-0x1000001; immval:0x1 +TEST_IMM_OP( srli, x13, x5, 0x7f7fffff, -0x1000001, 0x1, x4, 0, x6) + +inst_9: +// rs1==x15, rd==x11, rs1_val == -8388609, +// opcode: srli ; op1:x15; dest:x11; op1val:-0x800001; immval:0x11 +TEST_IMM_OP( srli, x11, x15, 0x7fbf, -0x800001, 0x11, x4, 4, x6) + +inst_10: +// rs1==x2, rd==x12, rs1_val == -4194305, imm_val == 15 +// opcode: srli ; op1:x2; dest:x12; op1val:-0x400001; immval:0xf +TEST_IMM_OP( srli, x12, x2, 0x1ff7f, -0x400001, 0xf, x4, 8, x6) + +inst_11: +// rs1==x14, rd==x7, rs1_val == -2097153, +// opcode: srli ; op1:x14; dest:x7; op1val:-0x200001; immval:0x7 +TEST_IMM_OP( srli, x7, x14, 0x1ffbfff, -0x200001, 0x7, x4, 12, x6) + +inst_12: +// rs1==x13, rd==x0, rs1_val == -1048577, +// opcode: srli ; op1:x13; dest:x0; op1val:-0x100001; immval:0xc +TEST_IMM_OP( srli, x0, x13, 0, -0x100001, 0xc, x4, 16, x6) + +inst_13: +// rs1==x3, rd==x10, rs1_val == -524289, imm_val == 21 +// opcode: srli ; op1:x3; dest:x10; op1val:-0x80001; immval:0x15 +TEST_IMM_OP( srli, x10, x3, 0x7ff, -0x80001, 0x15, x4, 20, x6) + +inst_14: +// rs1==x10, rd==x1, rs1_val == -262145, +// opcode: srli ; op1:x10; dest:x1; op1val:-0x40001; immval:0x10 +TEST_IMM_OP( srli, x1, x10, 0xfffb, -0x40001, 0x10, x4, 24, x6) + +inst_15: +// rs1==x1, rd==x8, rs1_val == -131073, +// opcode: srli ; op1:x1; dest:x8; op1val:-0x20001; immval:0x11 +TEST_IMM_OP( srli, x8, x1, 0x7ffe, -0x20001, 0x11, x4, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs1_val == -65537, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x1fffdf, -0x10001, 0xb, x1, 0, x2) + +inst_17: +// rs1_val == -32769, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x8001, 0x12, x1, 4, x2) + +inst_18: +// rs1_val == -16385, rs1_val < 0 and imm_val == 0 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0xffffbfff, -0x4001, 0x0, x1, 8, x2) + +inst_19: +// rs1_val == -8193, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x2001, 0x13, x1, 12, x2) + +inst_20: +// rs1_val == -4097, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa +TEST_IMM_OP( srli, x11, x10, 0x3ffffb, -0x1001, 0xa, x1, 16, x2) + +inst_21: +// rs1_val == -2049, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x801; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x7ff, -0x801, 0x15, x1, 20, x2) + +inst_22: +// rs1_val == -1025, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x3ffffef, -0x401, 0x6, x1, 24, x2) + +inst_23: +// rs1_val == -513, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x201; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x201, 0x13, x1, 28, x2) + +inst_24: +// rs1_val == -257, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x101; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xfffffe, -0x101, 0x8, x1, 32, x2) + +inst_25: +// rs1_val == -129, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x81; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xffffff, -0x81, 0x8, x1, 36, x2) + +inst_26: +// rs1_val == -33, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x3ffffff7, -0x21, 0x2, x1, 40, x2) + +inst_27: +// rs1_val == -17, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x11; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x11, 0x12, x1, 44, x2) + +inst_28: +// rs1_val == -9, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x9; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x3ffffffd, -0x9, 0x2, x1, 48, x2) + +inst_29: +// rs1_val == -5, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x5; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x3ffffff, -0x5, 0x6, x1, 52, x2) + +inst_30: +// rs1_val == -3, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x3; immval:0xf +TEST_IMM_OP( srli, x11, x10, 0x1ffff, -0x3, 0xf, x1, 56, x2) + +inst_31: +// rs1_val == -2, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x2; immval:0xc +TEST_IMM_OP( srli, x11, x10, 0xfffff, -0x2, 0xc, x1, 60, x2) + +inst_32: +// imm_val == 27, rs1_val == 262144 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40000; immval:0x1b +TEST_IMM_OP( srli, x11, x10, 0x0, 0x40000, 0x1b, x1, 64, x2) + +inst_33: +// imm_val == 29, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000000; immval:0x1d +TEST_IMM_OP( srli, x11, x10, 0x6, -0x40000000, 0x1d, x1, 68, x2) + +inst_34: +// imm_val == 30, rs1_val == 64 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x40, 0x1e, x1, 72, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: srli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0xa +TEST_IMM_OP( srli, x11, x10, 0x200000, -0x80000000, 0xa, x1, 76, x2) + +inst_36: +// rs1_val == 1073741824, rs1_val > 0 and imm_val == 0 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0x40000000, 0x40000000, 0x0, x1, 80, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x1000, 0x20000000, 0x11, x1, 84, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x800, 0x10000000, 0x11, x1, 88, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8000000; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x8000000, 0xb, x1, 92, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4000000; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4000000, 0x1e, x1, 96, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: srli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x40, 0x2000000, 0x13, x1, 100, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: srli ; op1:x10; dest:x11; op1val:0x1000000; immval:0xd +TEST_IMM_OP( srli, x11, x10, 0x800, 0x1000000, 0xd, x1, 104, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: srli ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x800000, 0x7, x1, 108, x2) + +inst_44: +// rs1_val == 4194304, +// opcode: srli ; op1:x10; dest:x11; op1val:0x400000; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x400000, 0x6, x1, 112, x2) + +inst_45: +// rs1_val == 2097152, imm_val == 4 +// opcode: srli ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x20000, 0x200000, 0x4, x1, 116, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: srli ; op1:x10; dest:x11; op1val:0x100000; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x800, 0x100000, 0x9, x1, 120, x2) + +inst_47: +// rs1_val == 524288, +// opcode: srli ; op1:x10; dest:x11; op1val:0x80000; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x100, 0x80000, 0xb, x1, 124, x2) + +inst_48: +// rs1_val == 131072, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20000; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x2, 0x20000, 0x10, x1, 128, x2) + +inst_49: +// rs1_val == 65536, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x10000, 0x0, x1, 132, x2) + +inst_50: +// rs1_val == 32768, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x8000, 0x10, x1, 136, x2) + +inst_51: +// rs1_val == 16384, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4000; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x100, 0x4000, 0x6, x1, 140, x2) + +inst_52: +// rs1_val == 8192, +// opcode: srli ; op1:x10; dest:x11; op1val:0x2000; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x200, 0x2000, 0x4, x1, 144, x2) + +inst_53: +// rs1_val == 4096, +// opcode: srli ; op1:x10; dest:x11; op1val:0x1000; immval:0xf +TEST_IMM_OP( srli, x11, x10, 0x0, 0x1000, 0xf, x1, 148, x2) + +inst_54: +// rs1_val == 2048, +// opcode: srli ; op1:x10; dest:x11; op1val:0x800; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x8, 0x800, 0x8, x1, 152, x2) + +inst_55: +// rs1_val == 1024, +// opcode: srli ; op1:x10; dest:x11; op1val:0x400; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x400, 0x12, x1, 156, x2) + +inst_56: +// rs1_val == 512, +// opcode: srli ; op1:x10; dest:x11; op1val:0x200; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0x0, 0x200, 0xe, x1, 160, x2) + +inst_57: +// rs1_val == 256, +// opcode: srli ; op1:x10; dest:x11; op1val:0x100; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x100, 0x13, x1, 164, x2) + +inst_58: +// rs1_val == 128, +// opcode: srli ; op1:x10; dest:x11; op1val:0x80; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x80, 0x8, x1, 168, x2) + +inst_59: +// rs1_val == 32, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x0, 0x20, 0xb, x1, 172, x2) + +inst_60: +// rs1_val == 16, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x10, 0x17, x1, 176, x2) + +inst_61: +// rs1_val == 8, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8; immval:0x1d +TEST_IMM_OP( srli, x11, x10, 0x0, 0x8, 0x1d, x1, 180, x2) + +inst_62: +// rs1_val == 4, rs1_val==4 +// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x9, x1, 184, x2) + +inst_63: +// rs1_val == 2, rs1_val==2 +// opcode: srli ; op1:x10; dest:x11; op1val:0x2; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x2, 0x11, x1, 188, x2) + +inst_64: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val == (xlen-1) +// opcode: srli ; op1:x10; dest:x11; op1val:0x1; immval:0x1f +TEST_IMM_OP( srli, x11, x10, 0x0, 0x1, 0x1f, x1, 192, x2) + +inst_65: +// rs1_val==46341, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb505; immval:0xd +TEST_IMM_OP( srli, x11, x10, 0x5, 0xb505, 0xd, x1, 196, x2) + +inst_66: +// rs1_val==-46339, +// opcode: srli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x7 +TEST_IMM_OP( srli, x11, x10, 0x1fffe95, -0xb503, 0x7, x1, 200, x2) + +inst_67: +// rs1_val==1717986919, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666667; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0xccccc, 0x66666667, 0xb, x1, 204, x2) + +inst_68: +// rs1_val==858993460, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333334; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0xcccc, 0x33333334, 0xe, x1, 208, x2) + +inst_69: +// rs1_val==6, +// opcode: srli ; op1:x10; dest:x11; op1val:0x6; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0x0, 0x6, 0xe, x1, 212, x2) + +inst_70: +// rs1_val==-1431655765, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x1 +TEST_IMM_OP( srli, x11, x10, 0x55555555, -0x55555555, 0x1, x1, 216, x2) + +inst_71: +// rs1_val==1431655766, +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x5555, 0x55555556, 0x10, x1, 220, x2) + +inst_72: +// rs1_val==46339, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb503; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x0, 0xb503, 0x15, x1, 224, x2) + +inst_73: +// rs1_val==0, rs1_val == 0 and imm_val >= 0 and imm_val < xlen +// opcode: srli ; op1:x10; dest:x11; op1val:0x0; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x0, 0x17, x1, 228, x2) + +inst_74: +// rs1_val==3, +// opcode: srli ; op1:x10; dest:x11; op1val:0x3; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x3, 0x8, x1, 232, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 236, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555555; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0xaaaaa, 0x55555555, 0xb, x1, 240, x2) + +inst_77: +// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x4, x1, 244, x2) + +inst_78: +// rs1_val==1717986917, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666665; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x333, 0x66666665, 0x15, x1, 248, x2) + +inst_79: +// rs1_val==858993458, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0xcccccc, 0x33333332, 0x6, x1, 252, x2) + +inst_80: +// rs1_val==1431655764, +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x1555555, 0x55555554, 0x6, x1, 256, x2) + +inst_81: +// rs1_val==46340, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb504; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0xb504, 0x17, x1, 260, x2) + +inst_82: +// rs1_val==-46340, +// opcode: srli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xffff4a, -0xb504, 0x8, x1, 264, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x333333, 0x66666666, 0x9, x1, 268, x2) + +inst_84: +// rs1_val==858993459, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x33333333, 0x1e, x1, 272, x2) + +inst_85: +// rs1_val==5, +// opcode: srli ; op1:x10; dest:x11; op1val:0x5; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x0, 0x5, 0xb, x1, 276, x2) + +inst_86: +// rs1_val == -1073741825, imm_val == 23 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x17f, -0x40000001, 0x17, x1, 280, x2) + +inst_87: +// rs1_val == -1048577, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x100001; immval:0xc +TEST_IMM_OP( srli, x11, x10, 0xffeff, -0x100001, 0xc, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S new file mode 100644 index 000000000..e09411fdc --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S @@ -0,0 +1,3005 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sub instruction of the RISC-V E extension for the sub covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sub) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x14, rs2==x9, rd==x4, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 4194304, rs1_val == 33554432 +// opcode: sub ; op1:x14; op2:x9; dest:x4; op1val:0x2000000; op2val:0x400000 +TEST_RR_OP(sub, x4, x14, x9, 0x1c00000, 0x2000000, 0x400000, x3, 0, x5) + +inst_1: +// rs1 == rd != rs2, rs1==x1, rs2==x0, rd==x1, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: sub ; op1:x1; op2:x0; dest:x1; op1val:-0x400001; op2val:0x0 +TEST_RR_OP(sub, x1, x1, x0, 0xffbfffff, -0x400001, 0x0, x3, 4, x5) + +inst_2: +// rs2 == rd != rs1, rs1==x11, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 +// opcode: sub ; op1:x11; op2:x6; dest:x6; op1val:-0x6; op2val:-0x40000001 +TEST_RR_OP(sub, x6, x11, x6, 0x3ffffffb, -0x6, -0x40000001, x3, 8, x5) + +inst_3: +// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x15, rs2_val == -536870913, rs1_val == -8388609 +// opcode: sub ; op1:x12; op2:x12; dest:x15; op1val:-0x800001; op2val:-0x800001 +TEST_RR_OP(sub, x15, x12, x12, 0x0, -0x800001, -0x800001, x3, 12, x5) + +inst_4: +// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == -268435457, rs1_val == -33554433 +// opcode: sub ; op1:x13; op2:x13; dest:x13; op1val:-0x2000001; op2val:-0x2000001 +TEST_RR_OP(sub, x13, x13, x13, 0x0, -0x2000001, -0x2000001, x3, 16, x5) + +inst_5: +// rs1==x10, rs2==x1, rd==x12, rs2_val == -134217729, rs1_val == -5 +// opcode: sub ; op1:x10; op2:x1; dest:x12; op1val:-0x5; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x1, 0x7fffffc, -0x5, -0x8000001, x3, 20, x5) + +inst_6: +// rs1==x7, rs2==x2, rd==x8, rs2_val == -67108865, rs1_val == 0 +// opcode: sub ; op1:x7; op2:x2; dest:x8; op1val:0x0; op2val:-0x4000001 +TEST_RR_OP(sub, x8, x7, x2, 0x4000001, 0x0, -0x4000001, x3, 24, x5) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_7: +// rs1==x5, rs2==x11, rd==x9, rs2_val == -33554433, rs1_val == -1025 +// opcode: sub ; op1:x5; op2:x11; dest:x9; op1val:-0x401; op2val:-0x2000001 +TEST_RR_OP(sub, x9, x5, x11, 0x1fffc00, -0x401, -0x2000001, x1, 0, x10) + +inst_8: +// rs1==x8, rs2==x3, rd==x14, rs2_val == -16777217, rs1_val == 16, rs1_val > 0 and rs2_val < 0 +// opcode: sub ; op1:x8; op2:x3; dest:x14; op1val:0x10; op2val:-0x1000001 +TEST_RR_OP(sub, x14, x8, x3, 0x1000011, 0x10, -0x1000001, x1, 4, x10) + +inst_9: +// rs1==x4, rs2==x15, rd==x5, rs2_val == -8388609, rs1_val == 128 +// opcode: sub ; op1:x4; op2:x15; dest:x5; op1val:0x80; op2val:-0x800001 +TEST_RR_OP(sub, x5, x4, x15, 0x800081, 0x80, -0x800001, x1, 8, x10) + +inst_10: +// rs1==x15, rs2==x4, rd==x2, rs2_val == -4194305, rs1_val == 2 +// opcode: sub ; op1:x15; op2:x4; dest:x2; op1val:0x2; op2val:-0x400001 +TEST_RR_OP(sub, x2, x15, x4, 0x400003, 0x2, -0x400001, x1, 12, x10) + +inst_11: +// rs1==x2, rs2==x14, rd==x7, rs2_val == -2097153, rs1_val == 4 +// opcode: sub ; op1:x2; op2:x14; dest:x7; op1val:0x4; op2val:-0x200001 +TEST_RR_OP(sub, x7, x2, x14, 0x200005, 0x4, -0x200001, x1, 16, x10) + +inst_12: +// rs1==x6, rs2==x7, rd==x3, rs2_val == -1048577, rs1_val == -513 +// opcode: sub ; op1:x6; op2:x7; dest:x3; op1val:-0x201; op2val:-0x100001 +TEST_RR_OP(sub, x3, x6, x7, 0xffe00, -0x201, -0x100001, x1, 20, x10) + +inst_13: +// rs1==x3, rs2==x8, rd==x0, rs2_val == -524289, rs1_val == -2 +// opcode: sub ; op1:x3; op2:x8; dest:x0; op1val:-0x2; op2val:-0x80001 +TEST_RR_OP(sub, x0, x3, x8, 0, -0x2, -0x80001, x1, 24, x2) + +inst_14: +// rs1==x0, rs2==x10, rd==x11, rs2_val == -262145, rs1_val == rs2_val, rs1_val == -262145 +// opcode: sub ; op1:x0; op2:x10; dest:x11; op1val:0x0; op2val:-0x40001 +TEST_RR_OP(sub, x11, x0, x10, 0x40001, 0x0, -0x40001, x1, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_15: +// rs1==x9, rs2==x5, rd==x10, rs2_val == -131073, rs1_val == -2097153 +// opcode: sub ; op1:x9; op2:x5; dest:x10; op1val:-0x200001; op2val:-0x20001 +TEST_RR_OP(sub, x10, x9, x5, 0xffe20000, -0x200001, -0x20001, x1, 0, x2) + +inst_16: +// rs2_val == -65537, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x10001 +TEST_RR_OP(sub, x12, x10, x11, 0xfffc, -0x5, -0x10001, x1, 4, x2) + +inst_17: +// rs2_val == -32769, rs1_val == 64 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x8001 +TEST_RR_OP(sub, x12, x10, x11, 0x8041, 0x40, -0x8001, x1, 8, x2) + +inst_18: +// rs2_val == -16385, rs1_val == -268435457 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x4001 +TEST_RR_OP(sub, x12, x10, x11, 0xf0004000, -0x10000001, -0x4001, x1, 12, x2) + +inst_19: +// rs2_val == -8193, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 +TEST_RR_OP(sub, x12, x10, x11, 0x33335333, 0x33333332, -0x2001, x1, 16, x2) + +inst_20: +// rs2_val == -4097, rs1_val == 536870912 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x1001 +TEST_RR_OP(sub, x12, x10, x11, 0x20001001, 0x20000000, -0x1001, x1, 20, x2) + +inst_21: +// rs2_val == -2049, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x801 +TEST_RR_OP(sub, x12, x10, x11, 0xffc00800, -0x400001, -0x801, x1, 24, x2) + +inst_22: +// rs2_val == -1025, rs1_val == 32 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x401 +TEST_RR_OP(sub, x12, x10, x11, 0x421, 0x20, -0x401, x1, 28, x2) + +inst_23: +// rs2_val == -513, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x201 +TEST_RR_OP(sub, x12, x10, x11, 0xfffc0200, -0x40001, -0x201, x1, 32, x2) + +inst_24: +// rs2_val == -257, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x101 +TEST_RR_OP(sub, x12, x10, x11, 0xffe00100, -0x200001, -0x101, x1, 36, x2) + +inst_25: +// rs2_val == -129, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x81 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b7e, -0xb503, -0x81, x1, 40, x2) + +inst_26: +// rs2_val == -65, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x41 +TEST_RR_OP(sub, x12, x10, x11, 0x55555597, 0x55555556, -0x41, x1, 44, x2) + +inst_27: +// rs2_val == -33, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x21 +TEST_RR_OP(sub, x12, x10, x11, 0x23, 0x2, -0x21, x1, 48, x2) + +inst_28: +// rs2_val == -17, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaabc, -0x55555555, -0x11, x1, 52, x2) + +inst_29: +// rs2_val == -9, rs1_val == 65536 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:-0x9 +TEST_RR_OP(sub, x12, x10, x11, 0x10009, 0x10000, -0x9, x1, 56, x2) + +inst_30: +// rs2_val == -5, rs1_val == 262144 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x40005, 0x40000, -0x5, x1, 60, x2) + +inst_31: +// rs2_val == -3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0xb505, -0x3, x1, 64, x2) + +inst_32: +// rs2_val == -2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, -0xb503, -0x2, x1, 68, x2) + +inst_33: +// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == -1431655766 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xd5555555, 0x7fffffff, -0x55555556, x1, 72, x2) + +inst_34: +// rs1_val == -1073741825, rs2_val == 67108864 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x4000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbbffffff, -0x40000001, 0x4000000, x1, 76, x2) + +inst_35: +// rs1_val == -536870913, rs2_val == 536870912 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x20000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbfffffff, -0x20000001, 0x20000000, x1, 80, x2) + +inst_36: +// rs1_val == -134217729, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x8001 +TEST_RR_OP(sub, x12, x10, x11, 0xf8008000, -0x8000001, -0x8001, x1, 84, x2) + +inst_37: +// rs1_val == -67108865, rs2_val == 0 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xfbffffff, -0x4000001, 0x0, x1, 88, x2) + +inst_38: +// rs1_val == -16777217, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcbcccccb, -0x1000001, 0x33333334, x1, 92, x2) + +inst_39: +// rs1_val == -1048577, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccbccccb, -0x100001, 0x33333334, x1, 96, x2) + +inst_40: +// rs1_val == -524289, rs2_val == 8388608 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x800000 +TEST_RR_OP(sub, x12, x10, x11, 0xff77ffff, -0x80001, 0x800000, x1, 100, x2) + +inst_41: +// rs1_val == -131073, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffdfff9, -0x20001, 0x6, x1, 104, x2) + +inst_42: +// rs1_val == -65537, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 +TEST_RR_OP(sub, x12, x10, x11, 0xffff0080, -0x10001, -0x81, x1, 108, x2) + +inst_43: +// rs1_val == -32769, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffecafc, -0x8001, 0xb503, x1, 112, x2) + +inst_44: +// rs1_val == -16385, rs2_val == 8 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x8 +TEST_RR_OP(sub, x12, x10, x11, 0xffffbff7, -0x4001, 0x8, x1, 116, x2) + +inst_45: +// rs1_val == -8193, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffffe003, -0x2001, -0x4, x1, 120, x2) + +inst_46: +// rs1_val == -4097, rs2_val == 262144 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 +TEST_RR_OP(sub, x12, x10, x11, 0xfffbefff, -0x1001, 0x40000, x1, 124, x2) + +inst_47: +// rs1_val == -2049, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x20000001 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff800, -0x801, -0x20000001, x1, 128, x2) + +inst_48: +// rs1_val == -257, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffff00, -0x101, -0x8000001, x1, 132, x2) + +inst_49: +// rs1_val == -129, rs2_val == 2048 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x800 +TEST_RR_OP(sub, x12, x10, x11, 0xfffff77f, -0x81, 0x800, x1, 136, x2) + +inst_50: +// rs1_val == -65, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x10001 +TEST_RR_OP(sub, x12, x10, x11, 0xffc0, -0x41, -0x10001, x1, 140, x2) + +inst_51: +// rs1_val == -33, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffe0, -0x21, -0x80001, x1, 144, x2) + +inst_52: +// rs1_val == -17, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x200001 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff0, -0x11, -0x200001, x1, 148, x2) + +inst_53: +// rs1_val == -9, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x7fffff8, -0x9, -0x8000001, x1, 152, x2) + +inst_54: +// rs1_val == -3, rs2_val == 8192 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x2000 +TEST_RR_OP(sub, x12, x10, x11, 0xffffdffd, -0x3, 0x2000, x1, 156, x2) + +inst_55: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x2aaaaaab, -0x55555555, -0x80000000, x1, 160, x2) + +inst_56: +// rs2_val == 1073741824, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x40000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbfff7fff, -0x8001, 0x40000000, x1, 164, x2) + +inst_57: +// rs2_val == 268435456, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x10000000 +TEST_RR_OP(sub, x12, x10, x11, 0xf2000000, 0x2000000, 0x10000000, x1, 168, x2) + +inst_58: +// rs2_val == 134217728, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x8000000 +TEST_RR_OP(sub, x12, x10, x11, 0xa2aaaaab, -0x55555555, 0x8000000, x1, 172, x2) + +inst_59: +// rs2_val == 33554432, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2000000 +TEST_RR_OP(sub, x12, x10, x11, 0xfdffdfff, -0x2001, 0x2000000, x1, 176, x2) + +inst_60: +// rs2_val == 16777216, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000 +TEST_RR_OP(sub, x12, x10, x11, 0xfeffffef, -0x11, 0x1000000, x1, 180, x2) + +inst_61: +// rs2_val == 2097152, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x200000 +TEST_RR_OP(sub, x12, x10, x11, 0xffe00010, 0x10, 0x200000, x1, 184, x2) + +inst_62: +// rs2_val == 1048576, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x100000 +TEST_RR_OP(sub, x12, x10, x11, 0x33233333, 0x33333333, 0x100000, x1, 188, x2) + +inst_63: +// rs2_val == 524288, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x80000 +TEST_RR_OP(sub, x12, x10, x11, 0xfef7ffff, -0x1000001, 0x80000, x1, 192, x2) + +inst_64: +// rs2_val == 131072, rs1_val == 524288 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x20000 +TEST_RR_OP(sub, x12, x10, x11, 0x60000, 0x80000, 0x20000, x1, 196, x2) + +inst_65: +// rs2_val == 65536, rs1_val == 134217728 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 +TEST_RR_OP(sub, x12, x10, x11, 0x7ff0000, 0x8000000, 0x10000, x1, 200, x2) + +inst_66: +// rs2_val == 32768, rs1_val == 2097152 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8000 +TEST_RR_OP(sub, x12, x10, x11, 0x1f8000, 0x200000, 0x8000, x1, 204, x2) + +inst_67: +// rs2_val == 16384, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x4000 +TEST_RR_OP(sub, x12, x10, x11, 0xf7ffbfff, -0x8000001, 0x4000, x1, 208, x2) + +inst_68: +// rs2_val == 4096, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1000 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff000, 0x20000000, 0x1000, x1, 212, x2) + +inst_69: +// rs2_val == 1024, rs1_val == 1073741824 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x400 +TEST_RR_OP(sub, x12, x10, x11, 0x3ffffc00, 0x40000000, 0x400, x1, 216, x2) + +inst_70: +// rs2_val == 512, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x200 +TEST_RR_OP(sub, x12, x10, x11, 0xffff7dff, -0x8001, 0x200, x1, 220, x2) + +inst_71: +// rs2_val == 256, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x100 +TEST_RR_OP(sub, x12, x10, x11, 0x55555454, 0x55555554, 0x100, x1, 224, x2) + +inst_72: +// rs2_val == 128, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x80 +TEST_RR_OP(sub, x12, x10, x11, 0x333332b3, 0x33333333, 0x80, x1, 228, x2) + +inst_73: +// rs2_val == 64, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffc4, 0x4, 0x40, x1, 232, x2) + +inst_74: +// rs2_val == 32, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x20 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffbdf, -0x401, 0x20, x1, 236, x2) + +inst_75: +// rs2_val == 16, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffff2, 0x2, 0x10, x1, 240, x2) + +inst_76: +// rs2_val == 4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 244, x2) + +inst_77: +// rs2_val == 2, rs1_val==2 and rs2_val==2 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x2, 0x2, x1, 248, x2) + +inst_78: +// rs2_val == 1, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1 +TEST_RR_OP(sub, x12, x10, x11, 0x1fffffff, 0x20000000, 0x1, x1, 252, x2) + +inst_79: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x400 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffffc00, -0x80000000, 0x400, x1, 256, x2) + +inst_80: +// rs1_val == 268435456, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffe, 0x10000000, 0x2, x1, 260, x2) + +inst_81: +// rs1_val == 67108864, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x4000000 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4000000, 0x4000000, x1, 264, x2) + +inst_82: +// rs1_val == 16777216, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x40001 +TEST_RR_OP(sub, x12, x10, x11, 0x1040001, 0x1000000, -0x40001, x1, 268, x2) + +inst_83: +// rs1_val == 8388608, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x8800001, 0x800000, -0x8000001, x1, 272, x2) + +inst_84: +// rs1_val == 4194304, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x99d9999a, 0x400000, 0x66666666, x1, 276, x2) + +inst_85: +// rs1_val == 1048576, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x80100000, 0x100000, -0x80000000, x1, 280, x2) + +inst_86: +// rs1_val == 131072, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x2000001 +TEST_RR_OP(sub, x12, x10, x11, 0x2020001, 0x20000, -0x2000001, x1, 284, x2) + +inst_87: +// rs1_val == 32768, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x8000, 0x8000, 0x0, x1, 288, x2) + +inst_88: +// rs1_val == 16384, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3ffb, 0x4000, 0x5, x1, 292, x2) + +inst_89: +// rs1_val == 8192, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff6afb, 0x2000, 0xb505, x1, 296, x2) + +inst_90: +// rs1_val == 4096, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x80 +TEST_RR_OP(sub, x12, x10, x11, 0xf80, 0x1000, 0x80, x1, 300, x2) + +inst_91: +// rs1_val == 2048, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x80000800, 0x800, -0x80000000, x1, 304, x2) + +inst_92: +// rs1_val == 1024, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x800000 +TEST_RR_OP(sub, x12, x10, x11, 0xff800400, 0x400, 0x800000, x1, 308, x2) + +inst_93: +// rs1_val == 512, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x204, 0x200, -0x4, x1, 312, x2) + +inst_94: +// rs1_val == 256, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x20000000 +TEST_RR_OP(sub, x12, x10, x11, 0xe0000100, 0x100, 0x20000000, x1, 316, x2) + +inst_95: +// rs1_val == 8, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4000 +TEST_RR_OP(sub, x12, x10, x11, 0xffffc008, 0x8, 0x4000, x1, 320, x2) + +inst_96: +// rs1_val == 1, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x20001 +TEST_RR_OP(sub, x12, x10, x11, 0x20002, 0x1, -0x20001, x1, 324, x2) + +inst_97: +// rs1_val==46341 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 328, x2) + +inst_98: +// rs1_val==46341 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 332, x2) + +inst_99: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 336, x2) + +inst_100: +// rs1_val==46341 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 340, x2) + +inst_101: +// rs1_val==46341 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 344, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 348, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 352, x2) + +inst_104: +// rs1_val==46341 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 356, x2) + +inst_105: +// rs1_val==46341 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 360, x2) + +inst_106: +// rs1_val==46341 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 364, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 368, x2) + +inst_108: +// rs1_val==46341 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 372, x2) + +inst_109: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 376, x2) + +inst_110: +// rs1_val==46341 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb505, 0x2, x1, 380, x2) + +inst_111: +// rs1_val==46341 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 384, x2) + +inst_112: +// rs1_val==46341 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 388, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 392, x2) + +inst_114: +// rs1_val==46341 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 396, x2) + +inst_115: +// rs1_val==46341 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 400, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 404, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 408, x2) + +inst_118: +// rs1_val==46341 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb505, 0x3, x1, 412, x2) + +inst_119: +// rs1_val==-46339 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 416, x2) + +inst_120: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 420, x2) + +inst_121: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 424, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 428, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 432, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 436, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 440, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 444, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 448, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 452, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 456, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 460, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 464, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 468, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 472, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 476, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 480, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 484, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 488, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 492, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 496, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 500, x2) + +inst_141: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 504, x2) + +inst_142: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 508, x2) + +inst_143: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 512, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 516, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 520, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 524, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 528, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 532, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 536, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 540, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 544, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 548, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 552, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 556, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 560, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 564, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 568, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 572, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 576, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 580, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 584, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 588, x2) + +inst_163: +// rs1_val==858993460 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 592, x2) + +inst_164: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 596, x2) + +inst_165: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 600, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 604, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 608, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 612, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 616, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 620, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 624, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 628, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 632, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 636, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 640, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 644, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 648, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 652, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 656, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 660, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 664, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 668, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 672, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 676, x2) + +inst_185: +// rs1_val==6 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 680, x2) + +inst_186: +// rs1_val==6 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x6, -0xb503, x1, 684, x2) + +inst_187: +// rs1_val==6 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 688, x2) + +inst_188: +// rs1_val==6 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 692, x2) + +inst_189: +// rs1_val==6 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x6, 0x6, x1, 696, x2) + +inst_190: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 700, x2) + +inst_191: +// rs1_val==6 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 704, x2) + +inst_192: +// rs1_val==6 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x6, 0x4, x1, 708, x2) + +inst_193: +// rs1_val==6 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 712, x2) + +inst_194: +// rs1_val==6 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x6, 0x6, 0x0, x1, 716, x2) + +inst_195: +// rs1_val==6 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 720, x2) + +inst_196: +// rs1_val==6 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 724, x2) + +inst_197: +// rs1_val==6 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 728, x2) + +inst_198: +// rs1_val==6 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x6, 0x2, x1, 732, x2) + +inst_199: +// rs1_val==6 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 736, x2) + +inst_200: +// rs1_val==6 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb50a, 0x6, -0xb504, x1, 740, x2) + +inst_201: +// rs1_val==6 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 744, x2) + +inst_202: +// rs1_val==6 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 748, x2) + +inst_203: +// rs1_val==6 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x6, 0x5, x1, 752, x2) + +inst_204: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 756, x2) + +inst_205: +// rs1_val==6 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 760, x2) + +inst_206: +// rs1_val==6 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x6, 0x3, x1, 764, x2) + +inst_207: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 768, x2) + +inst_208: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 772, x2) + +inst_209: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 776, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 780, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 784, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 788, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 792, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 796, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 800, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 804, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 808, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 812, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 816, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 820, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 824, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 828, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 832, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 836, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 840, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 844, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 848, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 852, x2) + +inst_229: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 856, x2) + +inst_230: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 860, x2) + +inst_231: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 864, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 868, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 872, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 876, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 880, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 884, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 888, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 892, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 896, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 900, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 904, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 908, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 912, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 916, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 920, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 924, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 928, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 932, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 936, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 940, x2) + +inst_251: +// rs1_val==4 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 944, x2) + +inst_252: +// rs1_val==4 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x4, -0xb503, x1, 948, x2) + +inst_253: +// rs1_val==4 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 952, x2) + +inst_254: +// rs1_val==4 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 956, x2) + +inst_255: +// rs1_val==4 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 960, x2) + +inst_256: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 964, x2) + +inst_257: +// rs1_val==4 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 968, x2) + +inst_258: +// rs1_val==4 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4, 0x4, x1, 972, x2) + +inst_259: +// rs1_val==4 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 976, x2) + +inst_260: +// rs1_val==4 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x4, 0x0, x1, 980, x2) + +inst_261: +// rs1_val==4 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 984, x2) + +inst_262: +// rs1_val==4 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 988, x2) + +inst_263: +// rs1_val==4 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 992, x2) + +inst_264: +// rs1_val==4 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x4, 0x2, x1, 996, x2) + +inst_265: +// rs1_val==4 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1000, x2) + +inst_266: +// rs1_val==4 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x4, -0xb504, x1, 1004, x2) + +inst_267: +// rs1_val==4 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1008, x2) + +inst_268: +// rs1_val==4 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1012, x2) + +inst_269: +// rs1_val==4 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1016, x2) + +inst_270: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1020, x2) + +inst_271: +// rs1_val==4 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1024, x2) + +inst_272: +// rs1_val==4 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1028, x2) + +inst_273: +// rs1_val==46339 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1032, x2) + +inst_274: +// rs1_val==46339 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1036, x2) + +inst_275: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1040, x2) + +inst_276: +// rs1_val==46339 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1044, x2) + +inst_277: +// rs1_val==46339 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1048, x2) + +inst_278: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1052, x2) + +inst_279: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1056, x2) + +inst_280: +// rs1_val==46339 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1060, x2) + +inst_281: +// rs1_val==46339 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1064, x2) + +inst_282: +// rs1_val==46339 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1068, x2) + +inst_283: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1072, x2) + +inst_284: +// rs1_val==46339 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1076, x2) + +inst_285: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1080, x2) + +inst_286: +// rs1_val==46339 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1084, x2) + +inst_287: +// rs1_val==46339 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1088, x2) + +inst_288: +// rs1_val==46339 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1092, x2) + +inst_289: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1096, x2) + +inst_290: +// rs1_val==46339 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1100, x2) + +inst_291: +// rs1_val==46339 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1104, x2) + +inst_292: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1108, x2) + +inst_293: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1112, x2) + +inst_294: +// rs1_val==46339 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1116, x2) + +inst_295: +// rs1_val==0 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1120, x2) + +inst_296: +// rs1_val==0 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0x0, -0xb503, x1, 1124, x2) + +inst_297: +// rs1_val==0 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1128, x2) + +inst_298: +// rs1_val==0 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1132, x2) + +inst_299: +// rs1_val==0 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1136, x2) + +inst_300: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1140, x2) + +inst_301: +// rs1_val==0 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1144, x2) + +inst_302: +// rs1_val==0 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1148, x2) + +inst_303: +// rs1_val==0 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1152, x2) + +inst_304: +// rs1_val==0 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1156, x2) + +inst_305: +// rs1_val==0 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1160, x2) + +inst_306: +// rs1_val==0 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1164, x2) + +inst_307: +// rs1_val==0 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1168, x2) + +inst_308: +// rs1_val==0 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1172, x2) + +inst_309: +// rs1_val==0 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1176, x2) + +inst_310: +// rs1_val==0 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0x0, -0xb504, x1, 1180, x2) + +inst_311: +// rs1_val==0 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1184, x2) + +inst_312: +// rs1_val==0 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1188, x2) + +inst_313: +// rs1_val==0 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1192, x2) + +inst_314: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1196, x2) + +inst_315: +// rs1_val==0 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1200, x2) + +inst_316: +// rs1_val==0 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1204, x2) + +inst_317: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1208, x2) + +inst_318: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1212, x2) + +inst_319: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1216, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1220, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1224, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1228, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1232, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1236, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1240, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1244, x2) + +inst_327: +// rs1_val==858993459 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) + +inst_328: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1252, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1256, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1260, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1264, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1268, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1272, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1276, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1280, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1284, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1288, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1292, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1296, x2) + +inst_340: +// rs1_val==5 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1300, x2) + +inst_341: +// rs1_val==5 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x5, -0xb503, x1, 1304, x2) + +inst_342: +// rs1_val==5 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1308, x2) + +inst_343: +// rs1_val==5 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1312, x2) + +inst_344: +// rs1_val==5 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1316, x2) + +inst_345: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1320, x2) + +inst_346: +// rs1_val==5 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1324, x2) + +inst_347: +// rs1_val==5 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1328, x2) + +inst_348: +// rs1_val==5 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1332, x2) + +inst_349: +// rs1_val==5 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) + +inst_350: +// rs1_val==5 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1340, x2) + +inst_351: +// rs1_val==5 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1344, x2) + +inst_352: +// rs1_val==5 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1348, x2) + +inst_353: +// rs1_val==5 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x5, 0x2, x1, 1352, x2) + +inst_354: +// rs1_val==5 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1356, x2) + +inst_355: +// rs1_val==5 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x5, -0xb504, x1, 1360, x2) + +inst_356: +// rs1_val==5 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1364, x2) + +inst_357: +// rs1_val==5 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1368, x2) + +inst_358: +// rs1_val==5 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1372, x2) + +inst_359: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1376, x2) + +inst_360: +// rs1_val==5 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1380, x2) + +inst_361: +// rs1_val==5 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x5, 0x3, x1, 1384, x2) + +inst_362: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1388, x2) + +inst_363: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1392, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1396, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1400, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1404, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1408, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1412, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1416, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1420, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1428, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1432, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1436, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1440, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1444, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1448, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1452, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1456, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1460, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1464, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1468, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1472, x2) + +inst_384: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1476, x2) + +inst_385: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1480, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1484, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1488, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1492, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1496, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1500, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1504, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1508, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1516, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1520, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1524, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1528, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1532, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1536, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1540, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1544, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1548, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1552, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1556, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1560, x2) + +inst_406: +// rs1_val==3 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1564, x2) + +inst_407: +// rs1_val==3 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x3, -0xb503, x1, 1568, x2) + +inst_408: +// rs1_val==3 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1572, x2) + +inst_409: +// rs1_val==3 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1576, x2) + +inst_410: +// rs1_val==3 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1580, x2) + +inst_411: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1584, x2) + +inst_412: +// rs1_val==3 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1588, x2) + +inst_413: +// rs1_val==3 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1592, x2) + +inst_414: +// rs1_val==3 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1596, x2) + +inst_415: +// rs1_val==3 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) + +inst_416: +// rs1_val==3 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1604, x2) + +inst_417: +// rs1_val==3 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1608, x2) + +inst_418: +// rs1_val==3 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1612, x2) + +inst_419: +// rs1_val==3 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1616, x2) + +inst_420: +// rs1_val==3 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1620, x2) + +inst_421: +// rs1_val==3 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x3, -0xb504, x1, 1624, x2) + +inst_422: +// rs1_val==3 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1628, x2) + +inst_423: +// rs1_val==3 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1632, x2) + +inst_424: +// rs1_val==3 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1636, x2) + +inst_425: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1640, x2) + +inst_426: +// rs1_val==3 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1644, x2) + +inst_427: +// rs1_val==3 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1648, x2) + +inst_428: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1652, x2) + +inst_429: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1656, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1660, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1664, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1668, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1672, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1676, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1680, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1684, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1688, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1692, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1696, x2) + +inst_440: +// rs1_val==858993458 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1700, x2) + +inst_441: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1704, x2) + +inst_442: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1708, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1712, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1716, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1720, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1724, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1728, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1732, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1736, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1740, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1744, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1748, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1752, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1756, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1760, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1764, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1768, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1772, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1776, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1780, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1784, x2) + +inst_462: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1788, x2) + +inst_463: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1792, x2) + +inst_464: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1796, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1800, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1804, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1808, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1812, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1816, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1820, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1824, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1828, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1832, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1836, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1840, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1844, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1848, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1852, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1856, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1860, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1864, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1868, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1872, x2) + +inst_484: +// rs1_val==2 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1876, x2) + +inst_485: +// rs1_val==2 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0x2, -0xb503, x1, 1880, x2) + +inst_486: +// rs1_val==2 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1884, x2) + +inst_487: +// rs1_val==2 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1888, x2) + +inst_488: +// rs1_val==2 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1892, x2) + +inst_489: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1896, x2) + +inst_490: +// rs1_val==2 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1900, x2) + +inst_491: +// rs1_val==2 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1904, x2) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1908, x2) + +inst_493: +// rs1_val==2 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1912, x2) + +inst_494: +// rs1_val==2 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1916, x2) + +inst_495: +// rs1_val==2 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1920, x2) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1924, x2) + +inst_497: +// rs1_val==2 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1928, x2) + +inst_498: +// rs1_val==2 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x2, -0xb504, x1, 1932, x2) + +inst_499: +// rs1_val==2 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 1936, x2) + +inst_500: +// rs1_val==2 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 1940, x2) + +inst_501: +// rs1_val==2 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 1944, x2) + +inst_502: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 1948, x2) + +inst_503: +// rs1_val==2 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 1952, x2) + +inst_504: +// rs1_val==2 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x2, 0x3, x1, 1956, x2) + +inst_505: +// rs1_val==46340 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 1960, x2) + +inst_506: +// rs1_val==46340 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 1964, x2) + +inst_507: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 1968, x2) + +inst_508: +// rs1_val==46340 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 1972, x2) + +inst_509: +// rs1_val==46340 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 1976, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 1980, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 1984, x2) + +inst_512: +// rs1_val==46340 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1988, x2) + +inst_513: +// rs1_val==46340 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1992, x2) + +inst_514: +// rs1_val==46340 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 2000, x2) + +inst_516: +// rs1_val==46340 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 2004, x2) + +inst_517: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 2008, x2) + +inst_518: +// rs1_val==46340 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb504, 0x2, x1, 2012, x2) + +inst_519: +// rs1_val==46340 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2016, x2) + +inst_520: +// rs1_val==46340 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 2020, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 2024, x2) + +inst_522: +// rs1_val==46340 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 2028, x2) + +inst_523: +// rs1_val==46340 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 2032, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 2036, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 2040, x2) + +inst_526: +// rs1_val==46340 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb504, 0x3, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_527: +// rs1_val==-46340 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 0, x2) + +inst_528: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 4, x2) + +inst_529: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 8, x2) + +inst_530: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 12, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 16, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 20, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 24, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 28, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 32, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 40, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 44, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 48, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 52, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 56, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 60, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 64, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 68, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 72, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 76, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 80, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 84, x2) + +inst_549: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 88, x2) + +inst_550: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 92, x2) + +inst_551: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 96, x2) + +inst_552: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 100, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 104, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 108, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 112, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 116, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 120, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 128, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 132, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 136, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 140, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 144, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 148, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 152, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 156, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 160, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 164, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 168, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 172, x2) + +inst_571: +// rs1_val==858993459 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 176, x2) + +inst_572: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 180, x2) + +inst_573: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 184, x2) + +inst_574: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 188, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 192, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 196, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 200, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 204, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 208, x2) + +inst_580: +// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff +TEST_RR_OP(sub, x12, x10, x11, 0x7fc00000, -0x400001, 0x7fffffff, x1, 212, x2) + +inst_581: +// rs2_val == -536870913, rs1_val == -8388609 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x20000001 +TEST_RR_OP(sub, x12, x10, x11, 0x1f800000, -0x800001, -0x20000001, x1, 216, x2) + +inst_582: +// rs2_val == -268435457, rs1_val == -33554433 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x10000001 +TEST_RR_OP(sub, x12, x10, x11, 0xe000000, -0x2000001, -0x10000001, x1, 220, x2) + +inst_583: +// rs2_val == -524289, rs1_val == -2 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x80001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffff, -0x2, -0x80001, x1, 224, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S new file mode 100644 index 000000000..aae0ca476 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S @@ -0,0 +1,415 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sw instruction of the RISC-V E extension for the sw-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sw-align) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rs2, rs1==x13, rs2==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0, rs2_val == 67108864 +// opcode: sw; op1:x13; op2:x1; op2val:0x4000000; immval:0x4; align:0 +TEST_STORE(x2,x9,0,x13,x1,0x4000000,0x4,0,sw,0) + +inst_1: +// rs1==x7, rs2==x6, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) +// opcode: sw; op1:x7; op2:x6; op2val:0x7fffffff; immval:0x20; align:0 +TEST_STORE(x2,x9,0,x7,x6,0x7fffffff,0x20,4,sw,0) + +inst_2: +// rs1==x5, rs2==x0, rs2_val == -1073741825, imm_val < 0 +// opcode: sw; op1:x5; op2:x0; op2val:0x0; immval:-0x4; align:0 +TEST_STORE(x2,x9,0,x5,x0,0x0,-0x4,8,sw,0) + +inst_3: +// rs1==x4, rs2==x5, rs2_val == -536870913, ea_align == 0 and (imm_val % 4) == 3 +// opcode: sw; op1:x4; op2:x5; op2val:-0x20000001; immval:-0x11; align:0 +TEST_STORE(x2,x9,0,x4,x5,-0x20000001,-0x11,12,sw,0) + +inst_4: +// rs1==x12, rs2==x3, rs2_val == -268435457, +// opcode: sw; op1:x12; op2:x3; op2val:-0x10000001; immval:-0x101; align:0 +TEST_STORE(x2,x9,0,x12,x3,-0x10000001,-0x101,16,sw,0) + +inst_5: +// rs1==x8, rs2==x10, rs2_val == -134217729, +// opcode: sw; op1:x8; op2:x10; op2val:-0x8000001; immval:-0x1; align:0 +TEST_STORE(x2,x9,0,x8,x10,-0x8000001,-0x1,20,sw,0) + +inst_6: +// rs1==x1, rs2==x8, rs2_val == -67108865, +// opcode: sw; op1:x1; op2:x8; op2val:-0x4000001; immval:-0x401; align:0 +TEST_STORE(x2,x9,0,x1,x8,-0x4000001,-0x401,24,sw,0) + +inst_7: +// rs1==x6, rs2==x15, rs2_val == -33554433, +// opcode: sw; op1:x6; op2:x15; op2val:-0x2000001; immval:0x40; align:0 +TEST_STORE(x2,x9,0,x6,x15,-0x2000001,0x40,28,sw,0) + +inst_8: +// rs1==x11, rs2==x14, rs2_val == -16777217, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sw; op1:x11; op2:x14; op2val:-0x1000001; immval:0x555; align:0 +TEST_STORE(x2,x5,0,x11,x14,-0x1000001,0x555,32,sw,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_9: +// rs1==x15, rs2==x7, rs2_val == -8388609, +// opcode: sw; op1:x15; op2:x7; op2val:-0x800001; immval:-0x201; align:0 +TEST_STORE(x1,x5,0,x15,x7,-0x800001,-0x201,0,sw,0) + +inst_10: +// rs1==x3, rs2==x12, rs2_val == -4194305, +// opcode: sw; op1:x3; op2:x12; op2val:-0x400001; immval:-0x8; align:0 +TEST_STORE(x1,x5,0,x3,x12,-0x400001,-0x8,4,sw,0) + +inst_11: +// rs1==x14, rs2==x9, rs2_val == -2097153, +// opcode: sw; op1:x14; op2:x9; op2val:-0x200001; immval:-0x800; align:0 +TEST_STORE(x1,x5,0,x14,x9,-0x200001,-0x800,8,sw,0) + +inst_12: +// rs1==x9, rs2==x13, rs2_val == -1048577, +// opcode: sw; op1:x9; op2:x13; op2val:-0x100001; immval:0x9; align:0 +TEST_STORE(x1,x5,0,x9,x13,-0x100001,0x9,12,sw,0) + +inst_13: +// rs1==x10, rs2==x4, rs2_val == -524289, +// opcode: sw; op1:x10; op2:x4; op2val:-0x80001; immval:0x7; align:0 +TEST_STORE(x1,x5,0,x10,x4,-0x80001,0x7,16,sw,0) + +inst_14: +// rs1==x2, rs2==x11, rs2_val == -262145, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sw; op1:x2; op2:x11; op2val:-0x40001; immval:0x6; align:0 +TEST_STORE(x1,x3,0,x2,x11,-0x40001,0x6,20,sw,0) + +inst_15: +// rs2==x2, rs2_val == -131073, +// opcode: sw; op1:x9; op2:x2; op2val:-0x20001; immval:0x20; align:0 +TEST_STORE(x1,x3,0,x9,x2,-0x20001,0x20,24,sw,0) + +inst_16: +// rs2_val == -65537, +// opcode: sw; op1:x10; op2:x11; op2val:-0x10001; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x10001,-0x81,28,sw,0) + +inst_17: +// rs2_val == -32769, +// opcode: sw; op1:x10; op2:x11; op2val:-0x8001; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x11,32,sw,0) + +inst_18: +// rs2_val == -16385, imm_val == 0 +// opcode: sw; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,36,sw,0) + +inst_19: +// rs2_val == -8193, +// opcode: sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x3ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2001,0x3ff,40,sw,0) + +inst_20: +// rs2_val == -4097, +// opcode: sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x200,44,sw,0) + +inst_21: +// rs2_val == -2049, +// opcode: sw; op1:x10; op2:x11; op2val:-0x801; immval:0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x801,0x4,48,sw,0) + +inst_22: +// rs2_val == -1025, +// opcode: sw; op1:x10; op2:x11; op2val:-0x401; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x401,52,sw,0) + +inst_23: +// rs2_val == -513, +// opcode: sw; op1:x10; op2:x11; op2val:-0x201; immval:0x3ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x201,0x3ff,56,sw,0) + +inst_24: +// rs2_val == -257, +// opcode: sw; op1:x10; op2:x11; op2val:-0x101; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x81,60,sw,0) + +inst_25: +// rs2_val == -129, +// opcode: sw; op1:x10; op2:x11; op2val:-0x81; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x81,0x1,64,sw,0) + +inst_26: +// rs2_val == -65, +// opcode: sw; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x41,-0xa,68,sw,0) + +inst_27: +// rs2_val == -33, +// opcode: sw; op1:x10; op2:x11; op2val:-0x21; immval:0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x21,0x3,72,sw,0) + +inst_28: +// rs2_val == -17, +// opcode: sw; op1:x10; op2:x11; op2val:-0x11; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x11,0x2,76,sw,0) + +inst_29: +// rs2_val == -9, +// opcode: sw; op1:x10; op2:x11; op2val:-0x9; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x9,-0xa,80,sw,0) + +inst_30: +// rs2_val == -5, +// opcode: sw; op1:x10; op2:x11; op2val:-0x5; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x5,0x40,84,sw,0) + +inst_31: +// rs2_val == -3, +// opcode: sw; op1:x10; op2:x11; op2val:-0x3; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x3,0x1,88,sw,0) + +inst_32: +// rs2_val == -2, +// opcode: sw; op1:x10; op2:x11; op2val:-0x2; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x201,92,sw,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x20; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x20,96,sw,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sw; op1:x10; op2:x11; op2val:0x40000000; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x401,100,sw,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sw; op1:x10; op2:x11; op2val:0x20000000; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000000,-0x8,104,sw,0) + +inst_36: +// rs2_val == 1, +// opcode: sw; op1:x10; op2:x11; op2val:0x1; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1,-0x2,108,sw,0) + +inst_37: +// rs2_val == -1431655766, +// opcode: sw; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,112,sw,0) + +inst_38: +// rs2_val == 1431655765, +// opcode: sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x55555555,0x40,116,sw,0) + +inst_39: +// rs2_val == 0, +// opcode: sw; op1:x10; op2:x11; op2val:0x0; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x0,-0xa,120,sw,0) + +inst_40: +// rs2_val == 268435456, +// opcode: sw; op1:x10; op2:x11; op2val:0x10000000; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x4,124,sw,0) + +inst_41: +// rs2_val == 134217728, +// opcode: sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x7ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000000,0x7ff,128,sw,0) + +inst_42: +// rs2_val == 33554432, +// opcode: sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x555; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000000,0x555,132,sw,0) + +inst_43: +// rs2_val == 16777216, +// opcode: sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000000,0x1,136,sw,0) + +inst_44: +// rs2_val == 8388608, +// opcode: sw; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x5,140,sw,0) + +inst_45: +// rs2_val == 4194304, +// opcode: sw; op1:x10; op2:x11; op2val:0x400000; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400000,0x2,144,sw,0) + +inst_46: +// rs2_val == 2097152, +// opcode: sw; op1:x10; op2:x11; op2val:0x200000; immval:-0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200000,-0x1,148,sw,0) + +inst_47: +// rs2_val == 1048576, +// opcode: sw; op1:x10; op2:x11; op2val:0x100000; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100000,-0x9,152,sw,0) + +inst_48: +// rs2_val == 524288, +// opcode: sw; op1:x10; op2:x11; op2val:0x80000; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80000,0x400,156,sw,0) + +inst_49: +// rs2_val == 262144, +// opcode: sw; op1:x10; op2:x11; op2val:0x40000; immval:0x555; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000,0x555,160,sw,0) + +inst_50: +// rs2_val == 131072, +// opcode: sw; op1:x10; op2:x11; op2val:0x20000; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x8,164,sw,0) + +inst_51: +// rs2_val == 65536, +// opcode: sw; op1:x10; op2:x11; op2val:0x10000; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x2,168,sw,0) + +inst_52: +// rs2_val == 32768, +// opcode: sw; op1:x10; op2:x11; op2val:0x8000; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000,-0xa,172,sw,0) + +inst_53: +// rs2_val == 16384, +// opcode: sw; op1:x10; op2:x11; op2val:0x4000; immval:-0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x1,176,sw,0) + +inst_54: +// rs2_val == 8192, +// opcode: sw; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,180,sw,0) + +inst_55: +// rs2_val == 4096, +// opcode: sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000,0x7,184,sw,0) + +inst_56: +// rs2_val == 2048, +// opcode: sw; op1:x10; op2:x11; op2val:0x800; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800,-0x81,188,sw,0) + +inst_57: +// rs2_val == 1024, +// opcode: sw; op1:x10; op2:x11; op2val:0x400; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400,-0x401,192,sw,0) + +inst_58: +// rs2_val == 512, +// opcode: sw; op1:x10; op2:x11; op2val:0x200; immval:0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200,0x7,196,sw,0) + +inst_59: +// rs2_val == 256, +// opcode: sw; op1:x10; op2:x11; op2val:0x100; immval:-0x101; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100,-0x101,200,sw,0) + +inst_60: +// rs2_val == 128, +// opcode: sw; op1:x10; op2:x11; op2val:0x80; immval:-0x21; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80,-0x21,204,sw,0) + +inst_61: +// rs2_val == 64, +// opcode: sw; op1:x10; op2:x11; op2val:0x40; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40,0x40,208,sw,0) + +inst_62: +// rs2_val == 32, +// opcode: sw; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,212,sw,0) + +inst_63: +// rs2_val == 16, +// opcode: sw; op1:x10; op2:x11; op2val:0x10; immval:0x10; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10,0x10,216,sw,0) + +inst_64: +// rs2_val == 8, +// opcode: sw; op1:x10; op2:x11; op2val:0x8; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8,0x400,220,sw,0) + +inst_65: +// rs2_val == 4, +// opcode: sw; op1:x10; op2:x11; op2val:0x4; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4,-0x401,224,sw,0) + +inst_66: +// rs2_val == 2, +// opcode: sw; op1:x10; op2:x11; op2val:0x2; immval:-0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2,-0x400,228,sw,0) + +inst_67: +// rs2_val == -1073741825, imm_val < 0 +// opcode: sw; op1:x10; op2:x11; op2val:-0x40000001; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x40000001,-0x4,232,sw,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 59*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S new file mode 100644 index 000000000..cb30a2617 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S @@ -0,0 +1,3000 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xor instruction of the RISC-V E extension for the xor covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xor) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x14, rd==x1, rs1_val != rs2_val, rs2_val == -1048577, rs1_val > 0 and rs2_val < 0, rs1_val == 131072 +// opcode: xor ; op1:x5; op2:x14; dest:x1; op1val:0x20000; op2val:-0x100001 +TEST_RR_OP(xor, x1, x5, x14, 0xffedffff, 0x20000, -0x100001, x7, 0, x10) + +inst_1: +// rs1 == rd != rs2, rs1==x3, rs2==x15, rd==x3, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -1048577, rs2_val == (2**(xlen-1)-1) +// opcode: xor ; op1:x3; op2:x15; dest:x3; op1val:-0x100001; op2val:0x7fffffff +TEST_RR_OP(xor, x3, x3, x15, 0x80100000, -0x100001, 0x7fffffff, x7, 4, x10) + +inst_2: +// rs2 == rd != rs1, rs1==x4, rs2==x0, rd==x0, rs2_val == -1073741825, rs1_val == 1024 +// opcode: xor ; op1:x4; op2:x0; dest:x0; op1val:0x400; op2val:0x0 +TEST_RR_OP(xor, x0, x4, x0, 0, 0x400, 0x0, x7, 8, x10) + +inst_3: +// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x6, rs2_val == -536870913, rs1_val == 8192 +// opcode: xor ; op1:x8; op2:x8; dest:x6; op1val:0x2000; op2val:0x2000 +TEST_RR_OP(xor, x6, x8, x8, 0x0, 0x2000, 0x2000, x7, 12, x10) + +inst_4: +// rs1 == rs2 == rd, rs1==x12, rs2==x12, rd==x12, rs2_val == -268435457, rs1_val == 1 +// opcode: xor ; op1:x12; op2:x12; dest:x12; op1val:0x1; op2val:0x1 +TEST_RR_OP(xor, x12, x12, x12, 0x0, 0x1, 0x1, x7, 16, x10) + +inst_5: +// rs1==x1, rs2==x4, rd==x15, rs2_val == -134217729, +// opcode: xor ; op1:x1; op2:x4; dest:x15; op1val:0x66666667; op2val:-0x8000001 +TEST_RR_OP(xor, x15, x1, x4, 0x91999998, 0x66666667, -0x8000001, x7, 20, x10) + +inst_6: +// rs1==x9, rs2==x1, rd==x14, rs2_val == -67108865, rs1_val < 0 and rs2_val < 0, rs1_val == -65 +// opcode: xor ; op1:x9; op2:x1; dest:x14; op1val:-0x41; op2val:-0x4000001 +TEST_RR_OP(xor, x14, x9, x1, 0x4000040, -0x41, -0x4000001, x7, 24, x10) + +inst_7: +// rs1==x15, rs2==x13, rd==x2, rs2_val == -33554433, rs1_val == 32768 +// opcode: xor ; op1:x15; op2:x13; dest:x2; op1val:0x8000; op2val:-0x2000001 +TEST_RR_OP(xor, x2, x15, x13, 0xfdff7fff, 0x8000, -0x2000001, x7, 28, x10) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_8: +// rs1==x10, rs2==x9, rd==x11, rs2_val == -16777217, +// opcode: xor ; op1:x10; op2:x9; dest:x11; op1val:0xb503; op2val:-0x1000001 +TEST_RR_OP(xor, x11, x10, x9, 0xfeff4afc, 0xb503, -0x1000001, x1, 0, x2) + +inst_9: +// rs1==x7, rs2==x5, rd==x10, rs2_val == -8388609, rs1_val == -17 +// opcode: xor ; op1:x7; op2:x5; dest:x10; op1val:-0x11; op2val:-0x800001 +TEST_RR_OP(xor, x10, x7, x5, 0x800010, -0x11, -0x800001, x1, 4, x2) + +inst_10: +// rs1==x6, rs2==x7, rd==x8, rs2_val == -4194305, rs1_val == 262144 +// opcode: xor ; op1:x6; op2:x7; dest:x8; op1val:0x40000; op2val:-0x400001 +TEST_RR_OP(xor, x8, x6, x7, 0xffbbffff, 0x40000, -0x400001, x1, 8, x2) + +inst_11: +// rs1==x13, rs2==x11, rd==x4, rs2_val == -2097153, rs1_val == 2097152 +// opcode: xor ; op1:x13; op2:x11; dest:x4; op1val:0x200000; op2val:-0x200001 +TEST_RR_OP(xor, x4, x13, x11, 0xffffffff, 0x200000, -0x200001, x1, 12, x2) + +inst_12: +// rs1==x14, rs2==x6, rd==x9, rs2_val == -524289, rs1_val == 524288 +// opcode: xor ; op1:x14; op2:x6; dest:x9; op1val:0x80000; op2val:-0x80001 +TEST_RR_OP(xor, x9, x14, x6, 0xffffffff, 0x80000, -0x80001, x1, 16, x2) + +inst_13: +// rs1==x0, rs2==x3, rd==x7, rs2_val == -262145, rs1_val == -65537 +// opcode: xor ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:-0x40001 +TEST_RR_OP(xor, x7, x0, x3, 0xfffbffff, 0x0, -0x40001, x1, 20, x2) + +inst_14: +// rs1==x11, rs2==x10, rd==x5, rs2_val == -131073, +// opcode: xor ; op1:x11; op2:x10; dest:x5; op1val:-0x8; op2val:-0x20001 +TEST_RR_OP(xor, x5, x11, x10, 0x20007, -0x8, -0x20001, x1, 24, x3) + +inst_15: +// rs1==x2, rs2_val == -65537, rs1_val == 2 +// opcode: xor ; op1:x2; op2:x9; dest:x14; op1val:0x2; op2val:-0x10001 +TEST_RR_OP(xor, x14, x2, x9, 0xfffefffd, 0x2, -0x10001, x1, 28, x3) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_16: +// rs2==x2, rs2_val == -32769, rs1_val == -262145 +// opcode: xor ; op1:x10; op2:x2; dest:x14; op1val:-0x40001; op2val:-0x8001 +TEST_RR_OP(xor, x14, x10, x2, 0x48000, -0x40001, -0x8001, x1, 0, x3) + +inst_17: +// rd==x13, rs2_val == -16385, +// opcode: xor ; op1:x7; op2:x11; dest:x13; op1val:0x2; op2val:-0x4001 +TEST_RR_OP(xor, x13, x7, x11, 0xffffbffd, 0x2, -0x4001, x1, 4, x3) + +inst_18: +// rs2_val == -8193, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x2001 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 8, x3) + +inst_19: +// rs2_val == -4097, rs1_val == -67108865 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x1001 +TEST_RR_OP(xor, x12, x10, x11, 0x4001000, -0x4000001, -0x1001, x1, 12, x3) + +inst_20: +// rs2_val == -2049, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x801 +TEST_RR_OP(xor, x12, x10, x11, 0xfffff7fd, 0x2, -0x801, x1, 16, x3) + +inst_21: +// rs2_val == -1025, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x401 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffbfd, 0x2, -0x401, x1, 20, x3) + +inst_22: +// rs2_val == -513, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x201 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffdfc, 0x3, -0x201, x1, 24, x3) + +inst_23: +// rs2_val == -257, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x101 +TEST_RR_OP(xor, x12, x10, x11, 0xffff7eff, 0x8000, -0x101, x1, 28, x3) + +inst_24: +// rs2_val == -129, rs1_val == -1073741825 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x81 +TEST_RR_OP(xor, x12, x10, x11, 0x40000080, -0x40000001, -0x81, x1, 32, x3) + +inst_25: +// rs2_val == -65, rs1_val == -134217729 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x41 +TEST_RR_OP(xor, x12, x10, x11, 0x8000040, -0x8000001, -0x41, x1, 36, x3) + +inst_26: +// rs2_val == -33, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffd8, 0x7, -0x21, x1, 40, x3) + +inst_27: +// rs2_val == -17, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x11 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffec, 0x3, -0x11, x1, 44, x3) + +inst_28: +// rs2_val == -9, rs1_val == 0 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x9 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff7, 0x0, -0x9, x1, 48, x3) + +inst_29: +// rs2_val == -5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:-0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x6, -0x5, x1, 52, x3) + +inst_30: +// rs2_val == -3, rs1_val == 1431655765 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x55555555, -0x3, x1, 56, x3) + +inst_31: +// rs2_val == -2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x0, -0x2, x1, 60, x3) + +inst_32: +// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 1431655765 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x2aaaaaaa, 0x7fffffff, 0x55555555, x1, 64, x3) + +inst_33: +// rs1_val == -536870913, rs2_val == 2097152 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x200000 +TEST_RR_OP(xor, x12, x10, x11, 0xdfdfffff, -0x20000001, 0x200000, x1, 68, x3) + +inst_34: +// rs1_val == -268435457, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1000b503, -0x10000001, -0xb504, x1, 72, x3) + +inst_35: +// rs1_val == -33554433, rs2_val == 2 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xfdfffffd, -0x2000001, 0x2, x1, 76, x3) + +inst_36: +// rs1_val == -16777217, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x1000005, -0x1000001, -0x6, x1, 80, x3) + +inst_37: +// rs1_val == -8388609, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0x800020, -0x800001, -0x21, x1, 84, x3) + +inst_38: +// rs1_val == -4194305, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x400002, -0x400001, -0x3, x1, 88, x3) + +inst_39: +// rs1_val == -2097153, rs2_val == -1431655766 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55755555, -0x200001, -0x55555556, x1, 92, x3) + +inst_40: +// rs1_val == -524289, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x40000000 +TEST_RR_OP(xor, x12, x10, x11, 0x3ff7ffff, -0x80001, -0x40000000, x1, 96, x3) + +inst_41: +// rs1_val == -131073, rs2_val == 1048576 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x100000 +TEST_RR_OP(xor, x12, x10, x11, 0xffedffff, -0x20001, 0x100000, x1, 100, x3) + +inst_42: +// rs1_val == -32769, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffffcafb, -0x8001, 0xb504, x1, 104, x3) + +inst_43: +// rs1_val == -16385, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x4005, -0x4001, -0x6, x1, 108, x3) + +inst_44: +// rs1_val == -8193, rs2_val == 1024 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x400 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdbff, -0x2001, 0x400, x1, 112, x3) + +inst_45: +// rs1_val == -4097, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1000001 +TEST_RR_OP(xor, x12, x10, x11, 0x1001000, -0x1001, -0x1000001, x1, 116, x3) + +inst_46: +// rs1_val == -2049, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x9 +TEST_RR_OP(xor, x12, x10, x11, 0xfffff7f6, -0x801, 0x9, x1, 120, x3) + +inst_47: +// rs1_val == -1025, rs2_val == 16777216 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1000000 +TEST_RR_OP(xor, x12, x10, x11, 0xfefffbff, -0x401, 0x1000000, x1, 124, x3) + +inst_48: +// rs1_val == -513, rs2_val == 67108864 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x4000000 +TEST_RR_OP(xor, x12, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 128, x3) + +inst_49: +// rs1_val == -257, rs2_val == 268435456 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x10000000 +TEST_RR_OP(xor, x12, x10, x11, 0xeffffeff, -0x101, 0x10000000, x1, 132, x3) + +inst_50: +// rs1_val == -129, rs2_val == 131072 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x20000 +TEST_RR_OP(xor, x12, x10, x11, 0xfffdff7f, -0x81, 0x20000, x1, 136, x3) + +inst_51: +// rs1_val == -33, rs2_val == 0 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffdf, -0x21, 0x0, x1, 140, x3) + +inst_52: +// rs1_val == -9, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccc4, -0x9, 0x33333333, x1, 144, x3) + +inst_53: +// rs1_val == -5, rs2_val == 536870912 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 +TEST_RR_OP(xor, x12, x10, x11, 0xdffffffb, -0x5, 0x20000000, x1, 148, x3) + +inst_54: +// rs1_val == -3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0x3, 0xb503, x1, 152, x3) + +inst_55: +// rs1_val == -2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x400001 +TEST_RR_OP(xor, x12, x10, x11, 0x400001, -0x2, -0x400001, x1, 156, x3) + +inst_56: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x80000000 +TEST_RR_OP(xor, x12, x10, x11, 0x80000009, 0x9, -0x80000000, x1, 160, x3) + +inst_57: +// rs2_val == 1073741824, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x40000000 +TEST_RR_OP(xor, x12, x10, x11, 0x80000000, -0x40000000, 0x40000000, x1, 164, x3) + +inst_58: +// rs2_val == 134217728, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x8000000 +TEST_RR_OP(xor, x12, x10, x11, 0x8000007, 0x7, 0x8000000, x1, 168, x3) + +inst_59: +// rs2_val == 33554432, rs1_val == 4 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2000000 +TEST_RR_OP(xor, x12, x10, x11, 0x2000004, 0x4, 0x2000000, x1, 172, x3) + +inst_60: +// rs2_val == 8388608, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 +TEST_RR_OP(xor, x12, x10, x11, 0x33b33333, 0x33333333, 0x800000, x1, 176, x3) + +inst_61: +// rs2_val == 4194304, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x400000 +TEST_RR_OP(xor, x12, x10, x11, 0xfdbfffff, -0x2000001, 0x400000, x1, 180, x3) + +inst_62: +// rs2_val == 524288, rs1_val == 256 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x80000 +TEST_RR_OP(xor, x12, x10, x11, 0x80100, 0x100, 0x80000, x1, 184, x3) + +inst_63: +// rs2_val == 262144, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x40000 +TEST_RR_OP(xor, x12, x10, x11, 0x33373333, 0x33333333, 0x40000, x1, 188, x3) + +inst_64: +// rs2_val == 65536, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x10000 +TEST_RR_OP(xor, x12, x10, x11, 0xfffeffff, -0x1, 0x10000, x1, 192, x3) + +inst_65: +// rs2_val == 32768, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x8000 +TEST_RR_OP(xor, x12, x10, x11, 0xffffcafc, -0xb504, 0x8000, x1, 196, x3) + +inst_66: +// rs2_val == 16384, rs1_val == 32 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000 +TEST_RR_OP(xor, x12, x10, x11, 0x4020, 0x20, 0x4000, x1, 200, x3) + +inst_67: +// rs2_val == 8192, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdff6, -0xa, 0x2000, x1, 204, x3) + +inst_68: +// rs2_val == 4096, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1000 +TEST_RR_OP(xor, x12, x10, x11, 0x33332333, 0x33333333, 0x1000, x1, 208, x3) + +inst_69: +// rs2_val == 2048, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x800 +TEST_RR_OP(xor, x12, x10, x11, 0x33333b34, 0x33333334, 0x800, x1, 212, x3) + +inst_70: +// rs2_val == 512, rs1_val == 2048 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x200 +TEST_RR_OP(xor, x12, x10, x11, 0xa00, 0x800, 0x200, x1, 216, x3) + +inst_71: +// rs2_val == 256, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x100 +TEST_RR_OP(xor, x12, x10, x11, 0x100, 0x0, 0x100, x1, 220, x3) + +inst_72: +// rs2_val == 128, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x80 +TEST_RR_OP(xor, x12, x10, x11, 0x20080, 0x20000, 0x80, x1, 224, x3) + +inst_73: +// rs2_val == 64, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x40 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaeb, -0x55555555, 0x40, x1, 228, x3) + +inst_74: +// rs2_val == 32, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x20 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffcf, -0x11, 0x20, x1, 232, x3) + +inst_75: +// rs2_val == 16, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x10 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffdef, -0x201, 0x10, x1, 236, x3) + +inst_76: +// rs2_val == 8, rs1_val == 16777216 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8 +TEST_RR_OP(xor, x12, x10, x11, 0x1000008, 0x1000000, 0x8, x1, 240, x3) + +inst_77: +// rs2_val == 4, rs1_val == 134217728 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x8000004, 0x8000000, 0x4, x1, 244, x3) + +inst_78: +// rs2_val == 1, rs1_val == 4194304 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x1 +TEST_RR_OP(xor, x12, x10, x11, 0x400001, 0x400000, 0x1, x1, 248, x3) + +inst_79: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x7fff4afd, -0x80000000, -0xb503, x1, 252, x3) + +inst_80: +// rs1_val == 1073741824, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x10000001 +TEST_RR_OP(xor, x12, x10, x11, 0xafffffff, 0x40000000, -0x10000001, x1, 256, x3) + +inst_81: +// rs1_val == 536870912, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0xdfffffdf, 0x20000000, -0x21, x1, 260, x3) + +inst_82: +// rs1_val == 268435456, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x20000 +TEST_RR_OP(xor, x12, x10, x11, 0x10020000, 0x10000000, 0x20000, x1, 264, x3) + +inst_83: +// rs1_val == 67108864, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x4000000, 0x4000000, 0x0, x1, 268, x3) + +inst_84: +// rs1_val == 33554432, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x801 +TEST_RR_OP(xor, x12, x10, x11, 0xfdfff7ff, 0x2000000, -0x801, x1, 272, x3) + +inst_85: +// rs1_val == 8388608, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x80b503, 0x800000, 0xb503, x1, 276, x3) + +inst_86: +// rs1_val == 1048576, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x100003, 0x100000, 0x3, x1, 280, x3) + +inst_87: +// rs1_val == 65536, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66676667, 0x10000, 0x66666667, x1, 284, x3) + +inst_88: +// rs1_val == 16384, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x1001 +TEST_RR_OP(xor, x12, x10, x11, 0xffffafff, 0x4000, -0x1001, x1, 288, x3) + +inst_89: +// rs1_val == 4096, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55554555, 0x1000, 0x55555555, x1, 292, x3) + +inst_90: +// rs1_val == 512, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x8000000 +TEST_RR_OP(xor, x12, x10, x11, 0x8000200, 0x200, 0x8000000, x1, 296, x3) + +inst_91: +// rs1_val == 128, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x86, 0x80, 0x6, x1, 300, x3) + +inst_92: +// rs1_val == 64, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x401 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffbbf, 0x40, -0x401, x1, 304, x3) + +inst_93: +// rs1_val == 16, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffeb, 0x10, -0x5, x1, 308, x3) + +inst_94: +// rs1_val == 8, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffe7, 0x8, -0x11, x1, 312, x3) + +inst_95: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 316, x3) + +inst_96: +// rs1_val==46341 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 320, x3) + +inst_97: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 324, x3) + +inst_98: +// rs1_val==46341 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 328, x3) + +inst_99: +// rs1_val==46341 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb505, 0x6, x1, 332, x3) + +inst_100: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 336, x3) + +inst_101: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 340, x3) + +inst_102: +// rs1_val==46341 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 344, x3) + +inst_103: +// rs1_val==46341 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb505, 0xb503, x1, 348, x3) + +inst_104: +// rs1_val==46341 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 352, x3) + +inst_105: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 356, x3) + +inst_106: +// rs1_val==46341 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 360, x3) + +inst_107: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 364, x3) + +inst_108: +// rs1_val==46341 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 368, x3) + +inst_109: +// rs1_val==46341 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 372, x3) + +inst_110: +// rs1_val==46341 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 376, x3) + +inst_111: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 380, x3) + +inst_112: +// rs1_val==46341 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 384, x3) + +inst_113: +// rs1_val==46341 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 388, x3) + +inst_114: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 392, x3) + +inst_115: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 396, x3) + +inst_116: +// rs1_val==46341 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb505, 0x3, x1, 400, x3) + +inst_117: +// rs1_val==-46339 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 404, x3) + +inst_118: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 408, x3) + +inst_119: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 412, x3) + +inst_120: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 416, x3) + +inst_121: +// rs1_val==-46339 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 420, x3) + +inst_122: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 424, x3) + +inst_123: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 428, x3) + +inst_124: +// rs1_val==-46339 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 432, x3) + +inst_125: +// rs1_val==-46339 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 436, x3) + +inst_126: +// rs1_val==-46339 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 440, x3) + +inst_127: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 444, x3) + +inst_128: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 448, x3) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 452, x3) + +inst_130: +// rs1_val==-46339 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 456, x3) + +inst_131: +// rs1_val==-46339 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 460, x3) + +inst_132: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 464, x3) + +inst_133: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 468, x3) + +inst_134: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 472, x3) + +inst_135: +// rs1_val==-46339 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 476, x3) + +inst_136: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 480, x3) + +inst_137: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 484, x3) + +inst_138: +// rs1_val==-46339 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 488, x3) + +inst_139: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 492, x3) + +inst_140: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 496, x3) + +inst_141: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 500, x3) + +inst_142: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 504, x3) + +inst_143: +// rs1_val==1717986919 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 508, x3) + +inst_144: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 512, x3) + +inst_145: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 516, x3) + +inst_146: +// rs1_val==1717986919 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 520, x3) + +inst_147: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 524, x3) + +inst_148: +// rs1_val==1717986919 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 528, x3) + +inst_149: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 532, x3) + +inst_150: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 536, x3) + +inst_151: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 540, x3) + +inst_152: +// rs1_val==1717986919 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 544, x3) + +inst_153: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 548, x3) + +inst_154: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 552, x3) + +inst_155: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 556, x3) + +inst_156: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 560, x3) + +inst_157: +// rs1_val==1717986919 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 564, x3) + +inst_158: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 568, x3) + +inst_159: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 572, x3) + +inst_160: +// rs1_val==1717986919 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 576, x3) + +inst_161: +// rs1_val==858993460 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 580, x3) + +inst_162: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 584, x3) + +inst_163: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 588, x3) + +inst_164: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 592, x3) + +inst_165: +// rs1_val==858993460 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 596, x3) + +inst_166: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 600, x3) + +inst_167: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 604, x3) + +inst_168: +// rs1_val==858993460 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 608, x3) + +inst_169: +// rs1_val==858993460 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 612, x3) + +inst_170: +// rs1_val==858993460 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 616, x3) + +inst_171: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 620, x3) + +inst_172: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 624, x3) + +inst_173: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 628, x3) + +inst_174: +// rs1_val==858993460 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 632, x3) + +inst_175: +// rs1_val==858993460 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 636, x3) + +inst_176: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 640, x3) + +inst_177: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 644, x3) + +inst_178: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 648, x3) + +inst_179: +// rs1_val==858993460 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 652, x3) + +inst_180: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 656, x3) + +inst_181: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 660, x3) + +inst_182: +// rs1_val==858993460 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 664, x3) + +inst_183: +// rs1_val==6 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x6, 0xb505, x1, 668, x3) + +inst_184: +// rs1_val==6 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 672, x3) + +inst_185: +// rs1_val==6 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 676, x3) + +inst_186: +// rs1_val==6 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 680, x3) + +inst_187: +// rs1_val==6 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x6, 0x6, x1, 684, x3) + +inst_188: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 688, x3) + +inst_189: +// rs1_val==6 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 692, x3) + +inst_190: +// rs1_val==6 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x6, 0x4, x1, 696, x3) + +inst_191: +// rs1_val==6 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x6, 0xb503, x1, 700, x3) + +inst_192: +// rs1_val==6 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x6, 0x0, x1, 704, x3) + +inst_193: +// rs1_val==6 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 708, x3) + +inst_194: +// rs1_val==6 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 712, x3) + +inst_195: +// rs1_val==6 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 716, x3) + +inst_196: +// rs1_val==6 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x6, 0x2, x1, 720, x3) + +inst_197: +// rs1_val==6 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0x6, 0xb504, x1, 724, x3) + +inst_198: +// rs1_val==6 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 728, x3) + +inst_199: +// rs1_val==6 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 732, x3) + +inst_200: +// rs1_val==6 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 736, x3) + +inst_201: +// rs1_val==6 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x6, 0x5, x1, 740, x3) + +inst_202: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 744, x3) + +inst_203: +// rs1_val==6 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 748, x3) + +inst_204: +// rs1_val==6 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x6, 0x3, x1, 752, x3) + +inst_205: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 756, x3) + +inst_206: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 760, x3) + +inst_207: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 764, x3) + +inst_208: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 768, x3) + +inst_209: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 772, x3) + +inst_210: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 776, x3) + +inst_211: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 780, x3) + +inst_212: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 784, x3) + +inst_213: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 788, x3) + +inst_214: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 792, x3) + +inst_215: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 796, x3) + +inst_216: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 800, x3) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 804, x3) + +inst_218: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 808, x3) + +inst_219: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 812, x3) + +inst_220: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 816, x3) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 820, x3) + +inst_222: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 824, x3) + +inst_223: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 828, x3) + +inst_224: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 832, x3) + +inst_225: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 836, x3) + +inst_226: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 840, x3) + +inst_227: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 844, x3) + +inst_228: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 848, x3) + +inst_229: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 852, x3) + +inst_230: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 856, x3) + +inst_231: +// rs1_val==1431655766 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 860, x3) + +inst_232: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 864, x3) + +inst_233: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 868, x3) + +inst_234: +// rs1_val==1431655766 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 872, x3) + +inst_235: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 876, x3) + +inst_236: +// rs1_val==1431655766 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 880, x3) + +inst_237: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 884, x3) + +inst_238: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 888, x3) + +inst_239: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 892, x3) + +inst_240: +// rs1_val==1431655766 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 896, x3) + +inst_241: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 900, x3) + +inst_242: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 904, x3) + +inst_243: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 908, x3) + +inst_244: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 912, x3) + +inst_245: +// rs1_val==1431655766 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 916, x3) + +inst_246: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 920, x3) + +inst_247: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 924, x3) + +inst_248: +// rs1_val==1431655766 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 928, x3) + +inst_249: +// rs1_val==4 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x4, 0xb505, x1, 932, x3) + +inst_250: +// rs1_val==4 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 936, x3) + +inst_251: +// rs1_val==4 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 940, x3) + +inst_252: +// rs1_val==4 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 944, x3) + +inst_253: +// rs1_val==4 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x4, 0x6, x1, 948, x3) + +inst_254: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 952, x3) + +inst_255: +// rs1_val==4 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 956, x3) + +inst_256: +// rs1_val==4 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x4, 0x4, x1, 960, x3) + +inst_257: +// rs1_val==4 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 964, x3) + +inst_258: +// rs1_val==4 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x4, 0x0, x1, 968, x3) + +inst_259: +// rs1_val==4 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 972, x3) + +inst_260: +// rs1_val==4 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 976, x3) + +inst_261: +// rs1_val==4 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 980, x3) + +inst_262: +// rs1_val==4 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x4, 0x2, x1, 984, x3) + +inst_263: +// rs1_val==4 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x4, 0xb504, x1, 988, x3) + +inst_264: +// rs1_val==4 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 992, x3) + +inst_265: +// rs1_val==4 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 996, x3) + +inst_266: +// rs1_val==4 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1000, x3) + +inst_267: +// rs1_val==4 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x4, 0x5, x1, 1004, x3) + +inst_268: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1008, x3) + +inst_269: +// rs1_val==4 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1012, x3) + +inst_270: +// rs1_val==4 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1016, x3) + +inst_271: +// rs1_val==46339 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb503, 0xb505, x1, 1020, x3) + +inst_272: +// rs1_val==46339 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1024, x3) + +inst_273: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1028, x3) + +inst_274: +// rs1_val==46339 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1032, x3) + +inst_275: +// rs1_val==46339 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb503, 0x6, x1, 1036, x3) + +inst_276: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1040, x3) + +inst_277: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1044, x3) + +inst_278: +// rs1_val==46339 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1048, x3) + +inst_279: +// rs1_val==46339 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1052, x3) + +inst_280: +// rs1_val==46339 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1056, x3) + +inst_281: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1060, x3) + +inst_282: +// rs1_val==46339 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1064, x3) + +inst_283: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1068, x3) + +inst_284: +// rs1_val==46339 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1072, x3) + +inst_285: +// rs1_val==46339 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb503, 0xb504, x1, 1076, x3) + +inst_286: +// rs1_val==46339 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1080, x3) + +inst_287: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1084, x3) + +inst_288: +// rs1_val==46339 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1088, x3) + +inst_289: +// rs1_val==46339 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb503, 0x5, x1, 1092, x3) + +inst_290: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1096, x3) + +inst_291: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1100, x3) + +inst_292: +// rs1_val==46339 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1104, x3) + +inst_293: +// rs1_val==0 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1108, x3) + +inst_294: +// rs1_val==0 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1112, x3) + +inst_295: +// rs1_val==0 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1116, x3) + +inst_296: +// rs1_val==0 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1120, x3) + +inst_297: +// rs1_val==0 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1124, x3) + +inst_298: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1128, x3) + +inst_299: +// rs1_val==0 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1132, x3) + +inst_300: +// rs1_val==0 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1136, x3) + +inst_301: +// rs1_val==0 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1140, x3) + +inst_302: +// rs1_val==0 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1144, x3) + +inst_303: +// rs1_val==0 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1148, x3) + +inst_304: +// rs1_val==0 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1152, x3) + +inst_305: +// rs1_val==0 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1156, x3) + +inst_306: +// rs1_val==0 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1160, x3) + +inst_307: +// rs1_val==0 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1164, x3) + +inst_308: +// rs1_val==0 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1168, x3) + +inst_309: +// rs1_val==0 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1172, x3) + +inst_310: +// rs1_val==0 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1176, x3) + +inst_311: +// rs1_val==0 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1180, x3) + +inst_312: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1184, x3) + +inst_313: +// rs1_val==0 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1188, x3) + +inst_314: +// rs1_val==0 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1192, x3) + +inst_315: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1196, x3) + +inst_316: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1200, x3) + +inst_317: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1204, x3) + +inst_318: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1208, x3) + +inst_319: +// rs1_val==1717986917 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1212, x3) + +inst_320: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1216, x3) + +inst_321: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1220, x3) + +inst_322: +// rs1_val==1717986917 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1224, x3) + +inst_323: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1228, x3) + +inst_324: +// rs1_val==1717986917 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1232, x3) + +inst_325: +// rs1_val==858993459 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1236, x3) + +inst_326: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1240, x3) + +inst_327: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1244, x3) + +inst_328: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1248, x3) + +inst_329: +// rs1_val==858993459 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1252, x3) + +inst_330: +// rs1_val==858993459 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1256, x3) + +inst_331: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1260, x3) + +inst_332: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1264, x3) + +inst_333: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1268, x3) + +inst_334: +// rs1_val==858993459 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1272, x3) + +inst_335: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1276, x3) + +inst_336: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1280, x3) + +inst_337: +// rs1_val==858993459 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1284, x3) + +inst_338: +// rs1_val==5 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x5, 0xb505, x1, 1288, x3) + +inst_339: +// rs1_val==5 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1292, x3) + +inst_340: +// rs1_val==5 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1296, x3) + +inst_341: +// rs1_val==5 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1300, x3) + +inst_342: +// rs1_val==5 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x5, 0x6, x1, 1304, x3) + +inst_343: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1308, x3) + +inst_344: +// rs1_val==5 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1312, x3) + +inst_345: +// rs1_val==5 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1316, x3) + +inst_346: +// rs1_val==5 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x5, 0xb503, x1, 1320, x3) + +inst_347: +// rs1_val==5 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1324, x3) + +inst_348: +// rs1_val==5 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1328, x3) + +inst_349: +// rs1_val==5 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1332, x3) + +inst_350: +// rs1_val==5 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1336, x3) + +inst_351: +// rs1_val==5 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1340, x3) + +inst_352: +// rs1_val==5 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x5, 0xb504, x1, 1344, x3) + +inst_353: +// rs1_val==5 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1348, x3) + +inst_354: +// rs1_val==5 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1352, x3) + +inst_355: +// rs1_val==5 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1356, x3) + +inst_356: +// rs1_val==5 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1360, x3) + +inst_357: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1364, x3) + +inst_358: +// rs1_val==5 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1368, x3) + +inst_359: +// rs1_val==5 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x5, 0x3, x1, 1372, x3) + +inst_360: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1376, x3) + +inst_361: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1380, x3) + +inst_362: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1384, x3) + +inst_363: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1388, x3) + +inst_364: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1392, x3) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1400, x3) + +inst_367: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1404, x3) + +inst_368: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1408, x3) + +inst_369: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1412, x3) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1416, x3) + +inst_371: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1420, x3) + +inst_372: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1424, x3) + +inst_373: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1428, x3) + +inst_374: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1432, x3) + +inst_375: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1436, x3) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1440, x3) + +inst_377: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1444, x3) + +inst_378: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1448, x3) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1452, x3) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1456, x3) + +inst_381: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1460, x3) + +inst_382: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1464, x3) + +inst_383: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1468, x3) + +inst_384: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1472, x3) + +inst_385: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1476, x3) + +inst_386: +// rs1_val==1431655765 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1480, x3) + +inst_387: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1484, x3) + +inst_388: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1488, x3) + +inst_389: +// rs1_val==1431655765 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1492, x3) + +inst_390: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1496, x3) + +inst_391: +// rs1_val==1431655765 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1500, x3) + +inst_392: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1504, x3) + +inst_393: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1508, x3) + +inst_394: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) + +inst_395: +// rs1_val==1431655765 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1516, x3) + +inst_396: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1520, x3) + +inst_397: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1524, x3) + +inst_398: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1528, x3) + +inst_399: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1532, x3) + +inst_400: +// rs1_val==1431655765 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1536, x3) + +inst_401: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1540, x3) + +inst_402: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1544, x3) + +inst_403: +// rs1_val==1431655765 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1548, x3) + +inst_404: +// rs1_val==3 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x3, 0xb505, x1, 1552, x3) + +inst_405: +// rs1_val==3 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1556, x3) + +inst_406: +// rs1_val==3 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1560, x3) + +inst_407: +// rs1_val==3 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1564, x3) + +inst_408: +// rs1_val==3 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x3, 0x6, x1, 1568, x3) + +inst_409: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1572, x3) + +inst_410: +// rs1_val==3 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1576, x3) + +inst_411: +// rs1_val==3 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1580, x3) + +inst_412: +// rs1_val==3 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x3, 0xb503, x1, 1584, x3) + +inst_413: +// rs1_val==3 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1588, x3) + +inst_414: +// rs1_val==3 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1592, x3) + +inst_415: +// rs1_val==3 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1596, x3) + +inst_416: +// rs1_val==3 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1600, x3) + +inst_417: +// rs1_val==3 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) + +inst_418: +// rs1_val==3 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1608, x3) + +inst_419: +// rs1_val==3 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1612, x3) + +inst_420: +// rs1_val==3 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1616, x3) + +inst_421: +// rs1_val==3 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1620, x3) + +inst_422: +// rs1_val==3 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x3, 0x5, x1, 1624, x3) + +inst_423: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1628, x3) + +inst_424: +// rs1_val==3 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1632, x3) + +inst_425: +// rs1_val==3 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1636, x3) + +inst_426: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1640, x3) + +inst_427: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1644, x3) + +inst_428: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1648, x3) + +inst_429: +// rs1_val==1717986917 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1652, x3) + +inst_430: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1656, x3) + +inst_431: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1660, x3) + +inst_432: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1664, x3) + +inst_433: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1668, x3) + +inst_434: +// rs1_val==1717986917 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1672, x3) + +inst_435: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1676, x3) + +inst_436: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1680, x3) + +inst_437: +// rs1_val==1717986917 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1684, x3) + +inst_438: +// rs1_val==858993458 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1688, x3) + +inst_439: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1692, x3) + +inst_440: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1696, x3) + +inst_441: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1700, x3) + +inst_442: +// rs1_val==858993458 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1704, x3) + +inst_443: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1708, x3) + +inst_444: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1712, x3) + +inst_445: +// rs1_val==858993458 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1716, x3) + +inst_446: +// rs1_val==858993458 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1720, x3) + +inst_447: +// rs1_val==858993458 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1724, x3) + +inst_448: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1728, x3) + +inst_449: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1732, x3) + +inst_450: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1736, x3) + +inst_451: +// rs1_val==858993458 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1740, x3) + +inst_452: +// rs1_val==858993458 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1744, x3) + +inst_453: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1748, x3) + +inst_454: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1752, x3) + +inst_455: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1756, x3) + +inst_456: +// rs1_val==858993458 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1760, x3) + +inst_457: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1764, x3) + +inst_458: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1768, x3) + +inst_459: +// rs1_val==858993458 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1772, x3) + +inst_460: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1776, x3) + +inst_461: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1780, x3) + +inst_462: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1784, x3) + +inst_463: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1788, x3) + +inst_464: +// rs1_val==1431655764 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1792, x3) + +inst_465: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1796, x3) + +inst_466: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1800, x3) + +inst_467: +// rs1_val==1431655764 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1804, x3) + +inst_468: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1808, x3) + +inst_469: +// rs1_val==1431655764 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1812, x3) + +inst_470: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1816, x3) + +inst_471: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1820, x3) + +inst_472: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1824, x3) + +inst_473: +// rs1_val==1431655764 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1828, x3) + +inst_474: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1832, x3) + +inst_475: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1836, x3) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1840, x3) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1844, x3) + +inst_478: +// rs1_val==1431655764 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1848, x3) + +inst_479: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1852, x3) + +inst_480: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1856, x3) + +inst_481: +// rs1_val==1431655764 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1860, x3) + +inst_482: +// rs1_val==2 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1864, x3) + +inst_483: +// rs1_val==2 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1868, x3) + +inst_484: +// rs1_val==2 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1872, x3) + +inst_485: +// rs1_val==2 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1876, x3) + +inst_486: +// rs1_val==2 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x2, 0x6, x1, 1880, x3) + +inst_487: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1884, x3) + +inst_488: +// rs1_val==2 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1888, x3) + +inst_489: +// rs1_val==2 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1892, x3) + +inst_490: +// rs1_val==2 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x2, 0xb503, x1, 1896, x3) + +inst_491: +// rs1_val==2 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1900, x3) + +inst_492: +// rs1_val==2 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1904, x3) + +inst_493: +// rs1_val==2 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1908, x3) + +inst_494: +// rs1_val==2 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1912, x3) + +inst_495: +// rs1_val==2 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1916, x3) + +inst_496: +// rs1_val==2 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1920, x3) + +inst_497: +// rs1_val==2 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1924, x3) + +inst_498: +// rs1_val==2 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1928, x3) + +inst_499: +// rs1_val==2 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1932, x3) + +inst_500: +// rs1_val==2 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1936, x3) + +inst_501: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 1940, x3) + +inst_502: +// rs1_val==2 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1944, x3) + +inst_503: +// rs1_val==2 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x2, 0x3, x1, 1948, x3) + +inst_504: +// rs1_val==46340 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb504, 0xb505, x1, 1952, x3) + +inst_505: +// rs1_val==46340 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 1956, x3) + +inst_506: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 1960, x3) + +inst_507: +// rs1_val==46340 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 1964, x3) + +inst_508: +// rs1_val==46340 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0xb504, 0x6, x1, 1968, x3) + +inst_509: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 1972, x3) + +inst_510: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 1976, x3) + +inst_511: +// rs1_val==46340 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1980, x3) + +inst_512: +// rs1_val==46340 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb504, 0xb503, x1, 1984, x3) + +inst_513: +// rs1_val==46340 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1988, x3) + +inst_514: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 1992, x3) + +inst_515: +// rs1_val==46340 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 1996, x3) + +inst_516: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 2000, x3) + +inst_517: +// rs1_val==46340 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2004, x3) + +inst_518: +// rs1_val==46340 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2008, x3) + +inst_519: +// rs1_val==46340 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 2012, x3) + +inst_520: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 2016, x3) + +inst_521: +// rs1_val==46340 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 2020, x3) + +inst_522: +// rs1_val==46340 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb504, 0x5, x1, 2024, x3) + +inst_523: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 2028, x3) + +inst_524: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 2032, x3) + +inst_525: +// rs1_val==46340 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2036, x3) + +inst_526: +// rs1_val==-46340 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 2040, x3) + +inst_527: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 2044, x3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_528: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 0, x3) + +inst_529: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 4, x3) + +inst_530: +// rs1_val==-46340 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 8, x3) + +inst_531: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 12, x3) + +inst_532: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 16, x3) + +inst_533: +// rs1_val==-46340 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 20, x3) + +inst_534: +// rs1_val==-46340 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 24, x3) + +inst_535: +// rs1_val==-46340 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 28, x3) + +inst_536: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 32, x3) + +inst_537: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 36, x3) + +inst_538: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 40, x3) + +inst_539: +// rs1_val==-46340 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 44, x3) + +inst_540: +// rs1_val==-46340 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 48, x3) + +inst_541: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 52, x3) + +inst_542: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 56, x3) + +inst_543: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 60, x3) + +inst_544: +// rs1_val==-46340 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 64, x3) + +inst_545: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 68, x3) + +inst_546: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 72, x3) + +inst_547: +// rs1_val==-46340 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 76, x3) + +inst_548: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 80, x3) + +inst_549: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 84, x3) + +inst_550: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 88, x3) + +inst_551: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 92, x3) + +inst_552: +// rs1_val==1717986918 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 96, x3) + +inst_553: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 100, x3) + +inst_554: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 104, x3) + +inst_555: +// rs1_val==1717986918 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 108, x3) + +inst_556: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 112, x3) + +inst_557: +// rs1_val==1717986918 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 116, x3) + +inst_558: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 120, x3) + +inst_559: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 124, x3) + +inst_560: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 128, x3) + +inst_561: +// rs1_val==1717986918 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 132, x3) + +inst_562: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 136, x3) + +inst_563: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 140, x3) + +inst_564: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 144, x3) + +inst_565: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 148, x3) + +inst_566: +// rs1_val==1717986918 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 152, x3) + +inst_567: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 156, x3) + +inst_568: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 160, x3) + +inst_569: +// rs1_val==1717986918 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 164, x3) + +inst_570: +// rs1_val==858993459 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 168, x3) + +inst_571: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 172, x3) + +inst_572: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 176, x3) + +inst_573: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 180, x3) + +inst_574: +// rs1_val==858993459 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 184, x3) + +inst_575: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 188, x3) + +inst_576: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 192, x3) + +inst_577: +// rs1_val==858993459 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 196, x3) + +inst_578: +// rs1_val==858993459 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 200, x3) + +inst_579: +// rs2_val == -1073741825, rs1_val == 1024 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000001 +TEST_RR_OP(xor, x12, x10, x11, 0xbffffbff, 0x400, -0x40000001, x1, 204, x3) + +inst_580: +// rs2_val == -536870913, rs1_val == 8192 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x20000001 +TEST_RR_OP(xor, x12, x10, x11, 0xdfffdfff, 0x2000, -0x20000001, x1, 208, x3) + +inst_581: +// rs2_val == -268435457, rs1_val == 1 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x10000001 +TEST_RR_OP(xor, x12, x10, x11, 0xeffffffe, 0x1, -0x10000001, x1, 212, x3) + +inst_582: +// rs2_val == -262145, rs1_val == -65537 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x40001 +TEST_RR_OP(xor, x12, x10, x11, 0x50000, -0x10001, -0x40001, x1, 216, x3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 55*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S new file mode 100644 index 000000000..00d85cb19 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S @@ -0,0 +1,2880 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xori instruction of the RISC-V E extension for the xori covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xori) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x12, rd==x13, imm_val == (-2**(12-1)), rs1_val != imm_val, rs1_val < 0 and imm_val < 0, imm_val == -2048, rs1_val == -131073 +// opcode: xori ; op1:x12; dest:x13; op1val:-0x20001; immval:-0x800 +TEST_IMM_OP( xori, x13, x12, 0x207ff, -0x20001, -0x800, x1, 0, x2) + +inst_1: +// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val < 0, rs1_val == (2**(xlen-1)-1), imm_val == -1366 +// opcode: xori ; op1:x10; dest:x10; op1val:0x7fffffff; immval:-0x556 +TEST_IMM_OP( xori, x10, x10, 0x80000555, 0x7fffffff, -0x556, x1, 4, x2) + +inst_2: +// rs1==x8, rd==x4, rs1_val == -1073741825, imm_val == -257 +// opcode: xori ; op1:x8; dest:x4; op1val:-0x40000001; immval:-0x101 +TEST_IMM_OP( xori, x4, x8, 0x40000100, -0x40000001, -0x101, x1, 8, x2) + +inst_3: +// rs1==x6, rd==x7, rs1_val == -536870913, rs1_val < 0 and imm_val > 0, imm_val == 2 +// opcode: xori ; op1:x6; dest:x7; op1val:-0x20000001; immval:0x2 +TEST_IMM_OP( xori, x7, x6, 0xdffffffd, -0x20000001, 0x2, x1, 12, x2) + +inst_4: +// rs1==x9, rd==x14, rs1_val == -268435457, +// opcode: xori ; op1:x9; dest:x14; op1val:-0x10000001; immval:0x6 +TEST_IMM_OP( xori, x14, x9, 0xeffffff9, -0x10000001, 0x6, x1, 16, x2) + +inst_5: +// rs1==x7, rd==x3, rs1_val == -134217729, +// opcode: xori ; op1:x7; dest:x3; op1val:-0x8000001; immval:-0x2c +TEST_IMM_OP( xori, x3, x7, 0x800002b, -0x8000001, -0x2c, x1, 20, x2) + +inst_6: +// rs1==x11, rd==x15, rs1_val == -67108865, imm_val == 16 +// opcode: xori ; op1:x11; dest:x15; op1val:-0x4000001; immval:0x10 +TEST_IMM_OP( xori, x15, x11, 0xfbffffef, -0x4000001, 0x10, x1, 24, x2) +RVTEST_SIGBASE( x6,signature_x6_0) + +inst_7: +// rs1==x13, rd==x1, rs1_val == -33554433, +// opcode: xori ; op1:x13; dest:x1; op1val:-0x2000001; immval:0x333 +TEST_IMM_OP( xori, x1, x13, 0xfdfffccc, -0x2000001, 0x333, x6, 0, x7) + +inst_8: +// rs1==x15, rd==x11, rs1_val == -16777217, +// opcode: xori ; op1:x15; dest:x11; op1val:-0x1000001; immval:0x5 +TEST_IMM_OP( xori, x11, x15, 0xfefffffa, -0x1000001, 0x5, x6, 4, x7) + +inst_9: +// rs1==x4, rd==x8, rs1_val == -8388609, imm_val == -65 +// opcode: xori ; op1:x4; dest:x8; op1val:-0x800001; immval:-0x41 +TEST_IMM_OP( xori, x8, x4, 0x800040, -0x800001, -0x41, x6, 8, x7) + +inst_10: +// rs1==x14, rd==x9, rs1_val == -4194305, +// opcode: xori ; op1:x14; dest:x9; op1val:-0x400001; immval:0x7 +TEST_IMM_OP( xori, x9, x14, 0xffbffff8, -0x400001, 0x7, x6, 12, x7) + +inst_11: +// rs1==x1, rd==x2, rs1_val == -2097153, +// opcode: xori ; op1:x1; dest:x2; op1val:-0x200001; immval:-0xa +TEST_IMM_OP( xori, x2, x1, 0x200009, -0x200001, -0xa, x6, 16, x7) + +inst_12: +// rs1==x3, rd==x5, rs1_val == -1048577, +// opcode: xori ; op1:x3; dest:x5; op1val:-0x100001; immval:0x665 +TEST_IMM_OP( xori, x5, x3, 0xffeff99a, -0x100001, 0x665, x6, 20, x7) + +inst_13: +// rs1==x5, rd==x12, rs1_val == -524289, +// opcode: xori ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x4 +TEST_IMM_OP( xori, x12, x5, 0x80003, -0x80001, -0x4, x6, 24, x4) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_14: +// rs1==x2, rd==x6, rs1_val == -262145, +// opcode: xori ; op1:x2; dest:x6; op1val:-0x40001; immval:0x2e +TEST_IMM_OP( xori, x6, x2, 0xfffbffd1, -0x40001, 0x2e, x3, 0, x4) + +inst_15: +// rs1==x0, rs1_val == -65537, imm_val == 512 +// opcode: xori ; op1:x0; dest:x1; op1val:0x0; immval:0x200 +TEST_IMM_OP( xori, x1, x0, 0x200, 0x0, 0x200, x3, 4, x4) + +inst_16: +// rd==x0, rs1_val == -32769, imm_val == 0 +// opcode: xori ; op1:x12; dest:x0; op1val:-0x8001; immval:0x0 +TEST_IMM_OP( xori, x0, x12, 0, -0x8001, 0x0, x3, 8, x4) + +inst_17: +// rs1_val == -16385, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x1 +TEST_IMM_OP( xori, x11, x10, 0x4000, -0x4001, -0x1, x3, 12, x4) + +inst_18: +// rs1_val == -8193, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x4 +TEST_IMM_OP( xori, x11, x10, 0x2003, -0x2001, -0x4, x3, 16, x4) + +inst_19: +// rs1_val == -4097, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffffefd1, -0x1001, 0x2e, x3, 20, x4) + +inst_20: +// rs1_val == -2049, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x801; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xfffff2ab, -0x801, 0x554, x3, 24, x4) + +inst_21: +// rs1_val == -1025, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xfffffbf9, -0x401, 0x6, x3, 28, x4) + +inst_22: +// rs1_val == -513, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 +TEST_IMM_OP( xori, x11, x10, 0x205, -0x201, -0x6, x3, 32, x4) + +inst_23: +// rs1_val == -257, rs1_val == imm_val +// opcode: xori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x101 +TEST_IMM_OP( xori, x11, x10, 0x0, -0x101, -0x101, x3, 36, x4) + +inst_24: +// rs1_val == -129, imm_val == -1025 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x401 +TEST_IMM_OP( xori, x11, x10, 0x480, -0x81, -0x401, x3, 40, x4) + +inst_25: +// rs1_val == -65, imm_val == 1 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x41; immval:0x1 +TEST_IMM_OP( xori, x11, x10, 0xffffffbe, -0x41, 0x1, x3, 44, x4) + +inst_26: +// rs1_val == -33, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x21; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffffffdc, -0x21, 0x3, x3, 48, x4) + +inst_27: +// rs1_val == -17, imm_val == -3 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x3 +TEST_IMM_OP( xori, x11, x10, 0x12, -0x11, -0x3, x3, 52, x4) + +inst_28: +// rs1_val == -9, imm_val == 1365 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x9; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa2, -0x9, 0x555, x3, 56, x4) + +inst_29: +// rs1_val == -5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x5; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, -0x5, 0x554, x3, 60, x4) + +inst_30: +// rs1_val == -3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x3; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaab, -0x3, 0x556, x3, 64, x4) + +inst_31: +// rs1_val == -2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd2, -0x2, 0x2c, x3, 68, x4) + +inst_32: +// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val > 0 and imm_val > 0 +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x7ff +TEST_IMM_OP( xori, x11, x10, 0x66666199, 0x66666666, 0x7ff, x3, 72, x4) + +inst_33: +// imm_val == -513, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x201 +TEST_IMM_OP( xori, x11, x10, 0x1200, -0x1001, -0x201, x3, 76, x4) + +inst_34: +// imm_val == -129, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x20001; immval:-0x81 +TEST_IMM_OP( xori, x11, x10, 0x20080, -0x20001, -0x81, x3, 80, x4) + +inst_35: +// imm_val == -33, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x21 +TEST_IMM_OP( xori, x11, x10, 0x100020, -0x100001, -0x21, x3, 84, x4) + +inst_36: +// imm_val == -17, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x11 +TEST_IMM_OP( xori, x11, x10, 0x11, -0x2, -0x11, x3, 88, x4) + +inst_37: +// imm_val == -9, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x9 +TEST_IMM_OP( xori, x11, x10, 0x100008, -0x100001, -0x9, x3, 92, x4) + +inst_38: +// imm_val == -5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x5 +TEST_IMM_OP( xori, x11, x10, 0x2004, -0x2001, -0x5, x3, 96, x4) + +inst_39: +// imm_val == -2, rs1_val == 262144 +// opcode: xori ; op1:x10; dest:x11; op1val:0x40000; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xfffbfffe, 0x40000, -0x2, x3, 100, x4) + +inst_40: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: xori ; op1:x10; dest:x11; op1val:-0x80000000; immval:-0x8 +TEST_IMM_OP( xori, x11, x10, 0x7ffffff8, -0x80000000, -0x8, x3, 104, x4) + +inst_41: +// rs1_val == 1073741824, imm_val == 64 +// opcode: xori ; op1:x10; dest:x11; op1val:0x40000000; immval:0x40 +TEST_IMM_OP( xori, x11, x10, 0x40000040, 0x40000000, 0x40, x3, 108, x4) + +inst_42: +// rs1_val == 536870912, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x1 +TEST_IMM_OP( xori, x11, x10, 0x20000001, 0x20000000, 0x1, x3, 112, x4) + +inst_43: +// rs1_val == 268435456, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10000000; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x10000006, 0x10000000, 0x6, x3, 116, x4) + +inst_44: +// rs1_val == 134217728, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8000000; immval:0x3ff +TEST_IMM_OP( xori, x11, x10, 0x80003ff, 0x8000000, 0x3ff, x3, 120, x4) + +inst_45: +// rs1_val == 67108864, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x4000005, 0x4000000, 0x5, x3, 124, x4) + +inst_46: +// rs1_val == 33554432, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xfdffffd3, 0x2000000, -0x2d, x3, 128, x4) + +inst_47: +// rs1_val == 16777216, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1000000; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x1000003, 0x1000000, 0x3, x3, 132, x4) + +inst_48: +// rs1_val == 8388608, +// opcode: xori ; op1:x10; dest:x11; op1val:0x800000; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x80002e, 0x800000, 0x2e, x3, 136, x4) + +inst_49: +// rs1_val == 4194304, +// opcode: xori ; op1:x10; dest:x11; op1val:0x400000; immval:-0x3 +TEST_IMM_OP( xori, x11, x10, 0xffbffffd, 0x400000, -0x3, x3, 140, x4) + +inst_50: +// rs1_val == 2097152, +// opcode: xori ; op1:x10; dest:x11; op1val:0x200000; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffdfffd4, 0x200000, -0x2c, x3, 144, x4) + +inst_51: +// rs1_val == 1048576, +// opcode: xori ; op1:x10; dest:x11; op1val:0x100000; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x100554, 0x100000, 0x554, x3, 148, x4) + +inst_52: +// rs1_val == 524288, +// opcode: xori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x201 +TEST_IMM_OP( xori, x11, x10, 0xfff7fdff, 0x80000, -0x201, x3, 152, x4) + +inst_53: +// rs1_val == 131072, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xfffdfffe, 0x20000, -0x2, x3, 156, x4) + +inst_54: +// rs1_val == 65536, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x10003, 0x10000, 0x3, x3, 160, x4) + +inst_55: +// rs1_val == 32768, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8000; immval:0x7ff +TEST_IMM_OP( xori, x11, x10, 0x87ff, 0x8000, 0x7ff, x3, 164, x4) + +inst_56: +// rs1_val == 16384, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x41 +TEST_IMM_OP( xori, x11, x10, 0xffffbfbf, 0x4000, -0x41, x3, 168, x4) + +inst_57: +// rs1_val == 8192, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7 +TEST_IMM_OP( xori, x11, x10, 0x2007, 0x2000, 0x7, x3, 172, x4) + +inst_58: +// rs1_val == 4096, imm_val == 4 +// opcode: xori ; op1:x10; dest:x11; op1val:0x1000; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x1004, 0x1000, 0x4, x3, 176, x4) + +inst_59: +// rs1_val == 2048, imm_val == 1024 +// opcode: xori ; op1:x10; dest:x11; op1val:0x800; immval:0x400 +TEST_IMM_OP( xori, x11, x10, 0xc00, 0x800, 0x400, x3, 180, x4) + +inst_60: +// rs1_val == 1024, +// opcode: xori ; op1:x10; dest:x11; op1val:0x400; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x267, 0x400, 0x667, x3, 184, x4) + +inst_61: +// rs1_val == 512, +// opcode: xori ; op1:x10; dest:x11; op1val:0x200; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x204, 0x200, 0x4, x3, 188, x4) + +inst_62: +// rs1_val == 256, +// opcode: xori ; op1:x10; dest:x11; op1val:0x100; immval:-0x8 +TEST_IMM_OP( xori, x11, x10, 0xfffffef8, 0x100, -0x8, x3, 192, x4) + +inst_63: +// rs1_val == 128, +// opcode: xori ; op1:x10; dest:x11; op1val:0x80; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xffffff7e, 0x80, -0x2, x3, 196, x4) + +inst_64: +// rs1_val == 64, +// opcode: xori ; op1:x10; dest:x11; op1val:0x40; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x40, 0x40, 0x0, x3, 200, x4) + +inst_65: +// rs1_val == 32, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x20, 0x20, 0x0, x3, 204, x4) + +inst_66: +// rs1_val == 16, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x15, 0x10, 0x5, x3, 208, x4) + +inst_67: +// rs1_val == 8, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb, 0x8, 0x3, x3, 212, x4) + +inst_68: +// rs1_val == 4, rs1_val==4 and imm_val==-45 +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 216, x4) + +inst_69: +// rs1_val == 2, rs1_val==2 and imm_val==1364 +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x2, 0x554, x3, 220, x4) + +inst_70: +// rs1_val == 1, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:-0x11 +TEST_IMM_OP( xori, x11, x10, 0xffffffee, 0x1, -0x11, x3, 224, x4) + +inst_71: +// imm_val == 256, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3fffffff; immval:0x100 +TEST_IMM_OP( xori, x11, x10, 0x3ffffeff, 0x3fffffff, 0x100, x3, 228, x4) + +inst_72: +// imm_val == 128, rs1_val == -1431655766 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x80 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa2a, -0x55555556, 0x80, x3, 232, x4) + +inst_73: +// imm_val == 32, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:0x20 +TEST_IMM_OP( xori, x11, x10, 0x21, 0x1, 0x20, x3, 236, x4) + +inst_74: +// imm_val == 8, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x7; immval:0x8 +TEST_IMM_OP( xori, x11, x10, 0xfffffff1, -0x7, 0x8, x3, 240, x4) + +inst_75: +// rs1_val==46341 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52b, 0xb505, 0x2e, x3, 244, x4) + +inst_76: +// rs1_val==46341 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, 0xb505, -0x2c, x3, 248, x4) + +inst_77: +// rs1_val==46341 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb505, 0x667, x3, 252, x4) + +inst_78: +// rs1_val==46341 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb505, 0x334, x3, 256, x4) + +inst_79: +// rs1_val==46341 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb505, 0x6, x3, 260, x4) + +inst_80: +// rs1_val==46341 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb505, -0x555, x3, 264, x4) + +inst_81: +// rs1_val==46341 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb053, 0xb505, 0x556, x3, 268, x4) + +inst_82: +// rs1_val==46341 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb505, 0x4, x3, 272, x4) + +inst_83: +// rs1_val==46341 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb505, 0x2c, x3, 276, x4) + +inst_84: +// rs1_val==46341 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb505, 0x0, x3, 280, x4) + +inst_85: +// rs1_val==46341 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb360, 0xb505, 0x665, x3, 284, x4) + +inst_86: +// rs1_val==46341 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb505, 0x332, x3, 288, x4) + +inst_87: +// rs1_val==46341 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb505, 0x554, x3, 292, x4) + +inst_88: +// rs1_val==46341 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb505, 0x2, x3, 296, x4) + +inst_89: +// rs1_val==46341 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb505, 0x2d, x3, 300, x4) + +inst_90: +// rs1_val==46341 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad6, 0xb505, -0x2d, x3, 304, x4) + +inst_91: +// rs1_val==46341 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb505, 0x666, x3, 308, x4) + +inst_92: +// rs1_val==46341 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb505, 0x333, x3, 312, x4) + +inst_93: +// rs1_val==46341 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb505, 0x5, x3, 316, x4) + +inst_94: +// rs1_val==46341 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb505, -0x556, x3, 320, x4) + +inst_95: +// rs1_val==46341 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb505, 0x555, x3, 324, x4) + +inst_96: +// rs1_val==46341 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb505, 0x3, x3, 328, x4) + +inst_97: +// rs1_val==-46339 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffff4ad3, -0xb503, 0x2e, x3, 332, x4) + +inst_98: +// rs1_val==-46339 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xb529, -0xb503, -0x2c, x3, 336, x4) + +inst_99: +// rs1_val==-46339 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb503, 0x667, x3, 340, x4) + +inst_100: +// rs1_val==-46339 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xffff49c9, -0xb503, 0x334, x3, 344, x4) + +inst_101: +// rs1_val==-46339 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xffff4afb, -0xb503, 0x6, x3, 348, x4) + +inst_102: +// rs1_val==-46339 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb503, -0x555, x3, 352, x4) + +inst_103: +// rs1_val==-46339 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fab, -0xb503, 0x556, x3, 356, x4) + +inst_104: +// rs1_val==-46339 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb503, 0x4, x3, 360, x4) + +inst_105: +// rs1_val==-46339 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb503, 0x2c, x3, 364, x4) + +inst_106: +// rs1_val==-46339 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 368, x4) + +inst_107: +// rs1_val==-46339 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xffff4c98, -0xb503, 0x665, x3, 372, x4) + +inst_108: +// rs1_val==-46339 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb503, 0x332, x3, 376, x4) + +inst_109: +// rs1_val==-46339 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb503, 0x554, x3, 380, x4) + +inst_110: +// rs1_val==-46339 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 384, x4) + +inst_111: +// rs1_val==-46339 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb503, 0x2d, x3, 388, x4) + +inst_112: +// rs1_val==-46339 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52e, -0xb503, -0x2d, x3, 392, x4) + +inst_113: +// rs1_val==-46339 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb503, 0x666, x3, 396, x4) + +inst_114: +// rs1_val==-46339 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb503, 0x333, x3, 400, x4) + +inst_115: +// rs1_val==-46339 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb503, 0x5, x3, 404, x4) + +inst_116: +// rs1_val==-46339 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb503, -0x556, x3, 408, x4) + +inst_117: +// rs1_val==-46339 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb503, 0x555, x3, 412, x4) + +inst_118: +// rs1_val==-46339 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb503, 0x3, x3, 416, x4) + +inst_119: +// rs1_val==1717986919 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666667, 0x2e, x3, 420, x4) + +inst_120: +// rs1_val==1717986919 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b3, 0x66666667, -0x2c, x3, 424, x4) + +inst_121: +// rs1_val==1717986919 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666667, 0x667, x3, 428, x4) + +inst_122: +// rs1_val==1717986919 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666553, 0x66666667, 0x334, x3, 432, x4) + +inst_123: +// rs1_val==1717986919 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666667, 0x6, x3, 436, x4) + +inst_124: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666667, -0x555, x3, 440, x4) + +inst_125: +// rs1_val==1717986919 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666667, 0x556, x3, 444, x4) + +inst_126: +// rs1_val==1717986919 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666667, 0x4, x3, 448, x4) + +inst_127: +// rs1_val==1717986919 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666667, 0x2c, x3, 452, x4) + +inst_128: +// rs1_val==1717986919 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 456, x4) + +inst_129: +// rs1_val==1717986919 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666667, 0x665, x3, 460, x4) + +inst_130: +// rs1_val==1717986919 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666667, 0x332, x3, 464, x4) + +inst_131: +// rs1_val==1717986919 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666667, 0x554, x3, 468, x4) + +inst_132: +// rs1_val==1717986919 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666667, 0x2, x3, 472, x4) + +inst_133: +// rs1_val==1717986919 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666667, 0x2d, x3, 476, x4) + +inst_134: +// rs1_val==1717986919 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b4, 0x66666667, -0x2d, x3, 480, x4) + +inst_135: +// rs1_val==1717986919 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666667, 0x666, x3, 484, x4) + +inst_136: +// rs1_val==1717986919 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666667, 0x333, x3, 488, x4) + +inst_137: +// rs1_val==1717986919 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666667, 0x5, x3, 492, x4) + +inst_138: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666667, -0x556, x3, 496, x4) + +inst_139: +// rs1_val==1717986919 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666667, 0x555, x3, 500, x4) + +inst_140: +// rs1_val==1717986919 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666667, 0x3, x3, 504, x4) + +inst_141: +// rs1_val==858993460 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331a, 0x33333334, 0x2e, x3, 508, x4) + +inst_142: +// rs1_val==858993460 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333334, -0x2c, x3, 512, x4) + +inst_143: +// rs1_val==858993460 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333553, 0x33333334, 0x667, x3, 516, x4) + +inst_144: +// rs1_val==858993460 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333334, 0x334, x3, 520, x4) + +inst_145: +// rs1_val==858993460 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333334, 0x6, x3, 524, x4) + +inst_146: +// rs1_val==858993460 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc99f, 0x33333334, -0x555, x3, 528, x4) + +inst_147: +// rs1_val==858993460 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333662, 0x33333334, 0x556, x3, 532, x4) + +inst_148: +// rs1_val==858993460 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333334, 0x4, x3, 536, x4) + +inst_149: +// rs1_val==858993460 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x33333318, 0x33333334, 0x2c, x3, 540, x4) + +inst_150: +// rs1_val==858993460 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 544, x4) + +inst_151: +// rs1_val==858993460 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333551, 0x33333334, 0x665, x3, 548, x4) + +inst_152: +// rs1_val==858993460 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333334, 0x332, x3, 552, x4) + +inst_153: +// rs1_val==858993460 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333660, 0x33333334, 0x554, x3, 556, x4) + +inst_154: +// rs1_val==858993460 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 560, x4) + +inst_155: +// rs1_val==858993460 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x33333319, 0x33333334, 0x2d, x3, 564, x4) + +inst_156: +// rs1_val==858993460 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333334, -0x2d, x3, 568, x4) + +inst_157: +// rs1_val==858993460 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333552, 0x33333334, 0x666, x3, 572, x4) + +inst_158: +// rs1_val==858993460 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333334, 0x333, x3, 576, x4) + +inst_159: +// rs1_val==858993460 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333334, 0x5, x3, 580, x4) + +inst_160: +// rs1_val==858993460 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc99e, 0x33333334, -0x556, x3, 584, x4) + +inst_161: +// rs1_val==858993460 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333661, 0x33333334, 0x555, x3, 588, x4) + +inst_162: +// rs1_val==858993460 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 592, x4) + +inst_163: +// rs1_val==6 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x28, 0x6, 0x2e, x3, 596, x4) + +inst_164: +// rs1_val==6 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd2, 0x6, -0x2c, x3, 600, x4) + +inst_165: +// rs1_val==6 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x661, 0x6, 0x667, x3, 604, x4) + +inst_166: +// rs1_val==6 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x332, 0x6, 0x334, x3, 608, x4) + +inst_167: +// rs1_val==6 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x6, 0x6, x3, 612, x4) + +inst_168: +// rs1_val==6 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaad, 0x6, -0x555, x3, 616, x4) + +inst_169: +// rs1_val==6 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x6, 0x556, x3, 620, x4) + +inst_170: +// rs1_val==6 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x6, 0x4, x3, 624, x4) + +inst_171: +// rs1_val==6 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2a, 0x6, 0x2c, x3, 628, x4) + +inst_172: +// rs1_val==6 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x6, 0x0, x3, 632, x4) + +inst_173: +// rs1_val==6 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x6, 0x665, x3, 636, x4) + +inst_174: +// rs1_val==6 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x334, 0x6, 0x332, x3, 640, x4) + +inst_175: +// rs1_val==6 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x552, 0x6, 0x554, x3, 644, x4) + +inst_176: +// rs1_val==6 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x6, 0x2, x3, 648, x4) + +inst_177: +// rs1_val==6 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2b, 0x6, 0x2d, x3, 652, x4) + +inst_178: +// rs1_val==6 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd5, 0x6, -0x2d, x3, 656, x4) + +inst_179: +// rs1_val==6 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x660, 0x6, 0x666, x3, 660, x4) + +inst_180: +// rs1_val==6 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x335, 0x6, 0x333, x3, 664, x4) + +inst_181: +// rs1_val==6 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x6, 0x5, x3, 668, x4) + +inst_182: +// rs1_val==6 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaac, 0x6, -0x556, x3, 672, x4) + +inst_183: +// rs1_val==6 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x553, 0x6, 0x555, x3, 676, x4) + +inst_184: +// rs1_val==6 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x6, 0x3, x3, 680, x4) + +inst_185: +// rs1_val==-1431655765 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, -0x55555555, 0x2e, x3, 684, x4) + +inst_186: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557f, -0x55555555, -0x2c, x3, 688, x4) + +inst_187: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555555, 0x667, x3, 692, x4) + +inst_188: +// rs1_val==-1431655765 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa99f, -0x55555555, 0x334, x3, 696, x4) + +inst_189: +// rs1_val==-1431655765 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaad, -0x55555555, 0x6, x3, 700, x4) + +inst_190: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555555, -0x555, x3, 704, x4) + +inst_191: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, -0x55555555, 0x556, x3, 708, x4) + +inst_192: +// rs1_val==-1431655765 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 712, x4) + +inst_193: +// rs1_val==-1431655765 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555555, 0x2c, x3, 716, x4) + +inst_194: +// rs1_val==-1431655765 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 720, x4) + +inst_195: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xaaaaacce, -0x55555555, 0x665, x3, 724, x4) + +inst_196: +// rs1_val==-1431655765 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555555, 0x332, x3, 728, x4) + +inst_197: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 732, x4) + +inst_198: +// rs1_val==-1431655765 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555555, 0x2, x3, 736, x4) + +inst_199: +// rs1_val==-1431655765 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555555, 0x2d, x3, 740, x4) + +inst_200: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555578, -0x55555555, -0x2d, x3, 744, x4) + +inst_201: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555555, 0x666, x3, 748, x4) + +inst_202: +// rs1_val==-1431655765 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555555, 0x333, x3, 752, x4) + +inst_203: +// rs1_val==-1431655765 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555555, 0x5, x3, 756, x4) + +inst_204: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555555, -0x556, x3, 760, x4) + +inst_205: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555555, 0x555, x3, 764, x4) + +inst_206: +// rs1_val==-1431655765 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555555, 0x3, x3, 768, x4) + +inst_207: +// rs1_val==1431655766 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555556, 0x2e, x3, 772, x4) + +inst_208: +// rs1_val==1431655766 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa82, 0x55555556, -0x2c, x3, 776, x4) + +inst_209: +// rs1_val==1431655766 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555556, 0x667, x3, 780, x4) + +inst_210: +// rs1_val==1431655766 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555662, 0x55555556, 0x334, x3, 784, x4) + +inst_211: +// rs1_val==1431655766 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555556, 0x6, x3, 788, x4) + +inst_212: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, 0x55555556, -0x555, x3, 792, x4) + +inst_213: +// rs1_val==1431655766 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555556, 0x556, x3, 796, x4) + +inst_214: +// rs1_val==1431655766 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555556, 0x4, x3, 800, x4) + +inst_215: +// rs1_val==1431655766 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555556, 0x2c, x3, 804, x4) + +inst_216: +// rs1_val==1431655766 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 808, x4) + +inst_217: +// rs1_val==1431655766 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555556, 0x665, x3, 812, x4) + +inst_218: +// rs1_val==1431655766 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555664, 0x55555556, 0x332, x3, 816, x4) + +inst_219: +// rs1_val==1431655766 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555556, 0x554, x3, 820, x4) + +inst_220: +// rs1_val==1431655766 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555556, 0x2, x3, 824, x4) + +inst_221: +// rs1_val==1431655766 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555556, 0x2d, x3, 828, x4) + +inst_222: +// rs1_val==1431655766 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, 0x55555556, -0x2d, x3, 832, x4) + +inst_223: +// rs1_val==1431655766 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555556, 0x666, x3, 836, x4) + +inst_224: +// rs1_val==1431655766 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555665, 0x55555556, 0x333, x3, 840, x4) + +inst_225: +// rs1_val==1431655766 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555556, 0x5, x3, 844, x4) + +inst_226: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, 0x55555556, -0x556, x3, 848, x4) + +inst_227: +// rs1_val==1431655766 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555556, 0x555, x3, 852, x4) + +inst_228: +// rs1_val==1431655766 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555556, 0x3, x3, 856, x4) + +inst_229: +// rs1_val==4 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2a, 0x4, 0x2e, x3, 860, x4) + +inst_230: +// rs1_val==4 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x4, -0x2c, x3, 864, x4) + +inst_231: +// rs1_val==4 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x4, 0x667, x3, 868, x4) + +inst_232: +// rs1_val==4 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x4, 0x334, x3, 872, x4) + +inst_233: +// rs1_val==4 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x4, 0x6, x3, 876, x4) + +inst_234: +// rs1_val==4 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 880, x4) + +inst_235: +// rs1_val==4 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x552, 0x4, 0x556, x3, 884, x4) + +inst_236: +// rs1_val==4 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x4, 0x4, x3, 888, x4) + +inst_237: +// rs1_val==4 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x28, 0x4, 0x2c, x3, 892, x4) + +inst_238: +// rs1_val==4 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x4, 0x0, x3, 896, x4) + +inst_239: +// rs1_val==4 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x661, 0x4, 0x665, x3, 900, x4) + +inst_240: +// rs1_val==4 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x4, 0x332, x3, 904, x4) + +inst_241: +// rs1_val==4 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x4, 0x554, x3, 908, x4) + +inst_242: +// rs1_val==4 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x4, 0x2, x3, 912, x4) + +inst_243: +// rs1_val==4 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x29, 0x4, 0x2d, x3, 916, x4) + +inst_244: +// rs1_val==4 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x662, 0x4, 0x666, x3, 920, x4) + +inst_245: +// rs1_val==4 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x4, 0x333, x3, 924, x4) + +inst_246: +// rs1_val==4 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x4, 0x5, x3, 928, x4) + +inst_247: +// rs1_val==4 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 932, x4) + +inst_248: +// rs1_val==4 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x551, 0x4, 0x555, x3, 936, x4) + +inst_249: +// rs1_val==4 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x4, 0x3, x3, 940, x4) + +inst_250: +// rs1_val==46339 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52d, 0xb503, 0x2e, x3, 944, x4) + +inst_251: +// rs1_val==46339 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb503, -0x2c, x3, 948, x4) + +inst_252: +// rs1_val==46339 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb364, 0xb503, 0x667, x3, 952, x4) + +inst_253: +// rs1_val==46339 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb503, 0x334, x3, 956, x4) + +inst_254: +// rs1_val==46339 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb503, 0x6, x3, 960, x4) + +inst_255: +// rs1_val==46339 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, 0xb503, -0x555, x3, 964, x4) + +inst_256: +// rs1_val==46339 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb055, 0xb503, 0x556, x3, 968, x4) + +inst_257: +// rs1_val==46339 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb503, 0x4, x3, 972, x4) + +inst_258: +// rs1_val==46339 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 976, x4) + +inst_259: +// rs1_val==46339 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb503, 0x0, x3, 980, x4) + +inst_260: +// rs1_val==46339 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb366, 0xb503, 0x665, x3, 984, x4) + +inst_261: +// rs1_val==46339 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb503, 0x332, x3, 988, x4) + +inst_262: +// rs1_val==46339 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb057, 0xb503, 0x554, x3, 992, x4) + +inst_263: +// rs1_val==46339 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb503, 0x2, x3, 996, x4) + +inst_264: +// rs1_val==46339 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52e, 0xb503, 0x2d, x3, 1000, x4) + +inst_265: +// rs1_val==46339 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb503, -0x2d, x3, 1004, x4) + +inst_266: +// rs1_val==46339 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb365, 0xb503, 0x666, x3, 1008, x4) + +inst_267: +// rs1_val==46339 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb503, 0x333, x3, 1012, x4) + +inst_268: +// rs1_val==46339 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb503, 0x5, x3, 1016, x4) + +inst_269: +// rs1_val==46339 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, 0xb503, -0x556, x3, 1020, x4) + +inst_270: +// rs1_val==46339 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb056, 0xb503, 0x555, x3, 1024, x4) + +inst_271: +// rs1_val==46339 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb503, 0x3, x3, 1028, x4) + +inst_272: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1032, x4) + +inst_273: +// rs1_val==0 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1036, x4) + +inst_274: +// rs1_val==0 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x667, 0x0, 0x667, x3, 1040, x4) + +inst_275: +// rs1_val==0 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x334, 0x0, 0x334, x3, 1044, x4) + +inst_276: +// rs1_val==0 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x0, 0x6, x3, 1048, x4) + +inst_277: +// rs1_val==0 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1052, x4) + +inst_278: +// rs1_val==0 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x0, 0x556, x3, 1056, x4) + +inst_279: +// rs1_val==0 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x0, 0x4, x3, 1060, x4) + +inst_280: +// rs1_val==0 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1064, x4) + +inst_281: +// rs1_val==0 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x0, 0x0, x3, 1068, x4) + +inst_282: +// rs1_val==0 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x0, 0x665, x3, 1072, x4) + +inst_283: +// rs1_val==0 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x332, 0x0, 0x332, x3, 1076, x4) + +inst_284: +// rs1_val==0 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x554, 0x0, 0x554, x3, 1080, x4) + +inst_285: +// rs1_val==0 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x0, 0x2, x3, 1084, x4) + +inst_286: +// rs1_val==0 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1088, x4) + +inst_287: +// rs1_val==0 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1092, x4) + +inst_288: +// rs1_val==0 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x666, 0x0, 0x666, x3, 1096, x4) + +inst_289: +// rs1_val==0 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x333, 0x0, 0x333, x3, 1100, x4) + +inst_290: +// rs1_val==0 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x0, 0x5, x3, 1104, x4) + +inst_291: +// rs1_val==0 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1108, x4) + +inst_292: +// rs1_val==0 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x555, 0x0, 0x555, x3, 1112, x4) + +inst_293: +// rs1_val==0 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x0, 0x3, x3, 1116, x4) + +inst_294: +// rs1_val==1717986917 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666665, 0x2e, x3, 1120, x4) + +inst_295: +// rs1_val==1717986917 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b1, 0x66666665, -0x2c, x3, 1124, x4) + +inst_296: +// rs1_val==1717986917 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666665, 0x667, x3, 1128, x4) + +inst_297: +// rs1_val==1717986917 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666551, 0x66666665, 0x334, x3, 1132, x4) + +inst_298: +// rs1_val==1717986917 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666665, 0x6, x3, 1136, x4) + +inst_299: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999cce, 0x66666665, -0x555, x3, 1140, x4) + +inst_300: +// rs1_val==1717986917 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666665, 0x556, x3, 1144, x4) + +inst_301: +// rs1_val==1717986917 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666665, 0x4, x3, 1148, x4) + +inst_302: +// rs1_val==1717986917 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666665, 0x2c, x3, 1152, x4) + +inst_303: +// rs1_val==-1431655766 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555556, -0x555, x3, 1156, x4) + +inst_304: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, -0x55555556, 0x556, x3, 1160, x4) + +inst_305: +// rs1_val==-1431655766 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1164, x4) + +inst_306: +// rs1_val==-1431655766 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555556, 0x2c, x3, 1168, x4) + +inst_307: +// rs1_val==-1431655766 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1172, x4) + +inst_308: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccf, -0x55555556, 0x665, x3, 1176, x4) + +inst_309: +// rs1_val==-1431655766 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555556, 0x332, x3, 1180, x4) + +inst_310: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1184, x4) + +inst_311: +// rs1_val==-1431655766 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555556, 0x2, x3, 1188, x4) + +inst_312: +// rs1_val==-1431655766 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555556, 0x2d, x3, 1192, x4) + +inst_313: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555579, -0x55555556, -0x2d, x3, 1196, x4) + +inst_314: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555556, 0x666, x3, 1200, x4) + +inst_315: +// rs1_val==-1431655766 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555556, 0x333, x3, 1204, x4) + +inst_316: +// rs1_val==-1431655766 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1208, x4) + +inst_317: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555556, -0x556, x3, 1212, x4) + +inst_318: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1216, x4) + +inst_319: +// rs1_val==-1431655766 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555556, 0x3, x3, 1220, x4) + +inst_320: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555555, 0x2e, x3, 1224, x4) + +inst_321: +// rs1_val==1431655765 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa81, 0x55555555, -0x2c, x3, 1228, x4) + +inst_322: +// rs1_val==1431655765 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555555, 0x667, x3, 1232, x4) + +inst_323: +// rs1_val==1431655765 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555661, 0x55555555, 0x334, x3, 1236, x4) + +inst_324: +// rs1_val==1431655765 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555555, 0x6, x3, 1240, x4) + +inst_325: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555555, -0x555, x3, 1244, x4) + +inst_326: +// rs1_val==1431655765 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555555, 0x556, x3, 1248, x4) + +inst_327: +// rs1_val==1431655765 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555555, 0x4, x3, 1252, x4) + +inst_328: +// rs1_val==1431655765 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555555, 0x2c, x3, 1256, x4) + +inst_329: +// rs1_val==1431655765 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1260, x4) + +inst_330: +// rs1_val==1431655765 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555555, 0x665, x3, 1264, x4) + +inst_331: +// rs1_val==1431655765 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555555, 0x332, x3, 1268, x4) + +inst_332: +// rs1_val==1431655765 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555555, 0x554, x3, 1272, x4) + +inst_333: +// rs1_val==1431655765 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1276, x4) + +inst_334: +// rs1_val==1431655765 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555555, 0x2d, x3, 1280, x4) + +inst_335: +// rs1_val==1431655765 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, 0x55555555, -0x2d, x3, 1284, x4) + +inst_336: +// rs1_val==1431655765 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555555, 0x666, x3, 1288, x4) + +inst_337: +// rs1_val==1431655765 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555555, 0x333, x3, 1292, x4) + +inst_338: +// rs1_val==1431655765 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555555, 0x5, x3, 1296, x4) + +inst_339: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555555, -0x556, x3, 1300, x4) + +inst_340: +// rs1_val==1431655765 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555555, 0x555, x3, 1304, x4) + +inst_341: +// rs1_val==1431655765 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555555, 0x3, x3, 1308, x4) + +inst_342: +// rs1_val==3 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2d, 0x3, 0x2e, x3, 1312, x4) + +inst_343: +// rs1_val==3 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1316, x4) + +inst_344: +// rs1_val==3 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x664, 0x3, 0x667, x3, 1320, x4) + +inst_345: +// rs1_val==3 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x3, 0x334, x3, 1324, x4) + +inst_346: +// rs1_val==3 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x3, 0x6, x3, 1328, x4) + +inst_347: +// rs1_val==3 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x3, -0x555, x3, 1332, x4) + +inst_348: +// rs1_val==3 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x555, 0x3, 0x556, x3, 1336, x4) + +inst_349: +// rs1_val==3 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x3, 0x4, x3, 1340, x4) + +inst_350: +// rs1_val==3 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1344, x4) + +inst_351: +// rs1_val==3 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x3, 0x0, x3, 1348, x4) + +inst_352: +// rs1_val==3 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x666, 0x3, 0x665, x3, 1352, x4) + +inst_353: +// rs1_val==3 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x3, 0x332, x3, 1356, x4) + +inst_354: +// rs1_val==3 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x557, 0x3, 0x554, x3, 1360, x4) + +inst_355: +// rs1_val==3 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x3, 0x2, x3, 1364, x4) + +inst_356: +// rs1_val==3 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x3, 0x2d, x3, 1368, x4) + +inst_357: +// rs1_val==3 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x3, -0x2d, x3, 1372, x4) + +inst_358: +// rs1_val==3 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x3, 0x666, x3, 1376, x4) + +inst_359: +// rs1_val==3 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x3, 0x333, x3, 1380, x4) + +inst_360: +// rs1_val==3 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x3, 0x5, x3, 1384, x4) + +inst_361: +// rs1_val==3 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x3, -0x556, x3, 1388, x4) + +inst_362: +// rs1_val==3 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x3, 0x555, x3, 1392, x4) + +inst_363: +// rs1_val==3 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x3, 0x3, x3, 1396, x4) + +inst_364: +// rs1_val==1717986917 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1400, x4) + +inst_365: +// rs1_val==1717986917 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666665, 0x665, x3, 1404, x4) + +inst_366: +// rs1_val==1717986917 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666557, 0x66666665, 0x332, x3, 1408, x4) + +inst_367: +// rs1_val==1717986917 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666665, 0x554, x3, 1412, x4) + +inst_368: +// rs1_val==1717986917 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1416, x4) + +inst_369: +// rs1_val==1717986917 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666665, 0x2d, x3, 1420, x4) + +inst_370: +// rs1_val==1717986917 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b6, 0x66666665, -0x2d, x3, 1424, x4) + +inst_371: +// rs1_val==1717986917 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666665, 0x666, x3, 1428, x4) + +inst_372: +// rs1_val==1717986917 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666556, 0x66666665, 0x333, x3, 1432, x4) + +inst_373: +// rs1_val==1717986917 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666665, 0x5, x3, 1436, x4) + +inst_374: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccf, 0x66666665, -0x556, x3, 1440, x4) + +inst_375: +// rs1_val==1717986917 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666665, 0x555, x3, 1444, x4) + +inst_376: +// rs1_val==1717986917 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666665, 0x3, x3, 1448, x4) + +inst_377: +// rs1_val==858993458 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331c, 0x33333332, 0x2e, x3, 1452, x4) + +inst_378: +// rs1_val==858993458 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce6, 0x33333332, -0x2c, x3, 1456, x4) + +inst_379: +// rs1_val==858993458 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333332, 0x667, x3, 1460, x4) + +inst_380: +// rs1_val==858993458 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333332, 0x334, x3, 1464, x4) + +inst_381: +// rs1_val==858993458 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333332, 0x6, x3, 1468, x4) + +inst_382: +// rs1_val==858993458 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333332, -0x555, x3, 1472, x4) + +inst_383: +// rs1_val==858993458 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333664, 0x33333332, 0x556, x3, 1476, x4) + +inst_384: +// rs1_val==858993458 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1480, x4) + +inst_385: +// rs1_val==858993458 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333332, 0x2c, x3, 1484, x4) + +inst_386: +// rs1_val==858993458 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1488, x4) + +inst_387: +// rs1_val==858993458 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333557, 0x33333332, 0x665, x3, 1492, x4) + +inst_388: +// rs1_val==858993458 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333332, 0x332, x3, 1496, x4) + +inst_389: +// rs1_val==858993458 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333332, 0x554, x3, 1500, x4) + +inst_390: +// rs1_val==858993458 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333332, 0x2, x3, 1504, x4) + +inst_391: +// rs1_val==858993458 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333332, 0x2d, x3, 1508, x4) + +inst_392: +// rs1_val==858993458 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce1, 0x33333332, -0x2d, x3, 1512, x4) + +inst_393: +// rs1_val==858993458 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333332, 0x666, x3, 1516, x4) + +inst_394: +// rs1_val==858993458 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333332, 0x333, x3, 1520, x4) + +inst_395: +// rs1_val==858993458 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1524, x4) + +inst_396: +// rs1_val==858993458 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333332, -0x556, x3, 1528, x4) + +inst_397: +// rs1_val==858993458 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333332, 0x555, x3, 1532, x4) + +inst_398: +// rs1_val==858993458 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333332, 0x3, x3, 1536, x4) + +inst_399: +// rs1_val==1431655764 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555554, 0x2e, x3, 1540, x4) + +inst_400: +// rs1_val==1431655764 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa80, 0x55555554, -0x2c, x3, 1544, x4) + +inst_401: +// rs1_val==1431655764 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555554, 0x667, x3, 1548, x4) + +inst_402: +// rs1_val==1431655764 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555660, 0x55555554, 0x334, x3, 1552, x4) + +inst_403: +// rs1_val==1431655764 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555554, 0x6, x3, 1556, x4) + +inst_404: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555554, -0x555, x3, 1560, x4) + +inst_405: +// rs1_val==1431655764 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555554, 0x556, x3, 1564, x4) + +inst_406: +// rs1_val==1431655764 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555554, 0x4, x3, 1568, x4) + +inst_407: +// rs1_val==1431655764 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555554, 0x2c, x3, 1572, x4) + +inst_408: +// rs1_val==1431655764 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1576, x4) + +inst_409: +// rs1_val==1431655764 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555554, 0x665, x3, 1580, x4) + +inst_410: +// rs1_val==1431655764 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555554, 0x332, x3, 1584, x4) + +inst_411: +// rs1_val==1431655764 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555554, 0x554, x3, 1588, x4) + +inst_412: +// rs1_val==1431655764 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1592, x4) + +inst_413: +// rs1_val==1431655764 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555554, 0x2d, x3, 1596, x4) + +inst_414: +// rs1_val==1431655764 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, 0x55555554, -0x2d, x3, 1600, x4) + +inst_415: +// rs1_val==1431655764 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555554, 0x666, x3, 1604, x4) + +inst_416: +// rs1_val==1431655764 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555554, 0x333, x3, 1608, x4) + +inst_417: +// rs1_val==1431655764 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555554, 0x5, x3, 1612, x4) + +inst_418: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555554, -0x556, x3, 1616, x4) + +inst_419: +// rs1_val==1431655764 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555554, 0x555, x3, 1620, x4) + +inst_420: +// rs1_val==1431655764 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1624, x4) + +inst_421: +// rs1_val==2 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2c, 0x2, 0x2e, x3, 1628, x4) + +inst_422: +// rs1_val==2 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1632, x4) + +inst_423: +// rs1_val==2 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x2, 0x667, x3, 1636, x4) + +inst_424: +// rs1_val==2 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x2, 0x334, x3, 1640, x4) + +inst_425: +// rs1_val==2 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x2, 0x6, x3, 1644, x4) + +inst_426: +// rs1_val==2 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x2, -0x555, x3, 1648, x4) + +inst_427: +// rs1_val==2 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x554, 0x2, 0x556, x3, 1652, x4) + +inst_428: +// rs1_val==2 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x2, 0x4, x3, 1656, x4) + +inst_429: +// rs1_val==2 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1660, x4) + +inst_430: +// rs1_val==2 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x2, 0x0, x3, 1664, x4) + +inst_431: +// rs1_val==2 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x667, 0x2, 0x665, x3, 1668, x4) + +inst_432: +// rs1_val==2 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x2, 0x332, x3, 1672, x4) + +inst_433: +// rs1_val==2 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x2, 0x2, x3, 1676, x4) + +inst_434: +// rs1_val==2 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1680, x4) + +inst_435: +// rs1_val==2 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x2, -0x2d, x3, 1684, x4) + +inst_436: +// rs1_val==2 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x664, 0x2, 0x666, x3, 1688, x4) + +inst_437: +// rs1_val==2 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x2, 0x333, x3, 1692, x4) + +inst_438: +// rs1_val==2 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x2, 0x5, x3, 1696, x4) + +inst_439: +// rs1_val==2 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x2, -0x556, x3, 1700, x4) + +inst_440: +// rs1_val==2 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x557, 0x2, 0x555, x3, 1704, x4) + +inst_441: +// rs1_val==2 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x2, 0x3, x3, 1708, x4) + +inst_442: +// rs1_val==46340 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52a, 0xb504, 0x2e, x3, 1712, x4) + +inst_443: +// rs1_val==46340 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb504, -0x2c, x3, 1716, x4) + +inst_444: +// rs1_val==46340 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb504, 0x667, x3, 1720, x4) + +inst_445: +// rs1_val==46340 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb504, 0x334, x3, 1724, x4) + +inst_446: +// rs1_val==46340 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb502, 0xb504, 0x6, x3, 1728, x4) + +inst_447: +// rs1_val==46340 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb504, -0x555, x3, 1732, x4) + +inst_448: +// rs1_val==46340 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb052, 0xb504, 0x556, x3, 1736, x4) + +inst_449: +// rs1_val==46340 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb504, 0x4, x3, 1740, x4) + +inst_450: +// rs1_val==46340 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb504, 0x2c, x3, 1744, x4) + +inst_451: +// rs1_val==46340 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1748, x4) + +inst_452: +// rs1_val==46340 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb361, 0xb504, 0x665, x3, 1752, x4) + +inst_453: +// rs1_val==46340 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb504, 0x332, x3, 1756, x4) + +inst_454: +// rs1_val==46340 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb504, 0x554, x3, 1760, x4) + +inst_455: +// rs1_val==46340 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1764, x4) + +inst_456: +// rs1_val==46340 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb504, 0x2d, x3, 1768, x4) + +inst_457: +// rs1_val==46340 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb504, -0x2d, x3, 1772, x4) + +inst_458: +// rs1_val==46340 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb504, 0x666, x3, 1776, x4) + +inst_459: +// rs1_val==46340 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb504, 0x333, x3, 1780, x4) + +inst_460: +// rs1_val==46340 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb504, 0x5, x3, 1784, x4) + +inst_461: +// rs1_val==46340 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb504, -0x556, x3, 1788, x4) + +inst_462: +// rs1_val==46340 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb504, 0x555, x3, 1792, x4) + +inst_463: +// rs1_val==46340 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1796, x4) + +inst_464: +// rs1_val==-46340 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffff4ad2, -0xb504, 0x2e, x3, 1800, x4) + +inst_465: +// rs1_val==-46340 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xb528, -0xb504, -0x2c, x3, 1804, x4) + +inst_466: +// rs1_val==-46340 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb504, 0x667, x3, 1808, x4) + +inst_467: +// rs1_val==-46340 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xffff49c8, -0xb504, 0x334, x3, 1812, x4) + +inst_468: +// rs1_val==-46340 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xffff4afa, -0xb504, 0x6, x3, 1816, x4) + +inst_469: +// rs1_val==-46340 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb504, -0x555, x3, 1820, x4) + +inst_470: +// rs1_val==-46340 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4faa, -0xb504, 0x556, x3, 1824, x4) + +inst_471: +// rs1_val==-46340 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb504, 0x4, x3, 1828, x4) + +inst_472: +// rs1_val==-46340 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb504, 0x2c, x3, 1832, x4) + +inst_473: +// rs1_val==-46340 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1836, x4) + +inst_474: +// rs1_val==-46340 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xffff4c99, -0xb504, 0x665, x3, 1840, x4) + +inst_475: +// rs1_val==-46340 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb504, 0x332, x3, 1844, x4) + +inst_476: +// rs1_val==-46340 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb504, 0x554, x3, 1848, x4) + +inst_477: +// rs1_val==-46340 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1852, x4) + +inst_478: +// rs1_val==-46340 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb504, 0x2d, x3, 1856, x4) + +inst_479: +// rs1_val==-46340 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52f, -0xb504, -0x2d, x3, 1860, x4) + +inst_480: +// rs1_val==-46340 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb504, 0x666, x3, 1864, x4) + +inst_481: +// rs1_val==-46340 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb504, 0x333, x3, 1868, x4) + +inst_482: +// rs1_val==-46340 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb504, 0x5, x3, 1872, x4) + +inst_483: +// rs1_val==-46340 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb504, -0x556, x3, 1876, x4) + +inst_484: +// rs1_val==-46340 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb504, 0x555, x3, 1880, x4) + +inst_485: +// rs1_val==-46340 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1884, x4) + +inst_486: +// rs1_val==1717986918 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666666, 0x2e, x3, 1888, x4) + +inst_487: +// rs1_val==1717986918 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b2, 0x66666666, -0x2c, x3, 1892, x4) + +inst_488: +// rs1_val==1717986918 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666666, 0x667, x3, 1896, x4) + +inst_489: +// rs1_val==1717986918 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666552, 0x66666666, 0x334, x3, 1900, x4) + +inst_490: +// rs1_val==1717986918 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666666, 0x6, x3, 1904, x4) + +inst_491: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666666, -0x555, x3, 1908, x4) + +inst_492: +// rs1_val==1717986918 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666666, 0x556, x3, 1912, x4) + +inst_493: +// rs1_val==1717986918 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666666, 0x4, x3, 1916, x4) + +inst_494: +// rs1_val==1717986918 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666666, 0x2c, x3, 1920, x4) + +inst_495: +// rs1_val==1717986918 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1924, x4) + +inst_496: +// rs1_val==1717986918 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666666, 0x665, x3, 1928, x4) + +inst_497: +// rs1_val==1717986918 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666666, 0x332, x3, 1932, x4) + +inst_498: +// rs1_val==1717986918 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666666, 0x554, x3, 1936, x4) + +inst_499: +// rs1_val==1717986918 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666666, 0x2, x3, 1940, x4) + +inst_500: +// rs1_val==1717986918 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666666, 0x2d, x3, 1944, x4) + +inst_501: +// rs1_val==1717986918 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b5, 0x66666666, -0x2d, x3, 1948, x4) + +inst_502: +// rs1_val==1717986918 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666666, 0x666, x3, 1952, x4) + +inst_503: +// rs1_val==1717986918 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666666, 0x333, x3, 1956, x4) + +inst_504: +// rs1_val==1717986918 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666666, 0x5, x3, 1960, x4) + +inst_505: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666666, -0x556, x3, 1964, x4) + +inst_506: +// rs1_val==1717986918 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666666, 0x555, x3, 1968, x4) + +inst_507: +// rs1_val==1717986918 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666666, 0x3, x3, 1972, x4) + +inst_508: +// rs1_val==858993459 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331d, 0x33333333, 0x2e, x3, 1976, x4) + +inst_509: +// rs1_val==858993459 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333333, -0x2c, x3, 1980, x4) + +inst_510: +// rs1_val==858993459 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333333, 0x667, x3, 1984, x4) + +inst_511: +// rs1_val==858993459 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333333, 0x334, x3, 1988, x4) + +inst_512: +// rs1_val==858993459 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333335, 0x33333333, 0x6, x3, 1992, x4) + +inst_513: +// rs1_val==858993459 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333333, -0x555, x3, 1996, x4) + +inst_514: +// rs1_val==858993459 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333665, 0x33333333, 0x556, x3, 2000, x4) + +inst_515: +// rs1_val==858993459 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2004, x4) + +inst_516: +// rs1_val==858993459 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333333, 0x2c, x3, 2008, x4) + +inst_517: +// rs1_val==858993459 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2012, x4) + +inst_518: +// rs1_val==858993459 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333556, 0x33333333, 0x665, x3, 2016, x4) + +inst_519: +// rs1_val==858993459 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333333, 0x332, x3, 2020, x4) + +inst_520: +// rs1_val==858993459 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333333, 0x554, x3, 2024, x4) + +inst_521: +// rs1_val==858993459 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333333, 0x2, x3, 2028, x4) + +inst_522: +// rs1_val==858993459 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333333, 0x2d, x3, 2032, x4) + +inst_523: +// rs1_val==858993459 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333333, -0x2d, x3, 2036, x4) + +inst_524: +// rs1_val==858993459 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333333, 0x666, x3, 2040, x4) + +inst_525: +// rs1_val==858993459 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333333, 0x333, x3, 2044, x4) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_526: +// rs1_val==858993459 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333333, 0x5, x3, 0, x4) + +inst_527: +// rs1_val==858993459 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333333, -0x556, x3, 4, x4) + +inst_528: +// rs1_val==858993459 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333333, 0x555, x3, 8, x4) + +inst_529: +// rs1_val==858993459 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333333, 0x3, x3, 12, x4) + +inst_530: +// rs1_val==5 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2b, 0x5, 0x2e, x3, 16, x4) + +inst_531: +// rs1_val==5 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x5, -0x2c, x3, 20, x4) + +inst_532: +// rs1_val==5 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x662, 0x5, 0x667, x3, 24, x4) + +inst_533: +// rs1_val==5 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x5, 0x334, x3, 28, x4) + +inst_534: +// rs1_val==5 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x5, 0x6, x3, 32, x4) + +inst_535: +// rs1_val==5 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x5, -0x555, x3, 36, x4) + +inst_536: +// rs1_val==5 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x553, 0x5, 0x556, x3, 40, x4) + +inst_537: +// rs1_val==5 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x5, 0x4, x3, 44, x4) + +inst_538: +// rs1_val==5 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x29, 0x5, 0x2c, x3, 48, x4) + +inst_539: +// rs1_val==5 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x5, 0x0, x3, 52, x4) + +inst_540: +// rs1_val==5 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x660, 0x5, 0x665, x3, 56, x4) + +inst_541: +// rs1_val==5 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x5, 0x332, x3, 60, x4) + +inst_542: +// rs1_val==5 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x551, 0x5, 0x554, x3, 64, x4) + +inst_543: +// rs1_val==5 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x5, 0x2, x3, 68, x4) + +inst_544: +// rs1_val==5 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x28, 0x5, 0x2d, x3, 72, x4) + +inst_545: +// rs1_val==5 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x5, -0x2d, x3, 76, x4) + +inst_546: +// rs1_val==5 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x5, 0x666, x3, 80, x4) + +inst_547: +// rs1_val==5 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x5, 0x333, x3, 84, x4) + +inst_548: +// rs1_val==5 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x5, 0x5, x3, 88, x4) + +inst_549: +// rs1_val==5 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 92, x4) + +inst_550: +// rs1_val==5 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x5, 0x555, x3, 96, x4) + +inst_551: +// rs1_val==5 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x5, 0x3, x3, 100, x4) + +inst_552: +// rs1_val==-1431655766 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa84, -0x55555556, 0x2e, x3, 104, x4) + +inst_553: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557e, -0x55555556, -0x2c, x3, 108, x4) + +inst_554: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555556, 0x667, x3, 112, x4) + +inst_555: +// rs1_val==-1431655766 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa99e, -0x55555556, 0x334, x3, 116, x4) + +inst_556: +// rs1_val==-1431655766 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaac, -0x55555556, 0x6, x3, 120, x4) + +inst_557: +// rs1_val == -65537, imm_val == 512 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x200 +TEST_IMM_OP( xori, x11, x10, 0xfffefdff, -0x10001, 0x200, x3, 124, x4) + +inst_558: +// rs1_val == -32769, imm_val == 0 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff7fff, -0x8001, 0x0, x3, 128, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x6_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 33*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END From e80139cc9115d0bad11ee5911a7b2f8886cf5497 Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 00:45:16 +0000 Subject: [PATCH 031/100] Adjusted synthesis to compile rv32e on 12T library --- synthDC/hdl/wally-shared.vh | 62 +++++++++++++++++++++++++++++++++++++ synthDC/scripts/synth.tcl | 6 ++-- 2 files changed, 65 insertions(+), 3 deletions(-) create mode 100644 synthDC/hdl/wally-shared.vh diff --git a/synthDC/hdl/wally-shared.vh b/synthDC/hdl/wally-shared.vh new file mode 100644 index 000000000..277814f80 --- /dev/null +++ b/synthDC/hdl/wally-shared.vh @@ -0,0 +1,62 @@ +////////////////////////////////////////// +// wally-shared.vh +// +// Written: david_harris@hmc.edu 7 June 2021 +// +// Purpose: Shared and default configuration values common to all designs +// +// A component of the Wally configurable RISC-V project. +// +// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University +// +// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation +// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, +// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software +// is furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES +// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS +// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT +// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +/////////////////////////////////////////// + +// include shared constants +`include "wally-constants.vh" + +// macros to define supported modes +// NOTE: No hardware support fo Q yet + +`define A_SUPPORTED ((`MISA >> 0) % 2 == 1) +`define C_SUPPORTED ((`MISA >> 2) % 2 == 1) +`define D_SUPPORTED ((`MISA >> 3) % 2 == 1) +`define E_SUPPORTED ((`MISA >> 4) % 2 == 1) +`define F_SUPPORTED ((`MISA >> 5) % 2 == 1) +`define I_SUPPORTED ((`MISA >> 8) % 2 == 1) +`define M_SUPPORTED ((`MISA >> 12) % 2 == 1) +`define Q_SUPPORTED ((`MISA >> 16) % 2 == 1) +`define S_SUPPORTED ((`MISA >> 18) % 2 == 1) +`define U_SUPPORTED ((`MISA >> 20) % 2 == 1) + +// N-mode user-level interrupts are depricated per Andrew Waterman 1/13/21 +//`define N_SUPPORTED ((MISA >> 13) % 2 == 1) +`define N_SUPPORTED 0 + + +// logarithm of XLEN, used for number of index bits to select +`define LOG_XLEN (`XLEN == 32 ? 5 : 6) + +// Number of 64 bit PMP Configuration Register entries (or pairs of 32 bit entries) +`define PMPCFG_ENTRIES (`PMP_ENTRIES/8) + +// Floating point length FLEN and number of exponent (NE) and fraction (NF) bits +`define FLEN 64//(`Q_SUPPORTED ? 128 : `D_SUPPORTED ? 64 : 32) +`define NE 11//(`Q_SUPPORTED ? 15 : `D_SUPPORTED ? 11 : 8) +`define NF 52//(`Q_SUPPORTED ? 112 : `D_SUPPORTED ? 52 : 23) + +// Disable spurious Verilator warnings + +/* verilator lint_off STMTDLY */ +/* verilator lint_off ASSIGNDLY */ +/* verilator lint_off PINCONNECTEMPTY */ diff --git a/synthDC/scripts/synth.tcl b/synthDC/scripts/synth.tcl index 094adbd1e..13f5669d1 100755 --- a/synthDC/scripts/synth.tcl +++ b/synthDC/scripts/synth.tcl @@ -76,14 +76,14 @@ set all_in_ex_clk [remove_from_collection [all_inputs] [get_ports $my_clk]] set_propagated_clock [get_clocks $my_clk] # Setting constraints on input ports -set_driving_cell -lib_cell sky130_osu_sc_18T_ms__dff_1 -pin Q $all_in_ex_clk +set_driving_cell -lib_cell sky130_osu_sc_12T_ms__dff_1 -pin Q $all_in_ex_clk # Set input/output delay set_input_delay 0.0 -max -clock $my_clk $all_in_ex_clk set_output_delay 0.0 -max -clock $my_clk [all_outputs] # Setting load constraint on output ports -set_load [expr [load_of sky130_osu_sc_18T_ms_TT_1P8_25C.ccs/sky130_osu_sc_18T_ms__dff_1/D] * 1] [all_outputs] +set_load [expr [load_of sky130_osu_sc_12T_ms_TT_1P8_25C.ccs/sky130_osu_sc_12T_ms__dff_1/D] * 1] [all_outputs] # Set the wire load model set_wire_load_mode "top" @@ -111,7 +111,7 @@ write_file -format ddc -hierarchy -o $filename # Compile statements - either compile or compile_ultra # compile -scan -incr -map_effort low -# compile_ultra -no_seq_output_inversion -no_boundary_optimization +compile_ultra -no_seq_output_inversion -no_boundary_optimization # Eliminate need for assign statements (yuck!) set verilogout_no_tri true From dd26e9e87e46052e9a9f2a3f386a60af2ebfc0f3 Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 00:57:13 +0000 Subject: [PATCH 032/100] ignore .sv files in synthDC/hdl --- .gitignore | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/.gitignore b/.gitignore index 1bacbd175..fca93ebb7 100644 --- a/.gitignore +++ b/.gitignore @@ -52,5 +52,4 @@ examples/asm/sumtest/sumtest examples/asm/example/example examples/C/sum/sum examples/C/fir/fir - - +synthDC/hdl/*.sv From f9b1b47fc9998e30171d9e3da9ea3f721894e75f Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 01:06:13 +0000 Subject: [PATCH 033/100] Synth for 500 MHz --- synthDC/scripts/synth.tcl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/synthDC/scripts/synth.tcl b/synthDC/scripts/synth.tcl index 13f5669d1..e5b197b3a 100755 --- a/synthDC/scripts/synth.tcl +++ b/synthDC/scripts/synth.tcl @@ -47,7 +47,7 @@ reset_design # Set Frequency in [MHz] or [ps] set my_clock_pin clk -set my_clk_freq_MHz 10 +set my_clk_freq_MHz 500 set my_period [expr 1000 / $my_clk_freq_MHz] set my_uncertainty [expr .1 * $my_period] From b9480a46438f166fb844208f6c4d6370c800894f Mon Sep 17 00:00:00 2001 From: James Stine Date: Thu, 3 Feb 2022 19:26:41 -0600 Subject: [PATCH 034/100] Added the 12T submodule to the project. --- .gitmodules | 3 +++ addins/sky130_osu_sc_t12 | 1 + 2 files changed, 4 insertions(+) create mode 160000 addins/sky130_osu_sc_t12 diff --git a/.gitmodules b/.gitmodules index ba8877ce5..b396b1d43 100644 --- a/.gitmodules +++ b/.gitmodules @@ -20,3 +20,6 @@ [submodule "addins/sky130_osu_sc_t18"] path = addins/sky130_osu_sc_t18 url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_osu_sc_t18 +[submodule "addins/sky130_osu_sc_t12"] + path = addins/sky130_osu_sc_t12 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_osu_sc_t12 diff --git a/addins/sky130_osu_sc_t12 b/addins/sky130_osu_sc_t12 new file mode 160000 index 000000000..f1eef8447 --- /dev/null +++ b/addins/sky130_osu_sc_t12 @@ -0,0 +1 @@ +Subproject commit f1eef844734f73d3c79d83b82352118263eb7686 From dcb5005ba485be1b2267e79b266af08e771fdbc7 Mon Sep 17 00:00:00 2001 From: James Stine Date: Thu, 3 Feb 2022 19:42:03 -0600 Subject: [PATCH 035/100] Update to 12T for synthesis --- synthDC/.synopsys_dc.setup | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/synthDC/.synopsys_dc.setup b/synthDC/.synopsys_dc.setup index e4441ef36..f5d7f0ece 100755 --- a/synthDC/.synopsys_dc.setup +++ b/synthDC/.synopsys_dc.setup @@ -3,7 +3,7 @@ set CURRENT_DIR [exec pwd] set search_path [list "./" ] -set s8lib ../addins/sky130_osu_sc_t18/18T_ms/lib +set s8lib ../addins/sky130_osu_sc_t12/12T_ms/lib lappend search_path $s8lib # Synthetic libraries @@ -12,7 +12,7 @@ set synthetic_library [list dw_foundation.sldb] # Set OKSTATE standard cell libraries set target_library [list] -lappend target_library sky130_osu_sc_18T_ms_TT_1P8_25C.ccs.db +lappend target_library sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.db # Set Link Library set link_library "$target_library $synthetic_library" From 14c1d8695382c9a39eb4599cc56d4ff4734326c8 Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 01:56:30 +0000 Subject: [PATCH 036/100] rv32e --- pipelined/config/rv32e/BTBPredictor.txt | 1024 ++++++++++++++++++++ pipelined/config/rv32e/twoBitPredictor.txt | 1024 ++++++++++++++++++++ pipelined/config/rv32e/wally-config.vh | 129 +++ 3 files changed, 2177 insertions(+) create mode 100644 pipelined/config/rv32e/BTBPredictor.txt create mode 100644 pipelined/config/rv32e/twoBitPredictor.txt create mode 100644 pipelined/config/rv32e/wally-config.vh diff --git a/pipelined/config/rv32e/BTBPredictor.txt b/pipelined/config/rv32e/BTBPredictor.txt new file mode 100644 index 000000000..fd3eedffb --- /dev/null +++ b/pipelined/config/rv32e/BTBPredictor.txt @@ -0,0 +1,1024 @@ +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 diff --git a/pipelined/config/rv32e/twoBitPredictor.txt b/pipelined/config/rv32e/twoBitPredictor.txt new file mode 100644 index 000000000..ff57bd473 --- /dev/null +++ b/pipelined/config/rv32e/twoBitPredictor.txt @@ -0,0 +1,1024 @@ +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh new file mode 100644 index 000000000..bbeccbe85 --- /dev/null +++ b/pipelined/config/rv32e/wally-config.vh @@ -0,0 +1,129 @@ +////////////////////////////////////////// +// wally-config.vh +// +// Written: David_Harris@hmc.edu 4 January 2021 +// Modified: +// +// Purpose: Specify which features are configured +// Macros to determine which modes are supported based on MISA +// +// A component of the Wally configurable RISC-V project. +// +// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University +// +// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation +// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, +// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software +// is furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES +// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS +// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT +// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +/////////////////////////////////////////// + +// include shared configuration +`include "wally-shared.vh" + +`define FPGA 0 +`define QEMU 0 +`define DESIGN_COMPILER 0 + +// RV32 or RV64: XLEN = 32 or 64 +`define XLEN 32 + +// IEEE 754 compliance +`define IEEE754 0 + +// E +`define MISA (32'h00000010) +`define ZICSR_SUPPORTED 0 +`define ZIFENCEI_SUPPORTED 0 +`define COUNTERS 0 +`define ZICOUNTERS_SUPPORTED 0 + +// Microarchitectural Features +`define UARCH_PIPELINED 1 +`define UARCH_SUPERSCALR 0 +`define UARCH_SINGLECYCLE 0 +`define DMEM `MEM_BUS +`define IMEM `MEM_BUS +`define VIRTMEM_SUPPORTED 0 +`define VECTORED_INTERRUPTS_SUPPORTED 0 + +// TLB configuration. Entries should be a power of 2 +`define ITLB_ENTRIES 0 +`define DTLB_ENTRIES 0 + +// Cache configuration. Sizes should be a power of two +// typical configuration 4 ways, 4096 bytes per way, 256 bit or more lines +`define DCACHE_NUMWAYS 4 +`define DCACHE_WAYSIZEINBYTES 4096 +`define DCACHE_LINELENINBITS 256 +`define ICACHE_NUMWAYS 4 +`define ICACHE_WAYSIZEINBYTES 4096 +`define ICACHE_LINELENINBITS 256 + +// Integer Divider Configuration +// DIV_BITSPERCYCLE must be 1, 2, or 4 +`define DIV_BITSPERCYCLE 1 + +// Legal number of PMP entries are 0, 16, or 64 +`define PMP_ENTRIES 0 + +// Address space +`define RESET_VECTOR 32'h80000000 + +// Peripheral Addresses +// Peripheral memory space extends from BASE to BASE+RANGE +// Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits +`define BOOTROM_SUPPORTED 1'b1 +`define BOOTROM_BASE 34'h00001000 +`define BOOTROM_RANGE 34'h000000FF +`define RAM_SUPPORTED 1'b1 +`define RAM_BASE 34'h80000000 +`define RAM_RANGE 34'h000003FF +`define EXT_MEM_SUPPORTED 1'b0 +`define EXT_MEM_BASE 34'h80000000 +`define EXT_MEM_RANGE 34'h07FFFFFF +`define CLINT_SUPPORTED 1'b0 +`define CLINT_BASE 34'h02000000 +`define CLINT_RANGE 34'h0000FFFF +`define GPIO_SUPPORTED 1'b0 +`define GPIO_BASE 34'h10060000 +`define GPIO_RANGE 34'h000000FF +`define UART_SUPPORTED 1'b0 +`define UART_BASE 34'h10000000 +`define UART_RANGE 34'h00000007 +`define PLIC_SUPPORTED 1'b0 +`define PLIC_BASE 34'h0C000000 +`define PLIC_RANGE 34'h03FFFFFF +`define SDC_SUPPORTED 1'b0 +`define SDC_BASE 34'h00012100 +`define SDC_RANGE 34'h0000001F + +// Bus Interface width +`define AHBW 32 + +// Test modes + +// Tie GPIO outputs back to inputs +`define GPIO_LOOPBACK_TEST 1 + +// Hardware configuration +`define UART_PRESCALE 1 + +// Interrupt configuration +`define PLIC_NUM_SRC 4 +// comment out the following if >=32 sources +`define PLIC_NUM_SRC_LT_32 +`define PLIC_GPIO_ID 3 +`define PLIC_UART_ID 4 + +`define TWO_BIT_PRELOAD "../config/rv32ic/twoBitPredictor.txt" +`define BTB_PRELOAD "../config/rv32ic/BTBPredictor.txt" +`define BPRED_ENABLED 0 +`define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE +`define TESTSBP 0 From c1b3f5b65582692752c5bd3b6a93fee9b978902b Mon Sep 17 00:00:00 2001 From: James Stine Date: Thu, 3 Feb 2022 20:29:03 -0600 Subject: [PATCH 037/100] Update synthesis script for overwrite during copy --- synthDC/scripts/synth.tcl | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/synthDC/scripts/synth.tcl b/synthDC/scripts/synth.tcl index e5b197b3a..23a305937 100755 --- a/synthDC/scripts/synth.tcl +++ b/synthDC/scripts/synth.tcl @@ -6,11 +6,11 @@ # Config set hdl_src "../pipelined/src" -eval file copy ${hdl_src}/../config/rv32e/wally-config.vh {hdl/} -eval file copy ${hdl_src}/../config/rv32e/wally-config.vh {reports/} -eval file copy [glob ${hdl_src}/../config/shared/*.vh] {hdl/} -eval file copy [glob ${hdl_src}/*/*.sv] {hdl/} -eval file copy [glob ${hdl_src}/*/flop/*.sv] {hdl/} +eval file copy -force ${hdl_src}/../config/rv32e/wally-config.vh {hdl/} +eval file copy -force ${hdl_src}/../config/rv32e/wally-config.vh {reports/} +eval file copy -force [glob ${hdl_src}/../config/shared/*.vh] {hdl/} +eval file copy -force [glob ${hdl_src}/*/*.sv] {hdl/} +eval file copy -force [glob ${hdl_src}/*/flop/*.sv] {hdl/} # Verilog files set my_verilog_files [glob hdl/*] From 16b5fee795a8fd647743bfb2f22fd3af7924914c Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 14:30:36 +0000 Subject: [PATCH 038/100] RV32e tests --- pipelined/regression/regression-wally | 2 +- pipelined/regression/sim-wally-batch | 2 +- pipelined/regression/wally-pipelined-batch.do | 2 +- pipelined/regression/wally-pipelined.do | 2 +- pipelined/testbench/testbench.sv | 10 +- pipelined/testbench/tests.vh | 41 + tests/wally-riscv-arch-test/Makefile | 2 +- .../riscv-test-suite/E/Makefile | 3 - .../riscv-test-suite/E/Makefrag | 73 - .../riscv-test-suite/E/README | 6 - .../E/references/add-01.reference_output | 583 --- .../E/references/addi-01.reference_output | 561 --- .../E/references/and-01.reference_output | 588 --- .../E/references/andi-01.reference_output | 554 --- .../E/references/auipc-01.reference_output | 63 - .../E/references/beq-01.reference_output | 590 --- .../E/references/bge-01.reference_output | 586 --- .../E/references/bgeu-01.reference_output | 728 ---- .../E/references/blt-01.reference_output | 586 --- .../E/references/bltu-01.reference_output | 727 ---- .../E/references/bne-01.reference_output | 585 --- .../E/references/jal-01.reference_output | 16 - .../E/references/jalr-01.reference_output | 27 - .../E/references/lb-align-01.reference_output | 18 - .../references/lbu-align-01.reference_output | 17 - .../E/references/lh-align-01.reference_output | 16 - .../references/lhu-align-01.reference_output | 16 - .../E/references/lui-01.reference_output | 63 - .../E/references/lw-align-01.reference_output | 16 - .../E/references/or-01.reference_output | 589 --- .../E/references/ori-01.reference_output | 557 --- .../E/references/sb-align-01.reference_output | 78 - .../E/references/sh-align-01.reference_output | 71 - .../E/references/sll-01.reference_output | 88 - .../E/references/slli-01.reference_output | 89 - .../E/references/slt-01.reference_output | 581 --- .../E/references/slti-01.reference_output | 561 --- .../E/references/sltiu-01.reference_output | 697 --- .../E/references/sltu-01.reference_output | 722 ---- .../E/references/sra-01.reference_output | 90 - .../E/references/srai-01.reference_output | 87 - .../E/references/srl-01.reference_output | 89 - .../E/references/srli-01.reference_output | 88 - .../E/references/sub-01.reference_output | 584 --- .../E/references/sw-align-01.reference_output | 68 - .../E/references/xor-01.reference_output | 583 --- .../E/references/xori-01.reference_output | 559 --- .../riscv-test-suite/E/src/add-01.S | 3001 ------------- .../riscv-test-suite/E/src/addi-01.S | 2890 ------------- .../riscv-test-suite/E/src/and-01.S | 3025 -------------- .../riscv-test-suite/E/src/andi-01.S | 2850 ------------- .../riscv-test-suite/E/src/auipc-01.S | 390 -- .../riscv-test-suite/E/src/beq-01.S | 3030 -------------- .../riscv-test-suite/E/src/bge-01.S | 3015 ------------- .../riscv-test-suite/E/src/bgeu-01.S | 3720 ----------------- .../riscv-test-suite/E/src/blt-01.S | 3010 ------------- .../riscv-test-suite/E/src/bltu-01.S | 3715 ---------------- .../riscv-test-suite/E/src/bne-01.S | 3010 ------------- .../riscv-test-suite/E/src/jal-01.S | 155 - .../riscv-test-suite/E/src/jalr-01.S | 215 - .../riscv-test-suite/E/src/lb-align-01.S | 165 - .../riscv-test-suite/E/src/lbu-align-01.S | 165 - .../riscv-test-suite/E/src/lh-align-01.S | 155 - .../riscv-test-suite/E/src/lhu-align-01.S | 160 - .../riscv-test-suite/E/src/lui-01.S | 390 -- .../riscv-test-suite/E/src/lw-align-01.S | 160 - .../riscv-test-suite/E/src/or-01.S | 3035 -------------- .../riscv-test-suite/E/src/ori-01.S | 2865 ------------- .../riscv-test-suite/E/src/sb-align-01.S | 465 --- .../riscv-test-suite/E/src/sh-align-01.S | 435 -- .../riscv-test-suite/E/src/sll-01.S | 520 --- .../riscv-test-suite/E/src/slli-01.S | 525 --- .../riscv-test-suite/E/src/slt-01.S | 2990 ------------- .../riscv-test-suite/E/src/slti-01.S | 2890 ------------- .../riscv-test-suite/E/src/sltiu-01.S | 3565 ---------------- .../riscv-test-suite/E/src/sltu-01.S | 3695 ---------------- .../riscv-test-suite/E/src/sra-01.S | 530 --- .../riscv-test-suite/E/src/srai-01.S | 515 --- .../riscv-test-suite/E/src/srl-01.S | 525 --- .../riscv-test-suite/E/src/srli-01.S | 520 --- .../riscv-test-suite/E/src/sub-01.S | 3005 ------------- .../riscv-test-suite/E/src/sw-align-01.S | 415 -- .../riscv-test-suite/E/src/xor-01.S | 3000 ------------- .../riscv-test-suite/E/src/xori-01.S | 2880 ------------- .../riscv-test-suite/rv32i_m/I/Makefrag | 37 + 85 files changed, 89 insertions(+), 78208 deletions(-) delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/README delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index f24b1827b..c3dd3fd26 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -95,7 +95,7 @@ for test in tests32e: variant="rv32e", cmd="vsim > {} -c < 0, rs1_val == -262145, rs2_val == (2**(xlen-1)-1) -// opcode: add ; op1:x12; op2:x3; dest:x12; op1val:-0x40001; op2val:0x7fffffff -TEST_RR_OP(add, x12, x12, x3, 0x7ffbfffe, -0x40001, 0x7fffffff, x10, 4, x11) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x1, rd==x1, rs2_val == -1073741825, rs1_val == -4194305 -// opcode: add ; op1:x6; op2:x1; dest:x1; op1val:-0x400001; op2val:-0x40000001 -TEST_RR_OP(add, x1, x6, x1, 0xbfbffffe, -0x400001, -0x40000001, x10, 8, x11) - -inst_3: -// rs1 == rs2 != rd, rs1==x2, rs2==x2, rd==x7, rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x2; op2:x2; dest:x7; op1val:0x20; op2val:0x20 -TEST_RR_OP(add, x7, x2, x2, 0x40, 0x20, 0x20, x10, 12, x11) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x0, x0, x0, 0, 0x0, 0x0, x10, 16, x11) - -inst_5: -// rs1==x8, rs2==x4, rd==x14, rs2_val == -134217729, rs1_val == -16777217 -// opcode: add ; op1:x8; op2:x4; dest:x14; op1val:-0x1000001; op2val:-0x8000001 -TEST_RR_OP(add, x14, x8, x4, 0xf6fffffe, -0x1000001, -0x8000001, x10, 20, x11) - -inst_6: -// rs1==x4, rs2==x5, rd==x15, rs2_val == -67108865, -// opcode: add ; op1:x4; op2:x5; dest:x15; op1val:0x7; op2val:-0x4000001 -TEST_RR_OP(add, x15, x4, x5, 0xfc000006, 0x7, -0x4000001, x10, 24, x1) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x14, rs2==x11, rd==x6, rs2_val == -33554433, -// opcode: add ; op1:x14; op2:x11; dest:x6; op1val:0x33333333; op2val:-0x2000001 -TEST_RR_OP(add, x6, x14, x11, 0x31333332, 0x33333333, -0x2000001, x4, 0, x1) - -inst_8: -// rs1==x3, rs2==x10, rd==x2, rs2_val == -16777217, rs1_val == 2048 -// opcode: add ; op1:x3; op2:x10; dest:x2; op1val:0x800; op2val:-0x1000001 -TEST_RR_OP(add, x2, x3, x10, 0xff0007ff, 0x800, -0x1000001, x4, 4, x1) - -inst_9: -// rs1==x13, rs2==x6, rd==x9, rs2_val == -8388609, rs1_val == -17 -// opcode: add ; op1:x13; op2:x6; dest:x9; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(add, x9, x13, x6, 0xff7fffee, -0x11, -0x800001, x4, 8, x1) - -inst_10: -// rs1==x15, rs2==x14, rd==x13, rs2_val == -4194305, rs1_val == -5 -// opcode: add ; op1:x15; op2:x14; dest:x13; op1val:-0x5; op2val:-0x400001 -TEST_RR_OP(add, x13, x15, x14, 0xffbffffa, -0x5, -0x400001, x4, 12, x1) - -inst_11: -// rs1==x11, rs2==x12, rd==x3, rs2_val == -2097153, rs1_val == -33554433 -// opcode: add ; op1:x11; op2:x12; dest:x3; op1val:-0x2000001; op2val:-0x200001 -TEST_RR_OP(add, x3, x11, x12, 0xfddffffe, -0x2000001, -0x200001, x4, 16, x1) - -inst_12: -// rs1==x5, rs2==x15, rd==x11, rs2_val == -1048577, rs1_val == -131073 -// opcode: add ; op1:x5; op2:x15; dest:x11; op1val:-0x20001; op2val:-0x100001 -TEST_RR_OP(add, x11, x5, x15, 0xffedfffe, -0x20001, -0x100001, x4, 20, x2) - -inst_13: -// rs1==x1, rs2==x13, rd==x10, rs2_val == -524289, rs1_val == -2097153 -// opcode: add ; op1:x1; op2:x13; dest:x10; op1val:-0x200001; op2val:-0x80001 -TEST_RR_OP(add, x10, x1, x13, 0xffd7fffe, -0x200001, -0x80001, x4, 24, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_14: -// rs1==x10, rs2==x8, rd==x4, rs2_val == -262145, rs1_val == 128 -// opcode: add ; op1:x10; op2:x8; dest:x4; op1val:0x80; op2val:-0x40001 -TEST_RR_OP(add, x4, x10, x8, 0xfffc007f, 0x80, -0x40001, x1, 0, x2) - -inst_15: -// rs1==x9, rs2==x7, rd==x8, rs2_val == -131073, -// opcode: add ; op1:x9; op2:x7; dest:x8; op1val:-0x2000001; op2val:-0x20001 -TEST_RR_OP(add, x8, x9, x7, 0xfdfdfffe, -0x2000001, -0x20001, x1, 4, x2) - -inst_16: -// rs2_val == -65537, rs1_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9aaa9, -0x55555556, -0x10001, x1, 8, x2) - -inst_17: -// rs2_val == -32769, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0x3332b331, 0x33333332, -0x8001, x1, 12, x2) - -inst_18: -// rs2_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffe002, 0x3, -0x2001, x1, 16, x2) - -inst_19: -// rs2_val == -4097, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffff008, 0x9, -0x1001, x1, 20, x2) - -inst_20: -// rs2_val == -2049, rs1_val == -524289 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x801 -TEST_RR_OP(add, x12, x10, x11, 0xfff7f7fe, -0x80001, -0x801, x1, 24, x2) - -inst_21: -// rs2_val == -1025, rs1_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x401 -TEST_RR_OP(add, x12, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 28, x2) - -inst_22: -// rs2_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0xfffff5fe, -0x801, -0x201, x1, 32, x2) - -inst_23: -// rs2_val == -257, rs1_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x101 -TEST_RR_OP(add, x12, x10, x11, 0x55555454, 0x55555555, -0x101, x1, 36, x2) - -inst_24: -// rs2_val == -129, rs1_val == -3 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xffffff7c, -0x3, -0x81, x1, 40, x2) - -inst_25: -// rs2_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x41 -TEST_RR_OP(add, x12, x10, x11, 0xffdfffbe, -0x200001, -0x41, x1, 44, x2) - -inst_26: -// rs2_val == -33, rs1_val == -129 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x21 -TEST_RR_OP(add, x12, x10, x11, 0xffffff5e, -0x81, -0x21, x1, 48, x2) - -inst_27: -// rs2_val == -17, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, 0x9, -0x11, x1, 52, x2) - -inst_28: -// rs2_val == -9, rs1_val == -16385 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0xffffbff6, -0x4001, -0x9, x1, 56, x2) - -inst_29: -// rs2_val == -5, rs1_val == 134217728 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7fffffb, 0x8000000, -0x5, x1, 60, x2) - -inst_30: -// rs2_val == -3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffdffffc, -0x200001, -0x3, x1, 64, x2) - -inst_31: -// rs2_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffbffd, -0x4001, -0x2, x1, 68, x2) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 131072 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000 -TEST_RR_OP(add, x12, x10, x11, 0x8001ffff, 0x7fffffff, 0x20000, x1, 72, x2) - -inst_33: -// rs1_val == -1073741825, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x26666664, -0x40000001, 0x66666665, x1, 76, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0xdfff7ffe, -0x20000001, -0x8001, x1, 80, x2) - -inst_35: -// rs1_val == -268435457, rs2_val == 32 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x20 -TEST_RR_OP(add, x12, x10, x11, 0xf000001f, -0x10000001, 0x20, x1, 84, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xf7ffff7e, -0x8000001, -0x81, x1, 88, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 1048576 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x100000 -TEST_RR_OP(add, x12, x10, x11, 0xfc0fffff, -0x4000001, 0x100000, x1, 92, x2) - -inst_38: -// rs1_val == -8388609, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x4 -TEST_RR_OP(add, x12, x10, x11, 0xff7ffffb, -0x800001, -0x4, x1, 96, x2) - -inst_39: -// rs1_val == -1048577, rs2_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 100, x2) - -inst_40: -// rs1_val == -65537, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x1, 104, x2) - -inst_41: -// rs1_val == -32769, rs2_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x80000 -TEST_RR_OP(add, x12, x10, x11, 0x77fff, -0x8001, 0x80000, x1, 108, x2) - -inst_42: -// rs1_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffffdfff, -0x2001, 0x0, x1, 112, x2) - -inst_43: -// rs1_val == -4097, rs2_val == 262144 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x3efff, -0x1001, 0x40000, x1, 116, x2) - -inst_44: -// rs1_val == -1025, rs2_val == 256 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x100 -TEST_RR_OP(add, x12, x10, x11, 0xfffffcff, -0x401, 0x100, x1, 120, x2) - -inst_45: -// rs1_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffddfe, -0x201, -0x2001, x1, 124, x2) - -inst_46: -// rs1_val == -257, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xffffeefe, -0x101, -0x1001, x1, 128, x2) - -inst_47: -// rs1_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xffffffae, -0x41, -0x11, x1, 132, x2) - -inst_48: -// rs1_val == -33, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x7 -TEST_RR_OP(add, x12, x10, x11, 0xffffffe6, -0x21, 0x7, x1, 136, x2) - -inst_49: -// rs1_val == -9, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x2000001 -TEST_RR_OP(add, x12, x10, x11, 0xfdfffff6, -0x9, -0x2000001, x1, 140, x2) - -inst_50: -// rs1_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x6 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, -0x2, -0x6, x1, 144, x2) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x80000000 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, 0x6, -0x80000000, x1, 148, x2) - -inst_52: -// rs2_val == 1073741824, rs1_val == 536870912 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0x60000000, 0x20000000, 0x40000000, x1, 152, x2) - -inst_53: -// rs2_val == 536870912, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x20000000 -TEST_RR_OP(add, x12, x10, x11, 0xe0000000, -0x40000000, 0x20000000, x1, 156, x2) - -inst_54: -// rs2_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000000 -TEST_RR_OP(add, x12, x10, x11, 0xbaaaaaaa, -0x55555556, 0x10000000, x1, 160, x2) - -inst_55: -// rs2_val == 134217728, rs1_val == 1 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8000000 -TEST_RR_OP(add, x12, x10, x11, 0x8000001, 0x1, 0x8000000, x1, 164, x2) - -inst_56: -// rs2_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4000000 -TEST_RR_OP(add, x12, x10, x11, 0x59555554, 0x55555554, 0x4000000, x1, 168, x2) - -inst_57: -// rs2_val == 33554432, rs1_val == 64 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2000000 -TEST_RR_OP(add, x12, x10, x11, 0x2000040, 0x40, 0x2000000, x1, 172, x2) - -inst_58: -// rs2_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1000003, 0x3, 0x1000000, x1, 176, x2) - -inst_59: -// rs2_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:0x800000 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffa, -0x6, 0x800000, x1, 180, x2) - -inst_60: -// rs2_val == 4194304, rs1_val == 16 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(add, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x200000 -TEST_RR_OP(add, x12, x10, x11, 0xfffff, -0x100001, 0x200000, x1, 188, x2) - -inst_62: -// rs2_val == 65536, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(add, x12, x10, x11, 0x10000, 0x0, 0x10000, x1, 192, x2) - -inst_63: -// rs2_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x8000 -TEST_RR_OP(add, x12, x10, x11, 0xffff7fff, -0x10001, 0x8000, x1, 196, x2) - -inst_64: -// rs2_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4000 -TEST_RR_OP(add, x12, x10, x11, 0xffff8afd, -0xb503, 0x4000, x1, 200, x2) - -inst_65: -// rs2_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2000 -TEST_RR_OP(add, x12, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 204, x2) - -inst_66: -// rs2_val == 4096, rs1_val == 65536 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000 -TEST_RR_OP(add, x12, x10, x11, 0x11000, 0x10000, 0x1000, x1, 208, x2) - -inst_67: -// rs2_val == 2048, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 -TEST_RR_OP(add, x12, x10, x11, 0x800, 0x0, 0x800, x1, 212, x2) - -inst_68: -// rs2_val == 1024, rs1_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x400 -TEST_RR_OP(add, x12, x10, x11, 0x80400, 0x80000, 0x400, x1, 216, x2) - -inst_69: -// rs2_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x200 -TEST_RR_OP(add, x12, x10, x11, 0x1fd, -0x3, 0x200, x1, 220, x2) - -inst_70: -// rs2_val == 128, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x80 -TEST_RR_OP(add, x12, x10, x11, 0xfffffe7f, -0x201, 0x80, x1, 224, x2) - -inst_71: -// rs2_val == 64, rs1_val == 2 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40 -TEST_RR_OP(add, x12, x10, x11, 0x42, 0x2, 0x40, x1, 228, x2) - -inst_72: -// rs2_val == 16, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x10 -TEST_RR_OP(add, x12, x10, x11, 0xffe0000f, -0x200001, 0x10, x1, 232, x2) - -inst_73: -// rs2_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x8 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff7, -0x11, 0x8, x1, 236, x2) - -inst_74: -// rs2_val == 4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffb, -0x9, 0x4, x1, 240, x2) - -inst_75: -// rs2_val == 2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffffc1, -0x41, 0x2, x1, 244, x2) - -inst_76: -// rs2_val == 1, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x40000000, 0x3fffffff, 0x1, x1, 248, x2) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, -0x80000000, 0x6, x1, 252, x2) - -inst_78: -// rs1_val == 1073741824, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x40000005, 0x40000000, 0x5, x1, 256, x2) - -inst_79: -// rs1_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfff4afc, 0x10000000, -0xb504, x1, 260, x2) - -inst_80: -// rs1_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x4000006, 0x4000000, 0x6, x1, 264, x2) - -inst_81: -// rs1_val == 33554432, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0x1fffff7, 0x2000000, -0x9, x1, 268, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x7 -TEST_RR_OP(add, x12, x10, x11, 0xfffff9, 0x1000000, -0x7, x1, 272, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffe, 0x800000, -0x2, x1, 276, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0x3ffdff, 0x400000, -0x201, x1, 280, x2) - -inst_85: -// rs1_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x8 -TEST_RR_OP(add, x12, x10, x11, 0x1ffff8, 0x200000, -0x8, x1, 284, x2) - -inst_86: -// rs1_val == 1048576, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc00fffff, 0x100000, -0x40000001, x1, 288, x2) - -inst_87: -// rs1_val == 262144, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0x3ff7f, 0x40000, -0x81, x1, 292, x2) - -inst_88: -// rs1_val == 131072, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc001ffff, 0x20000, -0x40000001, x1, 296, x2) - -inst_89: -// rs1_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1008000, 0x8000, 0x1000000, x1, 300, x2) - -inst_90: -// rs1_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0xc0004000, 0x4000, -0x40000000, x1, 304, x2) - -inst_91: -// rs1_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x42000, 0x2000, 0x40000, x1, 308, x2) - -inst_92: -// rs1_val == 4096, rs2_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaabaaa, 0x1000, -0x55555556, x1, 312, x2) - -inst_93: -// rs1_val == 1024, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x8000001 -TEST_RR_OP(add, x12, x10, x11, 0xf80003ff, 0x400, -0x8000001, x1, 316, x2) - -inst_94: -// rs1_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x201, 0x200, 0x1, x1, 320, x2) - -inst_95: -// rs1_val == 256, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xffff00ff, 0x100, -0x10001, x1, 324, x2) - -inst_96: -// rs1_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80001 -TEST_RR_OP(add, x12, x10, x11, 0xfff80007, 0x8, -0x80001, x1, 328, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a0a, 0xb505, 0xb505, x1, 332, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0xb505, -0xb503, x1, 336, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x1, 340, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0xb505, 0x33333334, x1, 344, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0xb505, 0x6, x1, 348, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x1, 352, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x1, 356, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb505, 0x4, x1, 360, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb505, 0xb503, x1, 364, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 368, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x1, 372, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb505, 0x33333332, x1, 376, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb505, 0x55555554, x1, 380, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 384, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb505, 0xb504, x1, 388, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb505, -0xb504, x1, 392, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x1, 396, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb505, 0x33333333, x1, 400, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb505, 0x5, x1, 404, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x1, 408, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x1, 412, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb505, 0x3, x1, 416, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x2, -0xb503, 0xb505, x1, 420, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x1, 424, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, -0xb503, 0x66666667, x1, 428, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, -0xb503, 0x33333334, x1, 432, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, -0xb503, 0x6, x1, 436, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x1, 440, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, -0xb503, 0x55555556, x1, 444, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb503, 0x4, x1, 448, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb503, 0xb503, x1, 452, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 456, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb503, 0x66666665, x1, 460, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x1, 464, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb503, 0x55555554, x1, 468, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 472, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb503, 0xb504, x1, 476, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x1, 480, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb503, 0x66666666, x1, 484, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb503, 0x33333333, x1, 488, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb503, 0x5, x1, 492, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x1, 496, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb503, 0x55555555, x1, 500, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb503, 0x3, x1, 504, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x1, 508, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, 0x66666667, -0xb503, x1, 512, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x1, 516, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x1, 520, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x66666667, 0x6, x1, 524, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x1, 528, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x1, 532, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666667, 0x4, x1, 536, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x1, 540, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 544, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x1, 548, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666667, 0x33333332, x1, 552, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x1, 556, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666667, 0x2, x1, 560, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x1, 564, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666667, -0xb504, x1, 568, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x1, 572, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x1, 576, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666667, 0x5, x1, 580, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x1, 584, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x1, 588, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666667, 0x3, x1, 592, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0x33333334, 0xb505, x1, 596, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, 0x33333334, -0xb503, x1, 600, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x1, 604, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x33333334, 0x33333334, x1, 608, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x33333334, 0x6, x1, 612, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x1, 616, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x1, 620, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333334, 0x4, x1, 624, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333334, 0xb503, x1, 628, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 632, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333334, 0x66666665, x1, 636, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333334, 0x33333332, x1, 640, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333334, 0x55555554, x1, 644, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 648, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333334, 0xb504, x1, 652, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333334, -0xb504, x1, 656, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x1, 660, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333334, 0x33333333, x1, 664, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333334, 0x5, x1, 668, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x1, 672, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333334, 0x55555555, x1, 676, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 680, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0x6, 0xb505, x1, 684, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, 0x6, -0xb503, x1, 688, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x6, 0x66666667, x1, 692, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x6, 0x33333334, x1, 696, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xc, 0x6, 0x6, x1, 700, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x1, 704, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x6, 0x55555556, x1, 708, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x6, 0x4, x1, 712, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x6, 0xb503, x1, 716, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x6, 0x0, x1, 720, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x6, 0x66666665, x1, 724, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x6, 0x33333332, x1, 728, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x6, 0x55555554, x1, 732, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x6, 0x2, x1, 736, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x6, 0xb504, x1, 740, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x6, -0xb504, x1, 744, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x6, 0x66666666, x1, 748, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x6, 0x33333333, x1, 752, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x6, 0x5, x1, 756, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x1, 760, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x6, 0x55555555, x1, 764, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x6, 0x3, x1, 768, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x1, 772, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x1, 776, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, -0x55555555, 0x66666667, x1, 780, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x1, 784, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x1, 788, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, -0x55555555, -0x55555555, x1, 792, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x1, 796, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 800, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x1, 804, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 808, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555555, 0x66666665, x1, 812, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x1, 816, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 820, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x1, 824, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x1, 828, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x1, 832, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555555, 0x66666666, x1, 836, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x1, 840, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x1, 844, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555555, -0x55555556, x1, 848, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x1, 852, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x1, 856, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x1, 860, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, 0x55555556, -0xb503, x1, 864, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x1, 868, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x1, 872, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x55555556, 0x6, x1, 876, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x1, 880, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x1, 884, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555556, 0x4, x1, 888, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555556, 0xb503, x1, 892, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 896, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x1, 900, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555556, 0x33333332, x1, 904, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x1, 908, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555556, 0x2, x1, 912, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x1, 916, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555556, -0xb504, x1, 920, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x1, 924, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555556, 0x33333333, x1, 928, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555556, 0x5, x1, 932, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x1, 936, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x1, 940, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555556, 0x3, x1, 944, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x4, 0xb505, x1, 948, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x4, -0xb503, x1, 952, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x4, 0x66666667, x1, 956, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x4, 0x33333334, x1, 960, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x4, 0x6, x1, 964, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 968, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x4, 0x55555556, x1, 972, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x4, 0x4, x1, 976, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 980, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x4, 0x0, x1, 984, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x4, 0x66666665, x1, 988, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 992, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x4, 0x55555554, x1, 996, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x4, 0x2, x1, 1000, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x4, 0xb504, x1, 1004, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x4, -0xb504, x1, 1008, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x4, 0x66666666, x1, 1012, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1016, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x4, 0x5, x1, 1020, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1024, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x4, 0x55555555, x1, 1028, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1032, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb503, 0xb505, x1, 1036, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb503, -0xb503, x1, 1040, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x1, 1044, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb503, 0x33333334, x1, 1048, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb503, 0x6, x1, 1052, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x1, 1056, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb503, 0x55555556, x1, 1060, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1064, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a06, 0xb503, 0xb503, x1, 1068, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1072, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0xb503, 0x66666665, x1, 1076, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0xb503, 0x33333332, x1, 1080, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0xb503, 0x55555554, x1, 1084, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb503, 0x2, x1, 1088, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb503, 0xb504, x1, 1092, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1096, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb503, 0x66666666, x1, 1100, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb503, 0x33333333, x1, 1104, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb503, 0x5, x1, 1108, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x1, 1112, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb503, 0x55555555, x1, 1116, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb503, 0x3, x1, 1120, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1124, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1128, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1132, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1136, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1140, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1144, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1148, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1152, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1156, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1160, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1164, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1168, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1172, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1176, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1180, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1184, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1188, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1192, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1196, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1200, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1204, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1208, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x1, 1212, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666665, -0xb503, x1, 1216, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x1, 1220, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666665, 0x33333334, x1, 1224, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666665, 0x6, x1, 1228, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x1, 1232, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x1, 1236, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666665, 0x4, x1, 1240, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0x66666665, 0xb503, x1, 1244, x2) - -inst_326: -// rs1_val==858993459 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333333, 0x2, x1, 1264, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333333, 0xb504, x1, 1268, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x1, 1272, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333333, 0x5, x1, 1284, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333333, 0x3, x1, 1296, x2) - -inst_339: -// rs1_val==5 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x5, 0xb505, x1, 1300, x2) - -inst_340: -// rs1_val==5 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x5, -0xb503, x1, 1304, x2) - -inst_341: -// rs1_val==5 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x5, 0x66666667, x1, 1308, x2) - -inst_342: -// rs1_val==5 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x5, 0x33333334, x1, 1312, x2) - -inst_343: -// rs1_val==5 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x5, 0x6, x1, 1316, x2) - -inst_344: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x1, 1320, x2) - -inst_345: -// rs1_val==5 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x5, 0x55555556, x1, 1324, x2) - -inst_346: -// rs1_val==5 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x5, 0x4, x1, 1328, x2) - -inst_347: -// rs1_val==5 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x5, 0xb503, x1, 1332, x2) - -inst_348: -// rs1_val==5 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_349: -// rs1_val==5 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x5, 0x66666665, x1, 1340, x2) - -inst_350: -// rs1_val==5 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1344, x2) - -inst_351: -// rs1_val==5 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x5, 0x55555554, x1, 1348, x2) - -inst_352: -// rs1_val==5 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1352, x2) - -inst_353: -// rs1_val==5 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x5, 0xb504, x1, 1356, x2) - -inst_354: -// rs1_val==5 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x5, -0xb504, x1, 1360, x2) - -inst_355: -// rs1_val==5 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x5, 0x66666666, x1, 1364, x2) - -inst_356: -// rs1_val==5 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x5, 0x33333333, x1, 1368, x2) - -inst_357: -// rs1_val==5 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x5, 0x5, x1, 1372, x2) - -inst_358: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1376, x2) - -inst_359: -// rs1_val==5 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x5, 0x55555555, x1, 1380, x2) - -inst_360: -// rs1_val==5 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x5, 0x3, x1, 1384, x2) - -inst_361: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x1, 1388, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x1, 1392, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x1, 1404, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1416, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x1, 1420, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x1, 1440, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x1, 1444, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x1, 1448, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1460, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x1, 1472, x2) - -inst_383: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x1, 1476, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555555, -0xb503, x1, 1480, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555555, 0x6, x1, 1492, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555555, 0x4, x1, 1504, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555555, 0xb503, x1, 1508, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1528, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555555, 0xb504, x1, 1532, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555555, -0xb504, x1, 1536, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555555, 0x5, x1, 1548, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555555, 0x3, x1, 1560, x2) - -inst_405: -// rs1_val==3 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x3, 0xb505, x1, 1564, x2) - -inst_406: -// rs1_val==3 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x3, -0xb503, x1, 1568, x2) - -inst_407: -// rs1_val==3 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x3, 0x66666667, x1, 1572, x2) - -inst_408: -// rs1_val==3 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1576, x2) - -inst_409: -// rs1_val==3 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x3, 0x6, x1, 1580, x2) - -inst_410: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x1, 1584, x2) - -inst_411: -// rs1_val==3 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x3, 0x55555556, x1, 1588, x2) - -inst_412: -// rs1_val==3 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1592, x2) - -inst_413: -// rs1_val==3 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x3, 0xb503, x1, 1596, x2) - -inst_414: -// rs1_val==3 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_415: -// rs1_val==3 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x3, 0x66666665, x1, 1604, x2) - -inst_416: -// rs1_val==3 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x3, 0x33333332, x1, 1608, x2) - -inst_417: -// rs1_val==3 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1612, x2) - -inst_418: -// rs1_val==3 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x3, 0x2, x1, 1616, x2) - -inst_419: -// rs1_val==3 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1620, x2) - -inst_420: -// rs1_val==3 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1624, x2) - -inst_421: -// rs1_val==3 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x3, 0x66666666, x1, 1628, x2) - -inst_422: -// rs1_val==3 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x3, 0x33333333, x1, 1632, x2) - -inst_423: -// rs1_val==3 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x3, 0x5, x1, 1636, x2) - -inst_424: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x1, 1640, x2) - -inst_425: -// rs1_val==3 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x3, 0x55555555, x1, 1644, x2) - -inst_426: -// rs1_val==3 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x3, 0x3, x1, 1648, x2) - -inst_427: -// rs1_val==1717986917 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1652, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x1, 1656, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x66666665, 0x33333332, x1, 1660, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x1, 1664, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1668, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666665, 0xb504, x1, 1672, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, 0x66666665, -0xb504, x1, 1676, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x1, 1680, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666665, 0x33333333, x1, 1684, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666665, 0x5, x1, 1688, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x1, 1692, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x1, 1696, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666665, 0x3, x1, 1700, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333332, 0xb505, x1, 1704, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x1, 1708, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333332, 0x66666667, x1, 1712, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333332, 0x33333334, x1, 1716, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333332, 0x6, x1, 1720, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x1, 1724, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333332, 0x55555556, x1, 1728, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1732, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0x33333332, 0xb503, x1, 1736, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1740, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x33333332, 0x66666665, x1, 1744, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666664, 0x33333332, 0x33333332, x1, 1748, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x33333332, 0x55555554, x1, 1752, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333332, 0x2, x1, 1756, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333332, 0xb504, x1, 1760, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x1, 1764, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333332, 0x66666666, x1, 1768, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333332, 0x33333333, x1, 1772, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1776, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x1, 1780, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333332, 0x55555555, x1, 1784, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333332, 0x3, x1, 1788, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555554, 0xb505, x1, 1792, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555554, -0xb503, x1, 1796, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x1, 1800, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555554, 0x33333334, x1, 1804, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555554, 0x6, x1, 1808, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1812, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x1, 1816, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555554, 0x4, x1, 1820, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0x55555554, 0xb503, x1, 1824, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1828, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x1, 1832, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x55555554, 0x33333332, x1, 1836, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x1, 1840, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1844, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555554, 0xb504, x1, 1848, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, 0x55555554, -0xb504, x1, 1852, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x1, 1856, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555554, 0x33333333, x1, 1860, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555554, 0x5, x1, 1864, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1868, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x1, 1872, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1876, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1880, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1884, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x2, 0x66666667, x1, 1888, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1892, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x2, 0x6, x1, 1896, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x1, 1900, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x2, 0x55555556, x1, 1904, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1908, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x2, 0xb503, x1, 1912, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1916, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1920, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x2, 0x33333332, x1, 1924, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1928, x2) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x2, 0x2, x1, 1932, x2) - -inst_498: -// rs1_val==2 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1936, x2) - -inst_499: -// rs1_val==2 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1940, x2) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x2, 0x66666666, x1, 1944, x2) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x2, 0x33333333, x1, 1948, x2) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1952, x2) - -inst_503: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x1, 1956, x2) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1960, x2) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x2, 0x3, x1, 1964, x2) - -inst_506: -// rs1_val==46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb504, 0xb505, x1, 1968, x2) - -inst_507: -// rs1_val==46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb504, -0xb503, x1, 1972, x2) - -inst_508: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x1, 1976, x2) - -inst_509: -// rs1_val==46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb504, 0x33333334, x1, 1980, x2) - -inst_510: -// rs1_val==46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb504, 0x6, x1, 1984, x2) - -inst_511: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x1, 1988, x2) - -inst_512: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x1, 1992, x2) - -inst_513: -// rs1_val==46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb504, 0x4, x1, 1996, x2) - -inst_514: -// rs1_val==46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb504, 0xb503, x1, 2000, x2) - -inst_515: -// rs1_val==46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 2004, x2) - -inst_516: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb504, 0x66666665, x1, 2008, x2) - -inst_517: -// rs1_val==46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb504, 0x33333332, x1, 2012, x2) - -inst_518: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb504, 0x55555554, x1, 2016, x2) - -inst_519: -// rs1_val==46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2020, x2) - -inst_520: -// rs1_val==46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb504, 0xb504, x1, 2024, x2) - -inst_521: -// rs1_val==46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb504, -0xb504, x1, 2028, x2) - -inst_522: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x1, 2032, x2) - -inst_523: -// rs1_val==46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb504, 0x33333333, x1, 2036, x2) - -inst_524: -// rs1_val==46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb504, 0x5, x1, 2040, x2) - -inst_525: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_526: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb504, 0x55555555, x1, 0, x2) - -inst_527: -// rs1_val==46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 4, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb504, 0xb505, x1, 8, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x1, 12, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb504, 0x66666667, x1, 16, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb504, 0x33333334, x1, 20, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb504, 0x6, x1, 24, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x1, 28, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb504, 0x55555556, x1, 32, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb504, 0x4, x1, 36, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 40, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 44, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, -0xb504, 0x66666665, x1, 48, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x1, 52, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, -0xb504, 0x55555554, x1, 56, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 60, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb504, 0xb504, x1, 64, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x1, 68, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb504, 0x66666666, x1, 72, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x1, 76, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb504, 0x5, x1, 80, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x1, 84, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb504, 0x55555555, x1, 88, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 92, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x1, 96, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666666, -0xb503, x1, 100, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x1, 104, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x1, 108, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666666, 0x6, x1, 112, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x1, 116, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x1, 120, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666666, 0x4, x1, 124, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666666, 0xb503, x1, 128, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 132, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x1, 136, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666666, 0x33333332, x1, 140, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x1, 144, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666666, 0x2, x1, 148, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x1, 152, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666666, -0xb504, x1, 156, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x1, 160, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666666, 0x33333333, x1, 164, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666666, 0x5, x1, 168, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x1, 172, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x1, 176, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666666, 0x3, x1, 180, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333333, 0xb505, x1, 184, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333333, -0xb503, x1, 188, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x1, 192, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333333, 0x33333334, x1, 196, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333333, 0x6, x1, 200, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x1, 204, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333333, 0x55555556, x1, 208, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 212, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333333, 0xb503, x1, 216, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x20000001 -TEST_RR_OP(add, x12, x10, x11, 0xe000001f, 0x20, -0x20000001, x1, 220, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10000001 -TEST_RR_OP(add, x12, x10, x11, 0xf0000003, 0x4, -0x10000001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S deleted file mode 100644 index 839748400..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S +++ /dev/null @@ -1,2890 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the addi instruction of the RISC-V E extension for the addi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",addi) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x6, rd==x5, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 536870912 -// opcode: addi ; op1:x6; dest:x5; op1val:0x20000000; immval:-0x800 -TEST_IMM_OP( addi, x5, x6, 0x1ffff800, 0x20000000, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: addi ; op1:x10; dest:x10; op1val:0x7fffffff; immval:0x667 -TEST_IMM_OP( addi, x10, x10, 0x80000666, 0x7fffffff, 0x667, x4, 4, x8) - -inst_2: -// rs1==x14, rd==x0, rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x14; dest:x0; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x0, x14, 0, -0x40000001, 0x40, x4, 8, x8) - -inst_3: -// rs1==x2, rd==x11, rs1_val == -536870913, -// opcode: addi ; op1:x2; dest:x11; op1val:-0x20000001; immval:0x556 -TEST_IMM_OP( addi, x11, x2, 0xe0000555, -0x20000001, 0x556, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x6, rs1_val == -268435457, imm_val == -17, rs1_val < 0 and imm_val < 0 -// opcode: addi ; op1:x15; dest:x6; op1val:-0x10000001; immval:-0x11 -TEST_IMM_OP( addi, x6, x15, 0xefffffee, -0x10000001, -0x11, x4, 16, x8) - -inst_5: -// rs1==x13, rd==x1, rs1_val == -134217729, -// opcode: addi ; op1:x13; dest:x1; op1val:-0x8000001; immval:-0x4 -TEST_IMM_OP( addi, x1, x13, 0xf7fffffb, -0x8000001, -0x4, x4, 20, x8) - -inst_6: -// rs1==x5, rd==x3, rs1_val == -67108865, imm_val == 0 -// opcode: addi ; op1:x5; dest:x3; op1val:-0x4000001; immval:0x0 -TEST_IMM_OP( addi, x3, x5, 0xfbffffff, -0x4000001, 0x0, x4, 24, x8) - -inst_7: -// rs1==x0, rd==x15, rs1_val == -33554433, -// opcode: addi ; op1:x0; dest:x15; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x15, x0, 0x0, 0x0, 0x0, x4, 28, x8) - -inst_8: -// rs1==x12, rd==x7, rs1_val == -16777217, imm_val == 4 -// opcode: addi ; op1:x12; dest:x7; op1val:-0x1000001; immval:0x4 -TEST_IMM_OP( addi, x7, x12, 0xff000003, -0x1000001, 0x4, x4, 32, x8) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_9: -// rs1==x1, rd==x8, rs1_val == -8388609, -// opcode: addi ; op1:x1; dest:x8; op1val:-0x800001; immval:0x40 -TEST_IMM_OP( addi, x8, x1, 0xff80003f, -0x800001, 0x40, x5, 0, x6) - -inst_10: -// rs1==x11, rd==x4, rs1_val == -4194305, imm_val == -5 -// opcode: addi ; op1:x11; dest:x4; op1val:-0x400001; immval:-0x5 -TEST_IMM_OP( addi, x4, x11, 0xffbffffa, -0x400001, -0x5, x5, 4, x6) - -inst_11: -// rs1==x7, rd==x13, rs1_val == -2097153, imm_val == 1365 -// opcode: addi ; op1:x7; dest:x13; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( addi, x13, x7, 0xffe00554, -0x200001, 0x555, x5, 8, x6) - -inst_12: -// rs1==x3, rd==x14, rs1_val == -1048577, -// opcode: addi ; op1:x3; dest:x14; op1val:-0x100001; immval:-0x11 -TEST_IMM_OP( addi, x14, x3, 0xffefffee, -0x100001, -0x11, x5, 12, x6) - -inst_13: -// rs1==x4, rd==x2, rs1_val == -524289, -// opcode: addi ; op1:x4; dest:x2; op1val:-0x80001; immval:-0xa -TEST_IMM_OP( addi, x2, x4, 0xfff7fff5, -0x80001, -0xa, x5, 16, x6) - -inst_14: -// rs1==x8, rd==x9, rs1_val == -262145, imm_val == -513 -// opcode: addi ; op1:x8; dest:x9; op1val:-0x40001; immval:-0x201 -TEST_IMM_OP( addi, x9, x8, 0xfffbfdfe, -0x40001, -0x201, x5, 20, x6) - -inst_15: -// rs1==x9, rd==x12, rs1_val == -131073, -// opcode: addi ; op1:x9; dest:x12; op1val:-0x20001; immval:0x3 -TEST_IMM_OP( addi, x12, x9, 0xfffe0002, -0x20001, 0x3, x5, 24, x6) - -inst_16: -// rs1_val == -65537, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff0004, -0x10001, 0x5, x5, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs1_val == -32769, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff8005, -0x8001, 0x6, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x8 -TEST_IMM_OP( addi, x11, x10, 0xffffbff7, -0x4001, -0x8, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xffffdff9, -0x2001, -0x6, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == -33 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xffffefde, -0x1001, -0x21, x1, 12, x2) - -inst_21: -// rs1_val == -2049, imm_val == 512 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x801; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0xfffff9ff, -0x801, 0x200, x1, 16, x2) - -inst_22: -// rs1_val == -1025, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x401; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffbf9, -0x401, -0x6, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x201; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xfffffdde, -0x201, -0x21, x1, 24, x2) - -inst_24: -// rs1_val == -257, imm_val == 2 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x101; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffff01, -0x101, 0x2, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffac, -0x81, 0x2d, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffeb, -0x41, 0x2c, x1, 36, x2) - -inst_27: -// rs1_val == -33, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffffe1, -0x21, 0x2, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffff5, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x9; immval:-0x5 -TEST_IMM_OP( addi, x11, x10, 0xfffffff2, -0x9, -0x5, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x27, -0x5, 0x2c, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd1, -0x3, -0x2c, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0xfffffff4, -0x2, -0xa, x1, 60, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0xbd02, 0xb503, 0x7ff, x1, 64, x2) - -inst_34: -// imm_val == -1025, rs1_val == 1024 -// opcode: addi ; op1:x10; dest:x11; op1val:0x400; immval:-0x401 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x400, -0x401, x1, 68, x2) - -inst_35: -// imm_val == -257, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0xffffeefe, -0x1001, -0x101, x1, 72, x2) - -inst_36: -// imm_val == -129, rs1_val == 134217728 -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x81 -TEST_IMM_OP( addi, x11, x10, 0x7ffff7f, 0x8000000, -0x81, x1, 76, x2) - -inst_37: -// imm_val == -65, rs1_val == 4 -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0xffffffc3, 0x4, -0x41, x1, 80, x2) - -inst_38: -// imm_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x9 -TEST_IMM_OP( addi, x11, x10, 0xffffffb6, -0x41, -0x9, x1, 84, x2) - -inst_39: -// imm_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x6, -0x3, x1, 88, x2) - -inst_40: -// imm_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:-0x2 -TEST_IMM_OP( addi, x11, x10, 0xfffffff9, -0x5, -0x2, x1, 92, x2) - -inst_41: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: addi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x80000556, -0x80000000, 0x556, x1, 96, x2) - -inst_42: -// rs1_val == 1073741824, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x400 -TEST_IMM_OP( addi, x11, x10, 0x3ffffc00, 0x40000000, -0x400, x1, 100, x2) - -inst_43: -// rs1_val == 268435456, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x10000000, 0x10000000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 67108864, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0x3fffff6, 0x4000000, -0xa, x1, 108, x2) - -inst_45: -// rs1_val == 33554432, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000000; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x200002e, 0x2000000, 0x2e, x1, 112, x2) - -inst_46: -// rs1_val == 16777216, -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x1000667, 0x1000000, 0x667, x1, 116, x2) - -inst_47: -// rs1_val == 8388608, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x7fffbf, 0x800000, -0x41, x1, 120, x2) - -inst_48: -// rs1_val == 4194304, -// opcode: addi ; op1:x10; dest:x11; op1val:0x400000; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0x3ffeff, 0x400000, -0x101, x1, 124, x2) - -inst_49: -// rs1_val == 2097152, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x200555, 0x200000, 0x555, x1, 128, x2) - -inst_50: -// rs1_val == 1048576, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x100000, 0x100000, 0x0, x1, 132, x2) - -inst_51: -// rs1_val == 524288, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80000; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x80005, 0x80000, 0x5, x1, 136, x2) - -inst_52: -// rs1_val == 262144, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x403ff, 0x40000, 0x3ff, x1, 140, x2) - -inst_53: -// rs1_val == 131072, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x20000, 0x20000, 0x0, x1, 144, x2) - -inst_54: -// rs1_val == 65536, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x10200, 0x10000, 0x200, x1, 148, x2) - -inst_55: -// rs1_val == 32768, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x7 -TEST_IMM_OP( addi, x11, x10, 0x7ff9, 0x8000, -0x7, x1, 152, x2) - -inst_56: -// rs1_val == 16384, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x4333, 0x4000, 0x333, x1, 156, x2) - -inst_57: -// rs1_val == 8192, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x2555, 0x2000, 0x555, x1, 160, x2) - -inst_58: -// rs1_val == 4096, imm_val == -1366 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaa, 0x1000, -0x556, x1, 164, x2) - -inst_59: -// rs1_val == 2048, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xe65, 0x800, 0x665, x1, 168, x2) - -inst_60: -// rs1_val == 512, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x1bf, 0x200, -0x41, x1, 172, x2) - -inst_61: -// rs1_val == 256, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x4ff, 0x100, 0x3ff, x1, 176, x2) - -inst_62: -// rs1_val == 128, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x6e6, 0x80, 0x666, x1, 180, x2) - -inst_63: -// rs1_val == 64, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x373, 0x40, 0x333, x1, 184, x2) - -inst_64: -// rs1_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0x81f, 0x20, 0x7ff, x1, 188, x2) - -inst_65: -// rs1_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x210, 0x10, 0x200, x1, 192, x2) - -inst_66: -// rs1_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x8, 0x0, x1, 196, x2) - -inst_67: -// rs1_val == 2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x2, -0x3, x1, 200, x2) - -inst_68: -// rs1_val == 1, imm_val == 256 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1; immval:0x100 -TEST_IMM_OP( addi, x11, x10, 0x101, 0x1, 0x100, x1, 204, x2) - -inst_69: -// imm_val == 1024, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x400 -TEST_IMM_OP( addi, x11, x10, 0x3bf, -0x41, 0x400, x1, 208, x2) - -inst_70: -// imm_val == 128, rs1_val == 0 -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x80 -TEST_IMM_OP( addi, x11, x10, 0x80, 0x0, 0x80, x1, 212, x2) - -inst_71: -// imm_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x20 -TEST_IMM_OP( addi, x11, x10, 0x20, 0x0, 0x20, x1, 216, x2) - -inst_72: -// imm_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( addi, x11, x10, 0x8010, 0x8000, 0x10, x1, 220, x2) - -inst_73: -// imm_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( addi, x11, x10, 0x0, -0x8, 0x8, x1, 224, x2) - -inst_74: -// imm_val == 1, rs1_val == 1431655765 -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555555, 0x1, x1, 228, x2) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb533, 0xb505, 0x2e, x1, 232, x2) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d9, 0xb505, -0x2c, x1, 236, x2) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6c, 0xb505, 0x667, x1, 240, x2) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb839, 0xb505, 0x334, x1, 244, x2) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50b, 0xb505, 0x6, x1, 248, x2) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafb0, 0xb505, -0x555, x1, 252, x2) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5b, 0xb505, 0x556, x1, 256, x2) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb505, 0x4, x1, 260, x2) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb505, 0x2c, x1, 264, x2) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb505, 0x0, x1, 268, x2) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb505, 0x665, x1, 272, x2) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb505, 0x332, x1, 276, x2) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb505, 0x554, x1, 280, x2) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb505, 0x2, x1, 284, x2) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb505, 0x2d, x1, 288, x2) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb505, -0x2d, x1, 292, x2) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb505, 0x666, x1, 296, x2) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb505, 0x333, x1, 300, x2) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb505, 0x5, x1, 304, x2) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb505, -0x556, x1, 308, x2) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb505, 0x555, x1, 312, x2) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb505, 0x3, x1, 316, x2) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2b, -0xb503, 0x2e, x1, 320, x2) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad1, -0xb503, -0x2c, x1, 324, x2) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5164, -0xb503, 0x667, x1, 328, x2) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e31, -0xb503, 0x334, x1, 332, x2) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b03, -0xb503, 0x6, x1, 336, x2) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a8, -0xb503, -0x555, x1, 340, x2) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5053, -0xb503, 0x556, x1, 344, x2) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb503, 0x4, x1, 348, x2) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb503, 0x2c, x1, 352, x2) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afd, -0xb503, 0x0, x1, 356, x2) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb503, 0x665, x1, 360, x2) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb503, 0x332, x1, 364, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb503, 0x554, x1, 368, x2) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb503, 0x2, x1, 372, x2) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb503, 0x2d, x1, 376, x2) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb503, -0x2d, x1, 380, x2) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb503, 0x666, x1, 384, x2) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb503, 0x333, x1, 388, x2) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb503, 0x5, x1, 392, x2) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb503, -0x556, x1, 396, x2) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb503, 0x555, x1, 400, x2) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb503, 0x3, x1, 404, x2) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666695, 0x66666667, 0x2e, x1, 408, x2) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663b, 0x66666667, -0x2c, x1, 412, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666cce, 0x66666667, 0x667, x1, 416, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699b, 0x66666667, 0x334, x1, 420, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666d, 0x66666667, 0x6, x1, 424, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666112, 0x66666667, -0x555, x1, 428, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbd, 0x66666667, 0x556, x1, 432, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666667, 0x4, x1, 436, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666667, 0x2c, x1, 440, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666667, 0x0, x1, 444, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666667, 0x665, x1, 448, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666667, 0x332, x1, 452, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666667, 0x554, x1, 456, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666667, 0x2, x1, 460, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666667, 0x2d, x1, 464, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666667, -0x2d, x1, 468, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666667, 0x666, x1, 472, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666667, 0x333, x1, 476, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666667, 0x5, x1, 480, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666667, -0x556, x1, 484, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666667, 0x555, x1, 488, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666667, 0x3, x1, 492, x2) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333362, 0x33333334, 0x2e, x1, 496, x2) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333308, 0x33333334, -0x2c, x1, 500, x2) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399b, 0x33333334, 0x667, x1, 504, x2) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333668, 0x33333334, 0x334, x1, 508, x2) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x3333333a, 0x33333334, 0x6, x1, 512, x2) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddf, 0x33333334, -0x555, x1, 516, x2) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x3333388a, 0x33333334, 0x556, x1, 520, x2) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333334, 0x4, x1, 524, x2) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333334, 0x2c, x1, 528, x2) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333334, 0x0, x1, 532, x2) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333334, 0x665, x1, 536, x2) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333334, 0x332, x1, 540, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333334, 0x554, x1, 544, x2) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333334, 0x2, x1, 548, x2) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333334, 0x2d, x1, 552, x2) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333334, -0x2d, x1, 556, x2) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333334, 0x666, x1, 560, x2) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333334, 0x333, x1, 564, x2) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333334, 0x5, x1, 568, x2) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333334, -0x556, x1, 572, x2) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333334, 0x555, x1, 576, x2) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333334, 0x3, x1, 580, x2) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x34, 0x6, 0x2e, x1, 584, x2) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffda, 0x6, -0x2c, x1, 588, x2) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66d, 0x6, 0x667, x1, 592, x2) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33a, 0x6, 0x334, x1, 596, x2) - -inst_167: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xc, 0x6, 0x6, x1, 600, x2) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab1, 0x6, -0x555, x1, 604, x2) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55c, 0x6, 0x556, x1, 608, x2) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x6, 0x4, x1, 612, x2) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x32, 0x6, 0x2c, x1, 616, x2) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x6, 0x0, x1, 620, x2) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x6, 0x665, x1, 624, x2) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x6, 0x332, x1, 628, x2) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x6, 0x554, x1, 632, x2) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x6, 0x2, x1, 636, x2) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33, 0x6, 0x2d, x1, 640, x2) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x6, -0x2d, x1, 644, x2) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x6, 0x666, x1, 648, x2) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x6, 0x333, x1, 652, x2) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x6, 0x5, x1, 656, x2) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x6, -0x556, x1, 660, x2) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x6, 0x555, x1, 664, x2) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x6, 0x3, x1, 668, x2) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad9, -0x55555555, 0x2e, x1, 672, x2) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7f, -0x55555555, -0x2c, x1, 676, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab112, -0x55555555, 0x667, x1, 680, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddf, -0x55555555, 0x334, x1, 684, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 688, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa556, -0x55555555, -0x555, x1, 692, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab001, -0x55555555, 0x556, x1, 696, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 700, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555555, 0x2c, x1, 704, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 708, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555555, 0x665, x1, 712, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555555, 0x332, x1, 716, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x1, 720, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 724, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555555, 0x2d, x1, 728, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555555, -0x2d, x1, 732, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555555, 0x666, x1, 736, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555555, 0x333, x1, 740, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 744, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555555, -0x556, x1, 748, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555555, 0x555, x1, 752, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 756, x2) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555584, 0x55555556, 0x2e, x1, 760, x2) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x5555552a, 0x55555556, -0x2c, x1, 764, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbd, 0x55555556, 0x667, x1, 768, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x5555588a, 0x55555556, 0x334, x1, 772, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555c, 0x55555556, 0x6, x1, 776, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555001, 0x55555556, -0x555, x1, 780, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aac, 0x55555556, 0x556, x1, 784, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555556, 0x4, x1, 788, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555556, 0x2c, x1, 792, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555556, 0x0, x1, 796, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555556, 0x665, x1, 800, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555556, 0x332, x1, 804, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555556, 0x554, x1, 808, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555556, 0x2, x1, 812, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555556, 0x2d, x1, 816, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555556, -0x2d, x1, 820, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555556, 0x666, x1, 824, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555556, 0x333, x1, 828, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555556, 0x5, x1, 832, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555556, -0x556, x1, 836, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555556, 0x555, x1, 840, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555556, 0x3, x1, 844, x2) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x32, 0x4, 0x2e, x1, 848, x2) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x4, -0x2c, x1, 852, x2) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x4, 0x667, x1, 856, x2) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x4, 0x334, x1, 860, x2) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x4, 0x6, x1, 864, x2) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x4, -0x555, x1, 868, x2) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x4, 0x556, x1, 872, x2) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x4, 0x4, x1, 876, x2) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x30, 0x4, 0x2c, x1, 880, x2) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x4, 0x0, x1, 884, x2) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x4, 0x665, x1, 888, x2) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x4, 0x332, x1, 892, x2) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x4, 0x554, x1, 896, x2) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x4, 0x2, x1, 900, x2) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x31, 0x4, 0x2d, x1, 904, x2) - -inst_244: -// rs1_val==4 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x4, -0x2d, x1, 908, x2) - -inst_245: -// rs1_val==4 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x4, 0x666, x1, 912, x2) - -inst_246: -// rs1_val==4 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x4, 0x333, x1, 916, x2) - -inst_247: -// rs1_val==4 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x4, 0x5, x1, 920, x2) - -inst_248: -// rs1_val==4 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x4, -0x556, x1, 924, x2) - -inst_249: -// rs1_val==4 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x4, 0x555, x1, 928, x2) - -inst_250: -// rs1_val==4 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x4, 0x3, x1, 932, x2) - -inst_251: -// rs1_val==46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb503, 0x2e, x1, 936, x2) - -inst_252: -// rs1_val==46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb503, -0x2c, x1, 940, x2) - -inst_253: -// rs1_val==46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb503, 0x667, x1, 944, x2) - -inst_254: -// rs1_val==46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb503, 0x334, x1, 948, x2) - -inst_255: -// rs1_val==46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb503, 0x6, x1, 952, x2) - -inst_256: -// rs1_val==46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb503, -0x555, x1, 956, x2) - -inst_257: -// rs1_val==46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb503, 0x556, x1, 960, x2) - -inst_258: -// rs1_val==46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb503, 0x4, x1, 964, x2) - -inst_259: -// rs1_val==46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb52f, 0xb503, 0x2c, x1, 968, x2) - -inst_260: -// rs1_val==46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb503, 0xb503, 0x0, x1, 972, x2) - -inst_261: -// rs1_val==46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb68, 0xb503, 0x665, x1, 976, x2) - -inst_262: -// rs1_val==46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb835, 0xb503, 0x332, x1, 980, x2) - -inst_263: -// rs1_val==46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba57, 0xb503, 0x554, x1, 984, x2) - -inst_264: -// rs1_val==46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb503, 0x2, x1, 988, x2) - -inst_265: -// rs1_val==46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb503, 0x2d, x1, 992, x2) - -inst_266: -// rs1_val==46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d6, 0xb503, -0x2d, x1, 996, x2) - -inst_267: -// rs1_val==46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb503, 0x666, x1, 1000, x2) - -inst_268: -// rs1_val==46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb503, 0x333, x1, 1004, x2) - -inst_269: -// rs1_val==46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb503, 0x5, x1, 1008, x2) - -inst_270: -// rs1_val==46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafad, 0xb503, -0x556, x1, 1012, x2) - -inst_271: -// rs1_val==46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb503, 0x555, x1, 1016, x2) - -inst_272: -// rs1_val==46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb503, 0x3, x1, 1020, x2) - -inst_273: -// rs1_val==0 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x0, 0x2e, x1, 1024, x2) - -inst_274: -// rs1_val==0 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd4, 0x0, -0x2c, x1, 1028, x2) - -inst_275: -// rs1_val==0 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x0, 0x667, x1, 1032, x2) - -inst_276: -// rs1_val==0 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x0, 0x334, x1, 1036, x2) - -inst_277: -// rs1_val==0 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x0, 0x6, x1, 1040, x2) - -inst_278: -// rs1_val==0 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaab, 0x0, -0x555, x1, 1044, x2) - -inst_279: -// rs1_val==0 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x0, 0x556, x1, 1048, x2) - -inst_280: -// rs1_val==0 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x0, 0x4, x1, 1052, x2) - -inst_281: -// rs1_val==0 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2c, 0x0, 0x2c, x1, 1056, x2) - -inst_282: -// rs1_val==0 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x0, 0x0, 0x0, x1, 1060, x2) - -inst_283: -// rs1_val==0 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x665, 0x0, 0x665, x1, 1064, x2) - -inst_284: -// rs1_val==0 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x332, 0x0, 0x332, x1, 1068, x2) - -inst_285: -// rs1_val==0 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x554, 0x0, 0x554, x1, 1072, x2) - -inst_286: -// rs1_val==0 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x0, 0x2, x1, 1076, x2) - -inst_287: -// rs1_val==0 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2d, 0x0, 0x2d, x1, 1080, x2) - -inst_288: -// rs1_val==0 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd3, 0x0, -0x2d, x1, 1084, x2) - -inst_289: -// rs1_val==0 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x666, 0x0, 0x666, x1, 1088, x2) - -inst_290: -// rs1_val==0 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x333, 0x0, 0x333, x1, 1092, x2) - -inst_291: -// rs1_val==0 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x0, 0x5, x1, 1096, x2) - -inst_292: -// rs1_val==0 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaa, 0x0, -0x556, x1, 1100, x2) - -inst_293: -// rs1_val==0 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x555, 0x0, 0x555, x1, 1104, x2) - -inst_294: -// rs1_val==0 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x0, 0x3, x1, 1108, x2) - -inst_295: -// rs1_val==1717986917 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666665, 0x2e, x1, 1112, x2) - -inst_296: -// rs1_val==1717986917 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666665, -0x2c, x1, 1116, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666665, 0x667, x1, 1120, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666665, 0x334, x1, 1124, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666665, 0x6, x1, 1128, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666665, -0x555, x1, 1132, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666665, 0x556, x1, 1136, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666665, 0x4, x1, 1140, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666691, 0x66666665, 0x2c, x1, 1144, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666665, 0x66666665, 0x0, x1, 1148, x2) - -inst_305: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555556, -0x555, x1, 1152, x2) - -inst_306: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555556, 0x556, x1, 1156, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1160, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad6, -0x55555556, 0x2c, x1, 1164, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1168, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab10f, -0x55555556, 0x665, x1, 1172, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddc, -0x55555556, 0x332, x1, 1176, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x1, 1180, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1184, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555556, 0x2d, x1, 1188, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7d, -0x55555556, -0x2d, x1, 1192, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555556, 0x666, x1, 1196, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555556, 0x333, x1, 1200, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1204, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa554, -0x55555556, -0x556, x1, 1208, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x1, 1212, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1216, x2) - -inst_322: -// rs1_val==1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555555, 0x2e, x1, 1220, x2) - -inst_323: -// rs1_val==1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555555, -0x2c, x1, 1224, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555555, 0x667, x1, 1228, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555555, 0x334, x1, 1232, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555555, 0x6, x1, 1236, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555555, -0x555, x1, 1240, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555555, 0x556, x1, 1244, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555555, 0x4, x1, 1248, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555555, 0x2c, x1, 1252, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555555, 0x55555555, 0x0, x1, 1256, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555555, 0x665, x1, 1260, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555555, 0x332, x1, 1264, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555555, 0x554, x1, 1268, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555555, 0x2, x1, 1272, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555555, 0x2d, x1, 1276, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555555, -0x2d, x1, 1280, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555555, 0x666, x1, 1284, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555555, 0x333, x1, 1288, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555555, 0x5, x1, 1292, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555555, -0x556, x1, 1296, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555555, 0x555, x1, 1300, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555555, 0x3, x1, 1304, x2) - -inst_344: -// rs1_val==3 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x31, 0x3, 0x2e, x1, 1308, x2) - -inst_345: -// rs1_val==3 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x3, -0x2c, x1, 1312, x2) - -inst_346: -// rs1_val==3 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x3, 0x667, x1, 1316, x2) - -inst_347: -// rs1_val==3 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x3, 0x334, x1, 1320, x2) - -inst_348: -// rs1_val==3 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x3, 0x6, x1, 1324, x2) - -inst_349: -// rs1_val==3 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x3, -0x555, x1, 1328, x2) - -inst_350: -// rs1_val==3 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x3, 0x556, x1, 1332, x2) - -inst_351: -// rs1_val==3 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x3, 0x4, x1, 1336, x2) - -inst_352: -// rs1_val==3 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x3, 0x2c, x1, 1340, x2) - -inst_353: -// rs1_val==3 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x3, 0x0, x1, 1344, x2) - -inst_354: -// rs1_val==3 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x3, 0x665, x1, 1348, x2) - -inst_355: -// rs1_val==3 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x3, 0x332, x1, 1352, x2) - -inst_356: -// rs1_val==3 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x3, 0x554, x1, 1356, x2) - -inst_357: -// rs1_val==3 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x3, 0x2, x1, 1360, x2) - -inst_358: -// rs1_val==3 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x30, 0x3, 0x2d, x1, 1364, x2) - -inst_359: -// rs1_val==3 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x3, -0x2d, x1, 1368, x2) - -inst_360: -// rs1_val==3 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x3, 0x666, x1, 1372, x2) - -inst_361: -// rs1_val==3 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x3, 0x333, x1, 1376, x2) - -inst_362: -// rs1_val==3 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x3, 0x5, x1, 1380, x2) - -inst_363: -// rs1_val==3 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x3, -0x556, x1, 1384, x2) - -inst_364: -// rs1_val==3 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x3, 0x555, x1, 1388, x2) - -inst_365: -// rs1_val==3 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x3, 0x3, x1, 1392, x2) - -inst_366: -// rs1_val==1717986917 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666cca, 0x66666665, 0x665, x1, 1396, x2) - -inst_367: -// rs1_val==1717986917 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666997, 0x66666665, 0x332, x1, 1400, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bb9, 0x66666665, 0x554, x1, 1404, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666665, 0x2, x1, 1408, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666665, 0x2d, x1, 1412, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666638, 0x66666665, -0x2d, x1, 1416, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666665, 0x666, x1, 1420, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666665, 0x333, x1, 1424, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666665, 0x5, x1, 1428, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x6666610f, 0x66666665, -0x556, x1, 1432, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666665, 0x555, x1, 1436, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666665, 0x3, x1, 1440, x2) - -inst_378: -// rs1_val==858993458 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333332, 0x2e, x1, 1444, x2) - -inst_379: -// rs1_val==858993458 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333332, -0x2c, x1, 1448, x2) - -inst_380: -// rs1_val==858993458 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333332, 0x667, x1, 1452, x2) - -inst_381: -// rs1_val==858993458 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333332, 0x334, x1, 1456, x2) - -inst_382: -// rs1_val==858993458 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333332, 0x6, x1, 1460, x2) - -inst_383: -// rs1_val==858993458 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333332, -0x555, x1, 1464, x2) - -inst_384: -// rs1_val==858993458 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333332, 0x556, x1, 1468, x2) - -inst_385: -// rs1_val==858993458 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333332, 0x4, x1, 1472, x2) - -inst_386: -// rs1_val==858993458 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335e, 0x33333332, 0x2c, x1, 1476, x2) - -inst_387: -// rs1_val==858993458 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333332, 0x33333332, 0x0, x1, 1480, x2) - -inst_388: -// rs1_val==858993458 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333997, 0x33333332, 0x665, x1, 1484, x2) - -inst_389: -// rs1_val==858993458 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333664, 0x33333332, 0x332, x1, 1488, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333886, 0x33333332, 0x554, x1, 1492, x2) - -inst_391: -// rs1_val==858993458 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333332, 0x2, x1, 1496, x2) - -inst_392: -// rs1_val==858993458 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333332, 0x2d, x1, 1500, x2) - -inst_393: -// rs1_val==858993458 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333305, 0x33333332, -0x2d, x1, 1504, x2) - -inst_394: -// rs1_val==858993458 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333332, 0x666, x1, 1508, x2) - -inst_395: -// rs1_val==858993458 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333332, 0x333, x1, 1512, x2) - -inst_396: -// rs1_val==858993458 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333332, 0x5, x1, 1516, x2) - -inst_397: -// rs1_val==858993458 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddc, 0x33333332, -0x556, x1, 1520, x2) - -inst_398: -// rs1_val==858993458 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333332, 0x555, x1, 1524, x2) - -inst_399: -// rs1_val==858993458 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333332, 0x3, x1, 1528, x2) - -inst_400: -// rs1_val==1431655764 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555554, 0x2e, x1, 1532, x2) - -inst_401: -// rs1_val==1431655764 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555554, -0x2c, x1, 1536, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555554, 0x667, x1, 1540, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555554, 0x334, x1, 1544, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555554, 0x6, x1, 1548, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555554, -0x555, x1, 1552, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555554, 0x556, x1, 1556, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555554, 0x4, x1, 1560, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555580, 0x55555554, 0x2c, x1, 1564, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555554, 0x55555554, 0x0, x1, 1568, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bb9, 0x55555554, 0x665, x1, 1572, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555886, 0x55555554, 0x332, x1, 1576, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa8, 0x55555554, 0x554, x1, 1580, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555554, 0x2, x1, 1584, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555554, 0x2d, x1, 1588, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555527, 0x55555554, -0x2d, x1, 1592, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555554, 0x666, x1, 1596, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555554, 0x333, x1, 1600, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555554, 0x5, x1, 1604, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554ffe, 0x55555554, -0x556, x1, 1608, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555554, 0x555, x1, 1612, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555554, 0x3, x1, 1616, x2) - -inst_422: -// rs1_val==2 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x30, 0x2, 0x2e, x1, 1620, x2) - -inst_423: -// rs1_val==2 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x2, -0x2c, x1, 1624, x2) - -inst_424: -// rs1_val==2 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x2, 0x667, x1, 1628, x2) - -inst_425: -// rs1_val==2 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x2, 0x334, x1, 1632, x2) - -inst_426: -// rs1_val==2 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x2, 0x6, x1, 1636, x2) - -inst_427: -// rs1_val==2 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x2, -0x555, x1, 1640, x2) - -inst_428: -// rs1_val==2 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x2, 0x556, x1, 1644, x2) - -inst_429: -// rs1_val==2 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x2, 0x4, x1, 1648, x2) - -inst_430: -// rs1_val==2 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x2, 0x2c, x1, 1652, x2) - -inst_431: -// rs1_val==2 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x2, 0x0, x1, 1656, x2) - -inst_432: -// rs1_val==2 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x2, 0x665, x1, 1660, x2) - -inst_433: -// rs1_val==2 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x2, 0x332, x1, 1664, x2) - -inst_434: -// rs1_val==2 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x2, 0x554, x1, 1668, x2) - -inst_435: -// rs1_val==2 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x2, 0x2, x1, 1672, x2) - -inst_436: -// rs1_val==2 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x2, 0x2d, x1, 1676, x2) - -inst_437: -// rs1_val==2 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd5, 0x2, -0x2d, x1, 1680, x2) - -inst_438: -// rs1_val==2 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x2, 0x666, x1, 1684, x2) - -inst_439: -// rs1_val==2 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x2, 0x333, x1, 1688, x2) - -inst_440: -// rs1_val==2 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x2, 0x5, x1, 1692, x2) - -inst_441: -// rs1_val==2 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaac, 0x2, -0x556, x1, 1696, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x2, 0x555, x1, 1700, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x2, 0x3, x1, 1704, x2) - -inst_444: -// rs1_val==46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb504, 0x2e, x1, 1708, x2) - -inst_445: -// rs1_val==46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb504, -0x2c, x1, 1712, x2) - -inst_446: -// rs1_val==46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb504, 0x667, x1, 1716, x2) - -inst_447: -// rs1_val==46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb504, 0x334, x1, 1720, x2) - -inst_448: -// rs1_val==46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb504, 0x6, x1, 1724, x2) - -inst_449: -// rs1_val==46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb504, -0x555, x1, 1728, x2) - -inst_450: -// rs1_val==46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb504, 0x556, x1, 1732, x2) - -inst_451: -// rs1_val==46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb504, 0x4, x1, 1736, x2) - -inst_452: -// rs1_val==46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb504, 0x2c, x1, 1740, x2) - -inst_453: -// rs1_val==46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb504, 0xb504, 0x0, x1, 1744, x2) - -inst_454: -// rs1_val==46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb504, 0x665, x1, 1748, x2) - -inst_455: -// rs1_val==46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb504, 0x332, x1, 1752, x2) - -inst_456: -// rs1_val==46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb504, 0x554, x1, 1756, x2) - -inst_457: -// rs1_val==46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb504, 0x2, x1, 1760, x2) - -inst_458: -// rs1_val==46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb504, 0x2d, x1, 1764, x2) - -inst_459: -// rs1_val==46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb504, -0x2d, x1, 1768, x2) - -inst_460: -// rs1_val==46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb504, 0x666, x1, 1772, x2) - -inst_461: -// rs1_val==46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb504, 0x333, x1, 1776, x2) - -inst_462: -// rs1_val==46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb504, 0x5, x1, 1780, x2) - -inst_463: -// rs1_val==46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb504, -0x556, x1, 1784, x2) - -inst_464: -// rs1_val==46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb504, 0x555, x1, 1788, x2) - -inst_465: -// rs1_val==46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb504, 0x3, x1, 1792, x2) - -inst_466: -// rs1_val==-46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb504, 0x2e, x1, 1796, x2) - -inst_467: -// rs1_val==-46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb504, -0x2c, x1, 1800, x2) - -inst_468: -// rs1_val==-46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb504, 0x667, x1, 1804, x2) - -inst_469: -// rs1_val==-46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb504, 0x334, x1, 1808, x2) - -inst_470: -// rs1_val==-46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb504, 0x6, x1, 1812, x2) - -inst_471: -// rs1_val==-46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb504, -0x555, x1, 1816, x2) - -inst_472: -// rs1_val==-46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb504, 0x556, x1, 1820, x2) - -inst_473: -// rs1_val==-46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb504, 0x4, x1, 1824, x2) - -inst_474: -// rs1_val==-46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b28, -0xb504, 0x2c, x1, 1828, x2) - -inst_475: -// rs1_val==-46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afc, -0xb504, 0x0, x1, 1832, x2) - -inst_476: -// rs1_val==-46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5161, -0xb504, 0x665, x1, 1836, x2) - -inst_477: -// rs1_val==-46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2e, -0xb504, 0x332, x1, 1840, x2) - -inst_478: -// rs1_val==-46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5050, -0xb504, 0x554, x1, 1844, x2) - -inst_479: -// rs1_val==-46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4afe, -0xb504, 0x2, x1, 1848, x2) - -inst_480: -// rs1_val==-46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb504, 0x2d, x1, 1852, x2) - -inst_481: -// rs1_val==-46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4acf, -0xb504, -0x2d, x1, 1856, x2) - -inst_482: -// rs1_val==-46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb504, 0x666, x1, 1860, x2) - -inst_483: -// rs1_val==-46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb504, 0x333, x1, 1864, x2) - -inst_484: -// rs1_val==-46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb504, 0x5, x1, 1868, x2) - -inst_485: -// rs1_val==-46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a6, -0xb504, -0x556, x1, 1872, x2) - -inst_486: -// rs1_val==-46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb504, 0x555, x1, 1876, x2) - -inst_487: -// rs1_val==-46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb504, 0x3, x1, 1880, x2) - -inst_488: -// rs1_val==1717986918 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666666, 0x2e, x1, 1884, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666666, -0x2c, x1, 1888, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666666, 0x667, x1, 1892, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666666, 0x334, x1, 1896, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666666, 0x6, x1, 1900, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666666, -0x555, x1, 1904, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666666, 0x556, x1, 1908, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666666, 0x4, x1, 1912, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666666, 0x2c, x1, 1916, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666666, 0x66666666, 0x0, x1, 1920, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666666, 0x665, x1, 1924, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666666, 0x332, x1, 1928, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666666, 0x554, x1, 1932, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666666, 0x2, x1, 1936, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666666, 0x2d, x1, 1940, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666666, -0x2d, x1, 1944, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666666, 0x666, x1, 1948, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666666, 0x333, x1, 1952, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666666, 0x5, x1, 1956, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666666, -0x556, x1, 1960, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666666, 0x555, x1, 1964, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666666, 0x3, x1, 1968, x2) - -inst_510: -// rs1_val==858993459 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333333, 0x2e, x1, 1972, x2) - -inst_511: -// rs1_val==858993459 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333333, -0x2c, x1, 1976, x2) - -inst_512: -// rs1_val==858993459 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333333, 0x667, x1, 1980, x2) - -inst_513: -// rs1_val==858993459 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333333, 0x334, x1, 1984, x2) - -inst_514: -// rs1_val==858993459 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333333, 0x6, x1, 1988, x2) - -inst_515: -// rs1_val==858993459 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333333, -0x555, x1, 1992, x2) - -inst_516: -// rs1_val==858993459 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333333, 0x556, x1, 1996, x2) - -inst_517: -// rs1_val==858993459 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333333, 0x4, x1, 2000, x2) - -inst_518: -// rs1_val==858993459 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333333, 0x2c, x1, 2004, x2) - -inst_519: -// rs1_val==858993459 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333333, 0x33333333, 0x0, x1, 2008, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333333, 0x665, x1, 2012, x2) - -inst_521: -// rs1_val==858993459 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333333, 0x332, x1, 2016, x2) - -inst_522: -// rs1_val==858993459 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333333, 0x554, x1, 2020, x2) - -inst_523: -// rs1_val==858993459 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333333, 0x2, x1, 2024, x2) - -inst_524: -// rs1_val==858993459 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333333, 0x2d, x1, 2028, x2) - -inst_525: -// rs1_val==858993459 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333333, -0x2d, x1, 2032, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333333, 0x666, x1, 2036, x2) - -inst_527: -// rs1_val==858993459 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333333, 0x333, x1, 2040, x2) - -inst_528: -// rs1_val==858993459 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333333, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==858993459 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333333, -0x556, x1, 0, x2) - -inst_530: -// rs1_val==858993459 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333333, 0x555, x1, 4, x2) - -inst_531: -// rs1_val==858993459 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333333, 0x3, x1, 8, x2) - -inst_532: -// rs1_val==5 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33, 0x5, 0x2e, x1, 12, x2) - -inst_533: -// rs1_val==5 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x5, -0x2c, x1, 16, x2) - -inst_534: -// rs1_val==5 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x5, 0x667, x1, 20, x2) - -inst_535: -// rs1_val==5 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x5, 0x334, x1, 24, x2) - -inst_536: -// rs1_val==5 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x5, 0x6, x1, 28, x2) - -inst_537: -// rs1_val==5 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x5, -0x555, x1, 32, x2) - -inst_538: -// rs1_val==5 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x5, 0x556, x1, 36, x2) - -inst_539: -// rs1_val==5 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x5, 0x4, x1, 40, x2) - -inst_540: -// rs1_val==5 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x31, 0x5, 0x2c, x1, 44, x2) - -inst_541: -// rs1_val==5 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x5, 0x0, x1, 48, x2) - -inst_542: -// rs1_val==5 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x5, 0x665, x1, 52, x2) - -inst_543: -// rs1_val==5 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x5, 0x332, x1, 56, x2) - -inst_544: -// rs1_val==5 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x5, 0x554, x1, 60, x2) - -inst_545: -// rs1_val==5 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x5, 0x2, x1, 64, x2) - -inst_546: -// rs1_val==5 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x32, 0x5, 0x2d, x1, 68, x2) - -inst_547: -// rs1_val==5 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x5, -0x2d, x1, 72, x2) - -inst_548: -// rs1_val==5 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x5, 0x666, x1, 76, x2) - -inst_549: -// rs1_val==5 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x5, 0x333, x1, 80, x2) - -inst_550: -// rs1_val==5 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x5, 0x5, x1, 84, x2) - -inst_551: -// rs1_val==5 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x5, -0x556, x1, 88, x2) - -inst_552: -// rs1_val==5 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x5, 0x555, x1, 92, x2) - -inst_553: -// rs1_val==5 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x5, 0x3, x1, 96, x2) - -inst_554: -// rs1_val==-1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555556, 0x2e, x1, 100, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555556, -0x2c, x1, 104, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555556, 0x667, x1, 108, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555556, 0x334, x1, 112, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 116, x2) - -inst_559: -// rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x11, x10, 0xc000003f, -0x40000001, 0x40, x1, 120, x2) - -inst_560: -// rs1_val == -33554433, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2000001; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xfdffffff, -0x2000001, 0x0, x1, 124, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 32*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S deleted file mode 100644 index 41d5e406f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S +++ /dev/null @@ -1,3025 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the and instruction of the RISC-V E extension for the and covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",and) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x3, rd==x10, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs1_val == 16, rs2_val == 8 -// opcode: and ; op1:x11; op2:x3; dest:x10; op1val:0x10; op2val:0x8 -TEST_RR_OP(and, x10, x11, x3, 0x0, 0x10, 0x8, x1, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x13, rs2==x11, rd==x13, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: and ; op1:x13; op2:x11; dest:x13; op1val:0x3; op2val:0x7fffffff -TEST_RR_OP(and, x13, x13, x11, 0x3, 0x3, 0x7fffffff, x1, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x12, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val == 256, rs1_val > 0 and rs2_val < 0 -// opcode: and ; op1:x12; op2:x6; dest:x6; op1val:0x100; op2val:-0x40000001 -TEST_RR_OP(and, x6, x12, x6, 0x100, 0x100, -0x40000001, x1, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x5, rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x8; op2:x8; dest:x5; op1val:0x40000; op2val:0x40000 -TEST_RR_OP(and, x5, x8, x8, 0x40000, 0x40000, 0x40000, x1, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x4; op2:x4; dest:x4; op1val:0x100000; op2val:0x100000 -TEST_RR_OP(and, x4, x4, x4, 0x100000, 0x100000, 0x100000, x1, 16, x7) - -inst_5: -// rs1==x15, rs2==x2, rd==x12, rs2_val == -134217729, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 -// opcode: and ; op1:x15; op2:x2; dest:x12; op1val:-0x4000001; op2val:-0x8000001 -TEST_RR_OP(and, x12, x15, x2, 0xf3ffffff, -0x4000001, -0x8000001, x1, 20, x7) - -inst_6: -// rs1==x6, rs2==x15, rd==x8, rs2_val == -67108865, rs1_val == -1431655766 -// opcode: and ; op1:x6; op2:x15; dest:x8; op1val:-0x55555556; op2val:-0x4000001 -TEST_RR_OP(and, x8, x6, x15, 0xaaaaaaaa, -0x55555556, -0x4000001, x1, 24, x3) - -inst_7: -// rs1==x10, rs2==x5, rd==x9, rs2_val == -33554433, rs1_val == 4194304 -// opcode: and ; op1:x10; op2:x5; dest:x9; op1val:0x400000; op2val:-0x2000001 -TEST_RR_OP(and, x9, x10, x5, 0x400000, 0x400000, -0x2000001, x1, 28, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x2, rs2==x0, rd==x1, rs2_val == -16777217, -// opcode: and ; op1:x2; op2:x0; dest:x1; op1val:0x40000; op2val:0x0 -TEST_RR_OP(and, x1, x2, x0, 0x0, 0x40000, 0x0, x4, 0, x3) - -inst_9: -// rs1==x5, rs2==x1, rd==x15, rs2_val == -8388609, rs1_val == -65 -// opcode: and ; op1:x5; op2:x1; dest:x15; op1val:-0x41; op2val:-0x800001 -TEST_RR_OP(and, x15, x5, x1, 0xff7fffbf, -0x41, -0x800001, x4, 4, x3) - -inst_10: -// rs1==x7, rs2==x10, rd==x2, rs2_val == -4194305, rs1_val == 16777216 -// opcode: and ; op1:x7; op2:x10; dest:x2; op1val:0x1000000; op2val:-0x400001 -TEST_RR_OP(and, x2, x7, x10, 0x1000000, 0x1000000, -0x400001, x4, 8, x3) - -inst_11: -// rs1==x1, rs2==x9, rd==x14, rs2_val == -2097153, rs1_val == -16385 -// opcode: and ; op1:x1; op2:x9; dest:x14; op1val:-0x4001; op2val:-0x200001 -TEST_RR_OP(and, x14, x1, x9, 0xffdfbfff, -0x4001, -0x200001, x4, 12, x3) - -inst_12: -// rs1==x14, rs2==x13, rd==x7, rs2_val == -1048577, rs1_val == -1025 -// opcode: and ; op1:x14; op2:x13; dest:x7; op1val:-0x401; op2val:-0x100001 -TEST_RR_OP(and, x7, x14, x13, 0xffeffbff, -0x401, -0x100001, x4, 16, x3) - -inst_13: -// rs1==x3, rs2==x14, rd==x0, rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x3; op2:x14; dest:x0; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x0, x3, x14, 0, -0x3, -0x80001, x4, 20, x2) - -inst_14: -// rs1==x9, rs2==x7, rd==x11, rs2_val == -262145, rs1_val == 33554432 -// opcode: and ; op1:x9; op2:x7; dest:x11; op1val:0x2000000; op2val:-0x40001 -TEST_RR_OP(and, x11, x9, x7, 0x2000000, 0x2000000, -0x40001, x4, 24, x2) - -inst_15: -// rs1==x0, rs2==x12, rd==x3, rs2_val == -131073, -// opcode: and ; op1:x0; op2:x12; dest:x3; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(and, x3, x0, x12, 0x0, 0x0, -0x20001, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs2_val == -65537, rs1_val == 4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x10001, x1, 0, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, -0x8001, x1, 4, x2) - -inst_18: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0x40000000, 0x40000000, -0x4001, x1, 8, x2) - -inst_19: -// rs2_val == -8193, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x2001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x2001, x1, 12, x2) - -inst_20: -// rs2_val == -4097, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x1001 -TEST_RR_OP(and, x12, x10, x11, 0x100, 0x100, -0x1001, x1, 16, x2) - -inst_21: -// rs2_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x801 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaa2aa, -0x55555556, -0x801, x1, 20, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 4096 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x401 -TEST_RR_OP(and, x12, x10, x11, 0x1000, 0x1000, -0x401, x1, 24, x2) - -inst_23: -// rs2_val == -513, rs1_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x1, -0x201, x1, 28, x2) - -inst_24: -// rs2_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0x7, 0x7, -0x101, x1, 32, x2) - -inst_25: -// rs2_val == -129, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x81 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x81, x1, 36, x2) - -inst_26: -// rs2_val == -65, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x41 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, -0x41, x1, 40, x2) - -inst_27: -// rs2_val == -33, rs1_val == 128 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x21 -TEST_RR_OP(and, x12, x10, x11, 0x80, 0x80, -0x21, x1, 44, x2) - -inst_28: -// rs2_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x11 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, -0x11, x1, 48, x2) - -inst_29: -// rs2_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x9, x1, 52, x2) - -inst_30: -// rs2_val == -5, rs1_val == 16384 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4000, 0x4000, -0x5, x1, 56, x2) - -inst_31: -// rs2_val == -3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x3 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, -0x3, x1, 60, x2) - -inst_32: -// rs2_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x2 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, -0x2, x1, 64, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0x7f7fffff, 0x7fffffff, -0x800001, x1, 68, x2) - -inst_34: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x26666667, -0x40000001, 0x66666667, x1, 72, x2) - -inst_35: -// rs1_val == -536870913, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x20000001, 0x3, x1, 76, x2) - -inst_36: -// rs1_val == -268435457, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x8 -TEST_RR_OP(and, x12, x10, x11, 0xeffffff8, -0x10000001, -0x8, x1, 80, x2) - -inst_37: -// rs1_val == -134217729, rs2_val == 2097152 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x200000 -TEST_RR_OP(and, x12, x10, x11, 0x200000, -0x8000001, 0x200000, x1, 84, x2) - -inst_38: -// rs1_val == -33554433, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xfdfffeff, -0x2000001, -0x101, x1, 88, x2) - -inst_39: -// rs1_val == -16777217, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0xfe7fffff, -0x1000001, -0x800001, x1, 92, x2) - -inst_40: -// rs1_val == -8388609, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xff7ffeff, -0x800001, -0x101, x1, 96, x2) - -inst_41: -// rs1_val == -4194305, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x200001 -TEST_RR_OP(and, x12, x10, x11, 0xff9fffff, -0x400001, -0x200001, x1, 100, x2) - -inst_42: -// rs1_val == -2097153, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66466666, -0x200001, 0x66666666, x1, 104, x2) - -inst_43: -// rs1_val == -1048577, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffef4afd, -0x100001, -0xb503, x1, 108, x2) - -inst_44: -// rs1_val == -524289, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, -0x80001, 0xb504, x1, 112, x2) - -inst_45: -// rs1_val == -262145, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0xfffb7fff, -0x40001, -0x8001, x1, 116, x2) - -inst_46: -// rs1_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, -0x20001, 0x55555556, x1, 120, x2) - -inst_47: -// rs1_val == -65537, rs2_val == 524288 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x80000 -TEST_RR_OP(and, x12, x10, x11, 0x80000, -0x10001, 0x80000, x1, 124, x2) - -inst_48: -// rs1_val == -32769, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0xffff3fff, -0x8001, -0x4001, x1, 128, x2) - -inst_49: -// rs1_val == -8193, rs2_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x2001, 0x2, x1, 132, x2) - -inst_50: -// rs1_val == -4097, rs2_val == 32 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x20 -TEST_RR_OP(and, x12, x10, x11, 0x20, -0x1001, 0x20, x1, 136, x2) - -inst_51: -// rs1_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff42fc, -0x801, -0xb504, x1, 140, x2) - -inst_52: -// rs1_val == -513, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fdff, -0x201, -0x80001, x1, 144, x2) - -inst_53: -// rs1_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(and, x12, x10, x11, 0xf7fffeff, -0x101, -0x8000001, x1, 148, x2) - -inst_54: -// rs1_val == -129, rs2_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, -0x81, 0x55555555, x1, 152, x2) - -inst_55: -// rs1_val == -33, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0xfffffddf, -0x21, -0x201, x1, 156, x2) - -inst_56: -// rs1_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x11, 0x3, x1, 160, x2) - -inst_57: -// rs1_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, -0x9, 0x6, x1, 164, x2) - -inst_58: -// rs1_val == -5, rs2_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x5, 0x1, x1, 168, x2) - -inst_59: -// rs1_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0x2, -0xb503, x1, 172, x2) - -inst_60: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x80000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0x80000000, x1, 176, x2) - -inst_61: -// rs2_val == 1073741824, rs1_val == 2048 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x40000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x40000000, x1, 180, x2) - -inst_62: -// rs2_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x20000000 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, -0x4000001, 0x20000000, x1, 184, x2) - -inst_63: -// rs2_val == 268435456, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x10000000, -0x100001, 0x10000000, x1, 188, x2) - -inst_64: -// rs2_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8000000 -TEST_RR_OP(and, x12, x10, x11, 0x8000000, -0xb503, 0x8000000, x1, 192, x2) - -inst_65: -// rs2_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x4000000 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, -0x1, 0x4000000, x1, 196, x2) - -inst_66: -// rs2_val == 33554432, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x2000000, -0x55555555, 0x2000000, x1, 200, x2) - -inst_67: -// rs2_val == 16777216, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x1000000 -TEST_RR_OP(and, x12, x10, x11, 0x1000000, -0x10000001, 0x1000000, x1, 204, x2) - -inst_68: -// rs2_val == 8388608, rs1_val == 268435456 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000000, 0x800000, x1, 208, x2) - -inst_69: -// rs2_val == 4194304, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x400000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x400000, x1, 212, x2) - -inst_70: -// rs2_val == 1048576, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x100000 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x55555555, 0x100000, x1, 216, x2) - -inst_71: -// rs2_val == 262144, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:0x40000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x9, 0x40000, x1, 220, x2) - -inst_72: -// rs2_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x20000 -TEST_RR_OP(and, x12, x10, x11, 0x20000, -0x55555555, 0x20000, x1, 224, x2) - -inst_73: -// rs2_val == 65536, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 228, x2) - -inst_74: -// rs2_val == 32768, rs1_val == 65536 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000, 0x8000, x1, 232, x2) - -inst_75: -// rs2_val == 16384, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4000, x1, 236, x2) - -inst_76: -// rs2_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(and, x12, x10, x11, 0x2000, -0xa, 0x2000, x1, 240, x2) - -inst_77: -// rs2_val == 4096, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1000 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x1000, x1, 244, x2) - -inst_78: -// rs2_val == 2048, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x800 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x800, x1, 248, x2) - -inst_79: -// rs2_val == 1024, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x400, x1, 252, x2) - -inst_80: -// rs2_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x200, x1, 256, x2) - -inst_81: -// rs2_val == 256, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x100, x1, 260, x2) - -inst_82: -// rs2_val == 128, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(and, x12, x10, x11, 0x80, -0x20000001, 0x80, x1, 264, x2) - -inst_83: -// rs2_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x40 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x40, x1, 268, x2) - -inst_84: -// rs2_val == 16, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x10 -TEST_RR_OP(and, x12, x10, x11, 0x10, -0x7, 0x10, x1, 272, x2) - -inst_85: -// rs2_val == 4, rs1_val==-46339 and rs2_val==4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x4, x1, 276, x2) - -inst_86: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x80000000, 0x33333334, x1, 280, x2) - -inst_87: -// rs1_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, 0x20000000, -0xb504, x1, 284, x2) - -inst_88: -// rs1_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000000, 0xb504, x1, 288, x2) - -inst_89: -// rs1_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, 0x4000000, -0xb504, x1, 292, x2) - -inst_90: -// rs1_val == 8388608, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x3fffffff -TEST_RR_OP(and, x12, x10, x11, 0x800000, 0x800000, 0x3fffffff, x1, 296, x2) - -inst_91: -// rs1_val == 2097152, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x200000, 0x200000, -0x9, x1, 300, x2) - -inst_92: -// rs1_val == 524288, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7fffffff -TEST_RR_OP(and, x12, x10, x11, 0x80000, 0x80000, 0x7fffffff, x1, 304, x2) - -inst_93: -// rs1_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x4 -TEST_RR_OP(and, x12, x10, x11, 0x20000, 0x20000, -0x4, x1, 308, x2) - -inst_94: -// rs1_val == 32768, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000, 0x10000000, x1, 312, x2) - -inst_95: -// rs1_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x1000001 -TEST_RR_OP(and, x12, x10, x11, 0x2000, 0x2000, -0x1000001, x1, 316, x2) - -inst_96: -// rs1_val == 1024, rs1_val == rs2_val -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x400, 0x400, 0x400, x1, 320, x2) - -inst_97: -// rs1_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x200, 0x200, -0x20000001, x1, 324, x2) - -inst_98: -// rs1_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x40000001 -TEST_RR_OP(and, x12, x10, x11, 0x40, 0x40, -0x40000001, x1, 328, x2) - -inst_99: -// rs1_val == 32, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x20, 0x2000000, x1, 332, x2) - -inst_100: -// rs1_val == 8, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 336, x2) - -inst_101: -// rs1_val==46341 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 340, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, -0xb503, x1, 344, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 348, x2) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 352, x2) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x6, x1, 356, x2) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 360, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 364, x2) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x4, x1, 368, x2) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb505, 0xb503, x1, 372, x2) - -inst_110: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 376, x2) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 380, x2) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 384, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 388, x2) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 392, x2) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb505, 0xb504, x1, 396, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, -0xb504, x1, 400, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 404, x2) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 408, x2) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, 0x5, x1, 412, x2) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 416, x2) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 420, x2) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb505, 0x3, x1, 424, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0xb505, x1, 428, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 432, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 436, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 440, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x6, x1, 444, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 448, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 452, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0xb503, x1, 456, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 460, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 464, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 468, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 472, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x2, x1, 476, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0xb504, x1, 480, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 484, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 488, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 492, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0x5, x1, 496, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 500, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 504, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0x3, x1, 508, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 512, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 516, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 520, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 524, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666667, 0x6, x1, 528, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 532, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 536, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666667, 0x4, x1, 540, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 544, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 548, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 552, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 556, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 560, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666667, 0x2, x1, 564, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 568, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 572, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 576, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 580, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666667, 0x5, x1, 584, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 588, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 592, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x66666667, 0x3, x1, 596, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 600, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 604, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 608, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 612, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 616, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 620, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 624, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x4, x1, 628, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 632, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 636, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 640, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 644, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 648, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 652, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 656, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 660, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 664, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 668, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x5, x1, 672, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 676, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 680, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 684, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb505, x1, 688, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb503, x1, 692, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 696, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x33333334, x1, 700, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x6, x1, 704, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555555, x1, 708, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 712, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x4, x1, 716, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0xb503, x1, 720, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x6, 0x0, x1, 724, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x66666665, x1, 728, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333332, x1, 732, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555554, x1, 736, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x2, x1, 740, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb504, x1, 744, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb504, x1, 748, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 752, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333333, x1, 756, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x5, x1, 760, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555556, x1, 764, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555555, x1, 768, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x3, x1, 772, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 776, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 780, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 784, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 788, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x6, x1, 792, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 796, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 800, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x4, x1, 804, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 808, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 812, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 816, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 820, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 824, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x2, x1, 828, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 832, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 836, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 840, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 844, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x5, x1, 848, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 852, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 856, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x55555555, 0x3, x1, 860, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 864, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 868, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 872, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 876, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x55555556, 0x6, x1, 880, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 884, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 888, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x4, x1, 892, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 896, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 900, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 904, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 908, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 912, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x2, x1, 916, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 920, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 924, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 928, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 932, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x5, x1, 936, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 940, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 944, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 948, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 952, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb503, x1, 956, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 960, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 964, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x6, x1, 968, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 972, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 976, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x4, x1, 980, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 984, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x0, x1, 988, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 992, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 996, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1000, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1004, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1008, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb504, x1, 1012, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1016, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1020, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1024, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1028, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1032, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1036, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1040, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, -0xb503, x1, 1044, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1048, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1052, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x6, x1, 1056, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1060, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1064, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1068, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1072, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1076, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1080, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1084, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1088, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x2, x1, 1092, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1096, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1100, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1104, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1108, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, 0x5, x1, 1112, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1116, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1120, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0xb503, 0x3, x1, 1124, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, rs1_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1128, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1132, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1136, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1140, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1144, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1148, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1152, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1156, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1160, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1164, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1168, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1172, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1176, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1180, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1184, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1188, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1192, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1196, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1200, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1204, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1208, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1212, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1216, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1220, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1224, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1228, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x6, x1, 1232, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1236, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1240, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x4, x1, 1244, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1248, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1252, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1256, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1260, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1264, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x2, x1, 1268, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1272, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1276, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1280, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1284, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x33333333, 0x5, x1, 1288, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1292, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1296, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x33333333, 0x3, x1, 1300, x2) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 1304, x2) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, -0xb503, x1, 1308, x2) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 1312, x2) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x33333334, x1, 1316, x2) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x6, x1, 1320, x2) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, -0x55555555, x1, 1324, x2) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555556, x1, 1328, x2) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x4, x1, 1332, x2) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0xb503, x1, 1336, x2) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1340, x2) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 1344, x2) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1348, x2) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555554, x1, 1352, x2) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1356, x2) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0xb504, x1, 1360, x2) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, -0xb504, x1, 1364, x2) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x66666666, x1, 1368, x2) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x33333333, x1, 1372, x2) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1376, x2) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1380, x2) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 1384, x2) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1388, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1392, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1396, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1400, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1404, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x6, x1, 1408, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1412, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1416, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x4, x1, 1420, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1424, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1428, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1432, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1436, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1440, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x2, x1, 1444, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1448, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1452, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1456, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1460, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x5, x1, 1464, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1468, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1472, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x3, x1, 1476, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1480, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1484, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1488, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1492, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x6, x1, 1496, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1500, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1504, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x4, x1, 1508, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1512, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 1516, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1520, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1524, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1528, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 1532, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1536, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1540, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1544, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1548, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x55555555, 0x5, x1, 1552, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1556, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1560, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 1564, x2) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0xb505, x1, 1568, x2) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, -0xb503, x1, 1572, x2) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 1576, x2) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1580, x2) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x6, x1, 1584, x2) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x55555555, x1, 1588, x2) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x55555556, x1, 1592, x2) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1596, x2) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 1600, x2) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x0, x1, 1604, x2) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 1608, x2) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x33333332, x1, 1612, x2) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1616, x2) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x2, x1, 1620, x2) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1624, x2) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1628, x2) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x66666666, x1, 1632, x2) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 1636, x2) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x5, x1, 1640, x2) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, -0x55555556, x1, 1644, x2) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x55555555, x1, 1648, x2) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1652, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1656, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1660, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1664, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1668, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1672, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1676, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1680, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1684, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1688, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666665, 0x5, x1, 1692, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1696, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1700, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1704, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1708, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1712, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1716, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1720, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1724, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1728, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1732, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1736, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1740, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1744, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1748, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1752, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1756, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x2, x1, 1760, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1764, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1768, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1772, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1776, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1780, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1784, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1788, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1792, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1796, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1800, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1804, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1808, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x6, x1, 1812, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1816, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1820, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x4, x1, 1824, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1828, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1832, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1836, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1840, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1844, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1848, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1852, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1856, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1860, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1864, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1868, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1872, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1876, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1880, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1884, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1888, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1892, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1896, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1900, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555555, x1, 1904, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1908, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1912, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1916, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1920, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1924, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1928, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1932, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1936, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1940, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1944, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1948, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1952, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1956, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555556, x1, 1960, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1964, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1968, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 1972, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb503, x1, 1976, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 1980, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 1984, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x6, x1, 1988, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 1992, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 1996, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x4, x1, 2000, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb504, 0xb503, x1, 2004, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 2008, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 2012, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 2016, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 2020, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 2024, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2028, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb504, x1, 2032, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 2036, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 2040, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_3) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 0, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 4, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 8, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb505, x1, 12, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 16, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 20, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 24, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x6, x1, 28, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 32, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 36, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x4, x1, 40, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0xb503, x1, 44, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 48, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 52, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 56, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 60, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x2, x1, 64, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb504, x1, 68, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 72, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 76, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 80, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x5, x1, 84, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 88, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 92, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x3, x1, 96, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 100, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 104, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 108, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 112, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666666, 0x6, x1, 116, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 120, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 124, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x4, x1, 128, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 132, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 136, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 140, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 144, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 148, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x2, x1, 152, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 156, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 160, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 164, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 168, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x5, x1, 172, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 176, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 180, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x3, x1, 184, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 188, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 192, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 196, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 200, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x6, x1, 204, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 208, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 212, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 216, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 220, x2) - -inst_584: -// rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x40000, 0x40000, -0x20000001, x1, 224, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x10000001 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x10000001, x1, 228, x2) - -inst_586: -// rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fffd, -0x3, -0x80001, x1, 232, x2) - -inst_587: -// rs2_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:-0x20001 -TEST_RR_OP(and, x12, x10, x11, 0xfffdfff6, -0xa, -0x20001, x1, 236, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_3: - .fill 60*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S deleted file mode 100644 index 3dcd009d2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S +++ /dev/null @@ -1,2850 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the andi instruction of the RISC-V E extension for the andi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",andi) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x11, imm_val == (-2**(12-1)), rs1_val == 8, rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048 -// opcode: andi ; op1:x14; dest:x11; op1val:0x8; immval:-0x800 -TEST_IMM_OP( andi, x11, x14, 0x0, 0x8, -0x800, x1, 0, x8) - -inst_1: -// rs1 == rd, rs1==x2, rd==x2, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: andi ; op1:x2; dest:x2; op1val:0x7fffffff; immval:0x2c -TEST_IMM_OP( andi, x2, x2, 0x2c, 0x7fffffff, 0x2c, x1, 4, x8) - -inst_2: -// rs1==x10, rd==x5, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 4 -// opcode: andi ; op1:x10; dest:x5; op1val:-0x40000001; immval:0x4 -TEST_IMM_OP( andi, x5, x10, 0x4, -0x40000001, 0x4, x1, 8, x8) - -inst_3: -// rs1==x9, rd==x14, rs1_val == -536870913, -// opcode: andi ; op1:x9; dest:x14; op1val:-0x20000001; immval:0x7 -TEST_IMM_OP( andi, x14, x9, 0x7, -0x20000001, 0x7, x1, 12, x8) - -inst_4: -// rs1==x12, rd==x3, rs1_val == -268435457, imm_val == 64 -// opcode: andi ; op1:x12; dest:x3; op1val:-0x10000001; immval:0x40 -TEST_IMM_OP( andi, x3, x12, 0x40, -0x10000001, 0x40, x1, 16, x8) - -inst_5: -// rs1==x4, rd==x7, rs1_val == -134217729, imm_val == -5, rs1_val < 0 and imm_val < 0 -// opcode: andi ; op1:x4; dest:x7; op1val:-0x8000001; immval:-0x5 -TEST_IMM_OP( andi, x7, x4, 0xf7fffffb, -0x8000001, -0x5, x1, 20, x8) - -inst_6: -// rs1==x6, rd==x9, rs1_val == -67108865, -// opcode: andi ; op1:x6; dest:x9; op1val:-0x4000001; immval:0x332 -TEST_IMM_OP( andi, x9, x6, 0x332, -0x4000001, 0x332, x1, 24, x8) - -inst_7: -// rs1==x7, rd==x15, rs1_val == -33554433, -// opcode: andi ; op1:x7; dest:x15; op1val:-0x2000001; immval:0x6 -TEST_IMM_OP( andi, x15, x7, 0x6, -0x2000001, 0x6, x1, 28, x9) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x15, rd==x0, rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x15; dest:x0; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x0, x15, 0, -0x1000001, 0x2, x2, 0, x9) - -inst_9: -// rs1==x3, rd==x6, rs1_val == -8388609, -// opcode: andi ; op1:x3; dest:x6; op1val:-0x800001; immval:0x2 -TEST_IMM_OP( andi, x6, x3, 0x2, -0x800001, 0x2, x2, 4, x9) - -inst_10: -// rs1==x8, rd==x10, rs1_val == -4194305, imm_val == 16 -// opcode: andi ; op1:x8; dest:x10; op1val:-0x400001; immval:0x10 -TEST_IMM_OP( andi, x10, x8, 0x10, -0x400001, 0x10, x2, 8, x9) - -inst_11: -// rs1==x5, rd==x4, rs1_val == -2097153, imm_val == -65 -// opcode: andi ; op1:x5; dest:x4; op1val:-0x200001; immval:-0x41 -TEST_IMM_OP( andi, x4, x5, 0xffdfffbf, -0x200001, -0x41, x2, 12, x9) - -inst_12: -// rs1==x13, rd==x1, rs1_val == -1048577, -// opcode: andi ; op1:x13; dest:x1; op1val:-0x100001; immval:0x6 -TEST_IMM_OP( andi, x1, x13, 0x6, -0x100001, 0x6, x2, 16, x9) - -inst_13: -// rs1==x1, rd==x8, rs1_val == -524289, -// opcode: andi ; op1:x1; dest:x8; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( andi, x8, x1, 0xfff7fffc, -0x80001, -0x4, x2, 20, x9) - -inst_14: -// rs1==x11, rd==x12, rs1_val == -262145, imm_val == (2**(12-1)-1), imm_val == 2047 -// opcode: andi ; op1:x11; dest:x12; op1val:-0x40001; immval:0x7ff -TEST_IMM_OP( andi, x12, x11, 0x7ff, -0x40001, 0x7ff, x2, 24, x9) - -inst_15: -// rs1==x0, rd==x13, rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x0; dest:x13; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x13, x0, 0x0, 0x0, 0x555, x2, 28, x1) - -inst_16: -// rs1_val == -65537, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x10001, 0x334, x2, 32, x1) - -inst_17: -// rs1_val == -32769, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x8001, 0x3, x2, 36, x1) - -inst_18: -// rs1_val == -16385, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x4001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x4001, 0x2, x2, 40, x1) - -inst_19: -// rs1_val == -8193, imm_val == 512 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2001; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x200, -0x2001, 0x200, x2, 44, x1) - -inst_20: -// rs1_val == -4097, imm_val == -1366 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffffeaaa, -0x1001, -0x556, x2, 48, x1) - -inst_21: -// rs1_val == -2049, imm_val == 8 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x801; immval:0x8 -TEST_IMM_OP( andi, x11, x10, 0x8, -0x801, 0x8, x2, 52, x1) - -inst_22: -// rs1_val == -1025, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x401; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, -0x401, 0x332, x2, 56, x1) - -inst_23: -// rs1_val == -513, imm_val == 128 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x201; immval:0x80 -TEST_IMM_OP( andi, x11, x10, 0x80, -0x201, 0x80, x2, 60, x1) - -inst_24: -// rs1_val == -257, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x101, 0x3, x2, 64, x1) - -inst_25: -// rs1_val == -129, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0x81, 0x2d, x2, 68, x1) - -inst_26: -// rs1_val == -65, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffff93, -0x41, -0x2d, x2, 72, x1) - -inst_27: -// rs1_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x21; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffffd3, -0x21, -0x2d, x2, 76, x1) - -inst_28: -// rs1_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x11; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xfffffaaa, -0x11, -0x556, x2, 80, x1) - -inst_29: -// rs1_val == -9, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x9; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x9, 0x334, x2, 84, x1) - -inst_30: -// rs1_val == -5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x5, 0x5, x2, 88, x1) - -inst_31: -// rs1_val == -3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0x3, 0x4, x2, 92, x1) - -inst_32: -// rs1_val == -2, imm_val == -1025 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0xfffffbfe, -0x2, -0x401, x2, 96, x1) - -inst_33: -// imm_val == -513, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x66666465, 0x66666665, -0x201, x2, 100, x1) - -inst_34: -// imm_val == -257, rs1_val == 33554432 -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x101 -TEST_IMM_OP( andi, x11, x10, 0x2000000, 0x2000000, -0x101, x2, 104, x1) - -inst_35: -// imm_val == -129, rs1_val == 65536 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x10000, 0x10000, -0x81, x2, 108, x1) - -inst_36: -// imm_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8; immval:-0x21 -TEST_IMM_OP( andi, x11, x10, 0x8, 0x8, -0x21, x2, 112, x1) - -inst_37: -// imm_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x11 -TEST_IMM_OP( andi, x11, x10, 0x55555546, 0x55555556, -0x11, x2, 116, x1) - -inst_38: -// imm_val == -9, rs1_val == 524288 -// opcode: andi ; op1:x10; dest:x11; op1val:0x80000; immval:-0x9 -TEST_IMM_OP( andi, x11, x10, 0x80000, 0x80000, -0x9, x2, 120, x1) - -inst_39: -// imm_val == -3, rs1_val == 1 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x1, -0x3, x2, 124, x1) - -inst_40: -// imm_val == -2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2, x2, 128, x1) - -inst_41: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: andi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x80000000, 0x0, x2, 132, x1) - -inst_42: -// rs1_val == 1073741824, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x40000000, 0x7ff, x2, 136, x1) - -inst_43: -// rs1_val == 536870912, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20000000, 0x7ff, x2, 140, x1) - -inst_44: -// rs1_val == 268435456, -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10000000, 0x7, x2, 144, x1) - -inst_45: -// rs1_val == 134217728, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000000; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x8000000, 0x200, x2, 148, x1) - -inst_46: -// rs1_val == 67108864, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x4000000, 0x4000000, -0x201, x2, 152, x1) - -inst_47: -// rs1_val == 16777216, -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000000, 0x2, x2, 156, x1) - -inst_48: -// rs1_val == 8388608, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0x800000, 0x800000, -0x401, x2, 160, x1) - -inst_49: -// rs1_val == 4194304, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x400000, 0x0, x2, 164, x1) - -inst_50: -// rs1_val == 2097152, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x200000, 0x0, x2, 168, x1) - -inst_51: -// rs1_val == 1048576, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100000; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x100000, 0x4, x2, 172, x1) - -inst_52: -// rs1_val == 262144, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x40000, 0x40000, -0x7, x2, 176, x1) - -inst_53: -// rs1_val == 131072, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000; immval:-0x5 -TEST_IMM_OP( andi, x11, x10, 0x20000, 0x20000, -0x5, x2, 180, x1) - -inst_54: -// rs1_val == 32768, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x8000, 0x8000, -0x81, x2, 184, x1) - -inst_55: -// rs1_val == 16384, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x4000, 0x4000, -0x7, x2, 188, x1) - -inst_56: -// rs1_val == 8192, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2000, 0x7ff, x2, 192, x1) - -inst_57: -// rs1_val == 4096, imm_val == 32 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000; immval:0x20 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000, 0x20, x2, 196, x1) - -inst_58: -// rs1_val == 2048, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800; immval:-0x41 -TEST_IMM_OP( andi, x11, x10, 0x800, 0x800, -0x41, x2, 200, x1) - -inst_59: -// rs1_val == 1024, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x400, 0x400, 0x666, x2, 204, x1) - -inst_60: -// rs1_val == 512, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x200, 0x200, -0x3, x2, 208, x1) - -inst_61: -// rs1_val == 256, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100; immval:-0x6 -TEST_IMM_OP( andi, x11, x10, 0x100, 0x100, -0x6, x2, 212, x1) - -inst_62: -// rs1_val == 128, -// opcode: andi ; op1:x10; dest:x11; op1val:0x80; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x80, 0x554, x2, 216, x1) - -inst_63: -// rs1_val == 64, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x40, 0x40, 0x556, x2, 220, x1) - -inst_64: -// rs1_val == 32, imm_val == 1024 -// opcode: andi ; op1:x10; dest:x11; op1val:0x20; immval:0x400 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20, 0x400, x2, 224, x1) - -inst_65: -// rs1_val == 16, imm_val == 256 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10; immval:0x100 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10, 0x100, x2, 228, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==1638 -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x666, x2, 232, x1) - -inst_67: -// rs1_val == 2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x10 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x10, x2, 236, x1) - -inst_68: -// imm_val == 1, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x1, x2, 240, x1) - -inst_69: -// rs1_val==46341 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2e, x2, 244, x1) - -inst_70: -// rs1_val==46341 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb505, -0x2c, x2, 248, x1) - -inst_71: -// rs1_val==46341 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x667, x2, 252, x1) - -inst_72: -// rs1_val==46341 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb505, 0x334, x2, 256, x1) - -inst_73: -// rs1_val==46341 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x6, x2, 260, x1) - -inst_74: -// rs1_val==46341 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb001, 0xb505, -0x555, x2, 264, x1) - -inst_75: -// rs1_val==46341 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x556, x2, 268, x1) - -inst_76: -// rs1_val==46341 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x4, x2, 272, x1) - -inst_77: -// rs1_val==46341 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2c, x2, 276, x1) - -inst_78: -// rs1_val==46341 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x0, x2, 280, x1) - -inst_79: -// rs1_val==46341 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x665, x2, 284, x1) - -inst_80: -// rs1_val==46341 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb505, 0x332, x2, 288, x1) - -inst_81: -// rs1_val==46341 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x554, x2, 292, x1) - -inst_82: -// rs1_val==46341 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x2, x2, 296, x1) - -inst_83: -// rs1_val==46341 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x2d, x2, 300, x1) - -inst_84: -// rs1_val==46341 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb501, 0xb505, -0x2d, x2, 304, x1) - -inst_85: -// rs1_val==46341 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb505, 0x666, x2, 308, x1) - -inst_86: -// rs1_val==46341 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x101, 0xb505, 0x333, x2, 312, x1) - -inst_87: -// rs1_val==46341 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x5, x2, 316, x1) - -inst_88: -// rs1_val==46341 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb505, -0x556, x2, 320, x1) - -inst_89: -// rs1_val==46341 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x505, 0xb505, 0x555, x2, 324, x1) - -inst_90: -// rs1_val==46341 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb505, 0x3, x2, 328, x1) - -inst_91: -// rs1_val==-46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2e, x2, 332, x1) - -inst_92: -// rs1_val==-46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb503, -0x2c, x2, 336, x1) - -inst_93: -// rs1_val==-46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x667, x2, 340, x1) - -inst_94: -// rs1_val==-46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb503, 0x334, x2, 344, x1) - -inst_95: -// rs1_val==-46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x6, x2, 348, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa9, -0xb503, -0x555, x2, 352, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x556, x2, 356, x1) - -inst_98: -// rs1_val==-46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x4, x2, 360, x1) - -inst_99: -// rs1_val==-46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2c, x2, 364, x1) - -inst_100: -// rs1_val==-46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x0, x2, 368, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x665, x2, 372, x1) - -inst_102: -// rs1_val==-46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb503, 0x332, x2, 376, x1) - -inst_103: -// rs1_val==-46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x554, x2, 380, x1) - -inst_104: -// rs1_val==-46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x2, x2, 384, x1) - -inst_105: -// rs1_val==-46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0xb503, 0x2d, x2, 388, x1) - -inst_106: -// rs1_val==-46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad1, -0xb503, -0x2d, x2, 392, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb503, 0x666, x2, 396, x1) - -inst_108: -// rs1_val==-46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x231, -0xb503, 0x333, x2, 400, x1) - -inst_109: -// rs1_val==-46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, -0xb503, 0x5, x2, 404, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb503, -0x556, x2, 408, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x55, -0xb503, 0x555, x2, 412, x1) - -inst_112: -// rs1_val==-46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, -0xb503, 0x3, x2, 416, x1) - -inst_113: -// rs1_val==1717986919 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666667, 0x2e, x2, 420, x1) - -inst_114: -// rs1_val==1717986919 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666667, -0x2c, x2, 424, x1) - -inst_115: -// rs1_val==1717986919 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x667, 0x66666667, 0x667, x2, 428, x1) - -inst_116: -// rs1_val==1717986919 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666667, 0x334, x2, 432, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666667, 0x6, x2, 436, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666223, 0x66666667, -0x555, x2, 440, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666667, 0x556, x2, 444, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666667, 0x4, x2, 448, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666667, 0x2c, x2, 452, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666667, 0x0, x2, 456, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666667, 0x665, x2, 460, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666667, 0x332, x2, 464, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666667, 0x554, x2, 468, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666667, 0x2, x2, 472, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666667, 0x2d, x2, 476, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666643, 0x66666667, -0x2d, x2, 480, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666667, 0x666, x2, 484, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x66666667, 0x333, x2, 488, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666667, 0x5, x2, 492, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666667, -0x556, x2, 496, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666667, 0x555, x2, 500, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x66666667, 0x3, x2, 504, x1) - -inst_135: -// rs1_val==858993460 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2e, x2, 508, x1) - -inst_136: -// rs1_val==858993460 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333314, 0x33333334, -0x2c, x2, 512, x1) - -inst_137: -// rs1_val==858993460 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x667, x2, 516, x1) - -inst_138: -// rs1_val==858993460 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, 0x33333334, 0x334, x2, 520, x1) - -inst_139: -// rs1_val==858993460 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x6, x2, 524, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x555, x2, 528, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x556, x2, 532, x1) - -inst_142: -// rs1_val==858993460 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x4, x2, 536, x1) - -inst_143: -// rs1_val==858993460 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2c, x2, 540, x1) - -inst_144: -// rs1_val==858993460 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x0, x2, 544, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x665, x2, 548, x1) - -inst_146: -// rs1_val==858993460 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x332, x2, 552, x1) - -inst_147: -// rs1_val==858993460 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x554, x2, 556, x1) - -inst_148: -// rs1_val==858993460 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x2, x2, 560, x1) - -inst_149: -// rs1_val==858993460 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2d, x2, 564, x1) - -inst_150: -// rs1_val==858993460 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333334, -0x2d, x2, 568, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x666, x2, 572, x1) - -inst_152: -// rs1_val==858993460 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x333, x2, 576, x1) - -inst_153: -// rs1_val==858993460 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x5, x2, 580, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x556, x2, 584, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x555, x2, 588, x1) - -inst_156: -// rs1_val==858993460 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x3, x2, 592, x1) - -inst_157: -// rs1_val==6 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x2e, x2, 596, x1) - -inst_158: -// rs1_val==6 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, -0x2c, x2, 600, x1) - -inst_159: -// rs1_val==6 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x667, x2, 604, x1) - -inst_160: -// rs1_val==6 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x334, x2, 608, x1) - -inst_161: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x6, x2, 612, x1) - -inst_162: -// rs1_val==6 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x555, x2, 616, x1) - -inst_163: -// rs1_val==6 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x556, x2, 620, x1) - -inst_164: -// rs1_val==6 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x4, x2, 624, x1) - -inst_165: -// rs1_val==6 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2c, x2, 628, x1) - -inst_166: -// rs1_val==6 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x6, 0x0, x2, 632, x1) - -inst_167: -// rs1_val==6 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x665, x2, 636, x1) - -inst_168: -// rs1_val==6 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x332, x2, 640, x1) - -inst_169: -// rs1_val==6 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x554, x2, 644, x1) - -inst_170: -// rs1_val==6 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x2, x2, 648, x1) - -inst_171: -// rs1_val==6 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2d, x2, 652, x1) - -inst_172: -// rs1_val==6 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x2d, x2, 656, x1) - -inst_173: -// rs1_val==6 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x666, x2, 660, x1) - -inst_174: -// rs1_val==6 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x333, x2, 664, x1) - -inst_175: -// rs1_val==6 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x5, x2, 668, x1) - -inst_176: -// rs1_val==6 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x556, x2, 672, x1) - -inst_177: -// rs1_val==6 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x555, x2, 676, x1) - -inst_178: -// rs1_val==6 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x3, x2, 680, x1) - -inst_179: -// rs1_val==-1431655765 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555555, 0x2e, x2, 684, x1) - -inst_180: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555555, -0x2c, x2, 688, x1) - -inst_181: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x667, x2, 692, x1) - -inst_182: -// rs1_val==-1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555555, 0x334, x2, 696, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x6, x2, 700, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaab, -0x55555555, -0x555, x2, 704, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x556, x2, 708, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x4, x2, 712, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555555, 0x2c, x2, 716, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x0, x2, 720, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, -0x55555555, 0x665, x2, 724, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x332, x2, 728, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x554, x2, 732, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x2, x2, 736, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x29, -0x55555555, 0x2d, x2, 740, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa83, -0x55555555, -0x2d, x2, 744, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x666, x2, 748, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x333, x2, 752, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x5, x2, 756, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555555, -0x556, x2, 760, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x555, x2, 764, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x55555555, 0x3, x2, 768, x1) - -inst_201: -// rs1_val==1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x2e, x2, 772, x1) - -inst_202: -// rs1_val==1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555556, -0x2c, x2, 776, x1) - -inst_203: -// rs1_val==1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x667, x2, 780, x1) - -inst_204: -// rs1_val==1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555556, 0x334, x2, 784, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x6, x2, 788, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x555, x2, 792, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x556, 0x55555556, 0x556, x2, 796, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x4, x2, 800, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2c, x2, 804, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555556, 0x0, x2, 808, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555556, 0x665, x2, 812, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x332, x2, 816, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x554, x2, 820, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x2, x2, 824, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2d, x2, 828, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555552, 0x55555556, -0x2d, x2, 832, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x666, x2, 836, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x333, x2, 840, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x5, x2, 844, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x556, x2, 848, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x555, x2, 852, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x3, x2, 856, x1) - -inst_223: -// rs1_val==4 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2e, x2, 860, x1) - -inst_224: -// rs1_val==4 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, -0x2c, x2, 864, x1) - -inst_225: -// rs1_val==4 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x667, x2, 868, x1) - -inst_226: -// rs1_val==4 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x334, x2, 872, x1) - -inst_227: -// rs1_val==4 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x6, x2, 876, x1) - -inst_228: -// rs1_val==4 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x555, x2, 880, x1) - -inst_229: -// rs1_val==4 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x556, x2, 884, x1) - -inst_230: -// rs1_val==4 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x4, x2, 888, x1) - -inst_231: -// rs1_val==4 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2c, x2, 892, x1) - -inst_232: -// rs1_val==4 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x0, x2, 896, x1) - -inst_233: -// rs1_val==4 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x665, x2, 900, x1) - -inst_234: -// rs1_val==4 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x332, x2, 904, x1) - -inst_235: -// rs1_val==4 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x554, x2, 908, x1) - -inst_236: -// rs1_val==4 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x2, x2, 912, x1) - -inst_237: -// rs1_val==4 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2d, x2, 916, x1) - -inst_238: -// rs1_val==4 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x2d, x2, 920, x1) - -inst_239: -// rs1_val==4 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x333, x2, 924, x1) - -inst_240: -// rs1_val==4 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x5, x2, 928, x1) - -inst_241: -// rs1_val==4 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x556, x2, 932, x1) - -inst_242: -// rs1_val==4 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x555, x2, 936, x1) - -inst_243: -// rs1_val==4 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x3, x2, 940, x1) - -inst_244: -// rs1_val==46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2e, x2, 944, x1) - -inst_245: -// rs1_val==46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb503, -0x2c, x2, 948, x1) - -inst_246: -// rs1_val==46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x403, 0xb503, 0x667, x2, 952, x1) - -inst_247: -// rs1_val==46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb503, 0x334, x2, 956, x1) - -inst_248: -// rs1_val==46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x6, x2, 960, x1) - -inst_249: -// rs1_val==46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb003, 0xb503, -0x555, x2, 964, x1) - -inst_250: -// rs1_val==46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x502, 0xb503, 0x556, x2, 968, x1) - -inst_251: -// rs1_val==46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x4, x2, 972, x1) - -inst_252: -// rs1_val==46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x2c, x2, 976, x1) - -inst_253: -// rs1_val==46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x0, x2, 980, x1) - -inst_254: -// rs1_val==46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x401, 0xb503, 0x665, x2, 984, x1) - -inst_255: -// rs1_val==46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x102, 0xb503, 0x332, x2, 988, x1) - -inst_256: -// rs1_val==46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x500, 0xb503, 0x554, x2, 992, x1) - -inst_257: -// rs1_val==46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2, x2, 996, x1) - -inst_258: -// rs1_val==46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x2d, x2, 1000, x1) - -inst_259: -// rs1_val==46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb503, 0xb503, -0x2d, x2, 1004, x1) - -inst_260: -// rs1_val==46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x402, 0xb503, 0x666, x2, 1008, x1) - -inst_261: -// rs1_val==46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x103, 0xb503, 0x333, x2, 1012, x1) - -inst_262: -// rs1_val==46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x5, x2, 1016, x1) - -inst_263: -// rs1_val==46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb002, 0xb503, -0x556, x2, 1020, x1) - -inst_264: -// rs1_val==46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x501, 0xb503, 0x555, x2, 1024, x1) - -inst_265: -// rs1_val==46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0xb503, 0x3, x2, 1028, x1) - -inst_266: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2e, x2, 1032, x1) - -inst_267: -// rs1_val==0 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2c, x2, 1036, x1) - -inst_268: -// rs1_val==0 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x667, x2, 1040, x1) - -inst_269: -// rs1_val==0 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x334, x2, 1044, x1) - -inst_270: -// rs1_val==0 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x6, x2, 1048, x1) - -inst_271: -// rs1_val==0 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x555, x2, 1052, x1) - -inst_272: -// rs1_val==0 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x556, x2, 1056, x1) - -inst_273: -// rs1_val==0 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x4, x2, 1060, x1) - -inst_274: -// rs1_val==0 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2c, x2, 1064, x1) - -inst_275: -// rs1_val==0 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x0, x2, 1068, x1) - -inst_276: -// rs1_val==0 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x665, x2, 1072, x1) - -inst_277: -// rs1_val==0 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x332, x2, 1076, x1) - -inst_278: -// rs1_val==0 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x554, x2, 1080, x1) - -inst_279: -// rs1_val==0 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2, x2, 1084, x1) - -inst_280: -// rs1_val==0 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2d, x2, 1088, x1) - -inst_281: -// rs1_val==0 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2d, x2, 1092, x1) - -inst_282: -// rs1_val==0 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x666, x2, 1096, x1) - -inst_283: -// rs1_val==0 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x333, x2, 1100, x1) - -inst_284: -// rs1_val==0 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x5, x2, 1104, x1) - -inst_285: -// rs1_val==0 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x556, x2, 1108, x1) - -inst_286: -// rs1_val==0 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x555, x2, 1112, x1) - -inst_287: -// rs1_val==0 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x3, x2, 1116, x1) - -inst_288: -// rs1_val==1717986917 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2e, x2, 1120, x1) - -inst_289: -// rs1_val==1717986917 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666665, -0x2c, x2, 1124, x1) - -inst_290: -// rs1_val==1717986917 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x667, x2, 1128, x1) - -inst_291: -// rs1_val==1717986917 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666665, 0x334, x2, 1132, x1) - -inst_292: -// rs1_val==1717986917 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x6, x2, 1136, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666221, 0x66666665, -0x555, x2, 1140, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x556, x2, 1144, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x4, x2, 1148, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2c, x2, 1152, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x0, x2, 1156, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x665, x2, 1160, x1) - -inst_299: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x555, x2, 1164, x1) - -inst_300: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x556, x2, 1168, x1) - -inst_301: -// rs1_val==-1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x4, x2, 1172, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2c, x2, 1176, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x0, x2, 1180, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x665, x2, 1184, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x332, x2, 1188, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x554, x2, 1192, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x2, x2, 1196, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2d, x2, 1200, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa82, -0x55555556, -0x2d, x2, 1204, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x666, x2, 1208, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x333, x2, 1212, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x5, x2, 1216, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x556, x2, 1220, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x555, x2, 1224, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x3, x2, 1228, x1) - -inst_316: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2e, x2, 1232, x1) - -inst_317: -// rs1_val==1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555555, -0x2c, x2, 1236, x1) - -inst_318: -// rs1_val==1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x667, x2, 1240, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555555, 0x334, x2, 1244, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x6, x2, 1248, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555001, 0x55555555, -0x555, x2, 1252, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x556, x2, 1256, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x4, x2, 1260, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2c, x2, 1264, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x0, x2, 1268, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x665, x2, 1272, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555555, 0x332, x2, 1276, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x554, x2, 1280, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x2, x2, 1284, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x2d, x2, 1288, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555551, 0x55555555, -0x2d, x2, 1292, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555555, 0x666, x2, 1296, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x55555555, 0x333, x2, 1300, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x5, x2, 1304, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555555, -0x556, x2, 1308, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, 0x55555555, 0x555, x2, 1312, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x55555555, 0x3, x2, 1316, x1) - -inst_338: -// rs1_val==3 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2e, x2, 1320, x1) - -inst_339: -// rs1_val==3 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, -0x2c, x2, 1324, x1) - -inst_340: -// rs1_val==3 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x667, x2, 1328, x1) - -inst_341: -// rs1_val==3 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x334, x2, 1332, x1) - -inst_342: -// rs1_val==3 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x6, x2, 1336, x1) - -inst_343: -// rs1_val==3 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x555, x2, 1340, x1) - -inst_344: -// rs1_val==3 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x556, x2, 1344, x1) - -inst_345: -// rs1_val==3 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x4, x2, 1348, x1) - -inst_346: -// rs1_val==3 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x2c, x2, 1352, x1) - -inst_347: -// rs1_val==3 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x0, x2, 1356, x1) - -inst_348: -// rs1_val==3 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x665, x2, 1360, x1) - -inst_349: -// rs1_val==3 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x332, x2, 1364, x1) - -inst_350: -// rs1_val==3 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x554, x2, 1368, x1) - -inst_351: -// rs1_val==3 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2, x2, 1372, x1) - -inst_352: -// rs1_val==3 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x2d, x2, 1376, x1) - -inst_353: -// rs1_val==3 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x2d, x2, 1380, x1) - -inst_354: -// rs1_val==3 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x666, x2, 1384, x1) - -inst_355: -// rs1_val==3 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x333, x2, 1388, x1) - -inst_356: -// rs1_val==3 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x5, x2, 1392, x1) - -inst_357: -// rs1_val==3 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, -0x556, x2, 1396, x1) - -inst_358: -// rs1_val==3 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x555, x2, 1400, x1) - -inst_359: -// rs1_val==3 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x3, x2, 1404, x1) - -inst_360: -// rs1_val==1717986917 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x66666665, 0x332, x2, 1408, x1) - -inst_361: -// rs1_val==1717986917 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x554, x2, 1412, x1) - -inst_362: -// rs1_val==1717986917 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x2, x2, 1416, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666665, 0x2d, x2, 1420, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666641, 0x66666665, -0x2d, x2, 1424, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666665, 0x666, x2, 1428, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x66666665, 0x333, x2, 1432, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666665, 0x5, x2, 1436, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666220, 0x66666665, -0x556, x2, 1440, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666665, 0x555, x2, 1444, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x3, x2, 1448, x1) - -inst_371: -// rs1_val==858993458 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333332, 0x2e, x2, 1452, x1) - -inst_372: -// rs1_val==858993458 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333332, -0x2c, x2, 1456, x1) - -inst_373: -// rs1_val==858993458 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x667, x2, 1460, x1) - -inst_374: -// rs1_val==858993458 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333332, 0x334, x2, 1464, x1) - -inst_375: -// rs1_val==858993458 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x6, x2, 1468, x1) - -inst_376: -// rs1_val==858993458 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x555, x2, 1472, x1) - -inst_377: -// rs1_val==858993458 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333332, 0x556, x2, 1476, x1) - -inst_378: -// rs1_val==858993458 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x4, x2, 1480, x1) - -inst_379: -// rs1_val==858993458 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2c, x2, 1484, x1) - -inst_380: -// rs1_val==858993458 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x0, x2, 1488, x1) - -inst_381: -// rs1_val==858993458 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x33333332, 0x665, x2, 1492, x1) - -inst_382: -// rs1_val==858993458 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x332, x2, 1496, x1) - -inst_383: -// rs1_val==858993458 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x554, x2, 1500, x1) - -inst_384: -// rs1_val==858993458 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x2, x2, 1504, x1) - -inst_385: -// rs1_val==858993458 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2d, x2, 1508, x1) - -inst_386: -// rs1_val==858993458 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333312, 0x33333332, -0x2d, x2, 1512, x1) - -inst_387: -// rs1_val==858993458 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x666, x2, 1516, x1) - -inst_388: -// rs1_val==858993458 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x333, x2, 1520, x1) - -inst_389: -// rs1_val==858993458 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x5, x2, 1524, x1) - -inst_390: -// rs1_val==858993458 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x556, x2, 1528, x1) - -inst_391: -// rs1_val==858993458 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x555, x2, 1532, x1) - -inst_392: -// rs1_val==858993458 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x3, x2, 1536, x1) - -inst_393: -// rs1_val==1431655764 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2e, x2, 1540, x1) - -inst_394: -// rs1_val==1431655764 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555554, -0x2c, x2, 1544, x1) - -inst_395: -// rs1_val==1431655764 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x667, x2, 1548, x1) - -inst_396: -// rs1_val==1431655764 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555554, 0x334, x2, 1552, x1) - -inst_397: -// rs1_val==1431655764 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x6, x2, 1556, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x555, x2, 1560, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x556, x2, 1564, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x4, x2, 1568, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2c, x2, 1572, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x0, x2, 1576, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x665, x2, 1580, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x332, x2, 1584, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x554, x2, 1588, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x2, x2, 1592, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2d, x2, 1596, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555550, 0x55555554, -0x2d, x2, 1600, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x666, x2, 1604, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x333, x2, 1608, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x5, x2, 1612, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x556, x2, 1616, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x555, x2, 1620, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x3, x2, 1624, x1) - -inst_415: -// rs1_val==2 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2e, x2, 1628, x1) - -inst_416: -// rs1_val==2 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, -0x2c, x2, 1632, x1) - -inst_417: -// rs1_val==2 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x667, x2, 1636, x1) - -inst_418: -// rs1_val==2 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x334, x2, 1640, x1) - -inst_419: -// rs1_val==2 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x6, x2, 1644, x1) - -inst_420: -// rs1_val==2 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x555, x2, 1648, x1) - -inst_421: -// rs1_val==2 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x556, x2, 1652, x1) - -inst_422: -// rs1_val==2 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x4, x2, 1656, x1) - -inst_423: -// rs1_val==2 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2c, x2, 1660, x1) - -inst_424: -// rs1_val==2 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x0, x2, 1664, x1) - -inst_425: -// rs1_val==2 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x665, x2, 1668, x1) - -inst_426: -// rs1_val==2 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x332, x2, 1672, x1) - -inst_427: -// rs1_val==2 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x554, x2, 1676, x1) - -inst_428: -// rs1_val==2 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2, x2, 1680, x1) - -inst_429: -// rs1_val==2 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2d, x2, 1684, x1) - -inst_430: -// rs1_val==2 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x2d, x2, 1688, x1) - -inst_431: -// rs1_val==2 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x666, x2, 1692, x1) - -inst_432: -// rs1_val==2 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x333, x2, 1696, x1) - -inst_433: -// rs1_val==2 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x5, x2, 1700, x1) - -inst_434: -// rs1_val==2 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x556, x2, 1704, x1) - -inst_435: -// rs1_val==2 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x555, x2, 1708, x1) - -inst_436: -// rs1_val==2 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x3, x2, 1712, x1) - -inst_437: -// rs1_val==46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2e, x2, 1716, x1) - -inst_438: -// rs1_val==46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb504, -0x2c, x2, 1720, x1) - -inst_439: -// rs1_val==46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x667, x2, 1724, x1) - -inst_440: -// rs1_val==46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb504, 0x334, x2, 1728, x1) - -inst_441: -// rs1_val==46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x6, x2, 1732, x1) - -inst_442: -// rs1_val==46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x555, x2, 1736, x1) - -inst_443: -// rs1_val==46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x556, x2, 1740, x1) - -inst_444: -// rs1_val==46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x4, x2, 1744, x1) - -inst_445: -// rs1_val==46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2c, x2, 1748, x1) - -inst_446: -// rs1_val==46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x0, x2, 1752, x1) - -inst_447: -// rs1_val==46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x665, x2, 1756, x1) - -inst_448: -// rs1_val==46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x332, x2, 1760, x1) - -inst_449: -// rs1_val==46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x554, x2, 1764, x1) - -inst_450: -// rs1_val==46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x2, x2, 1768, x1) - -inst_451: -// rs1_val==46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2d, x2, 1772, x1) - -inst_452: -// rs1_val==46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb504, -0x2d, x2, 1776, x1) - -inst_453: -// rs1_val==46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x666, x2, 1780, x1) - -inst_454: -// rs1_val==46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x333, x2, 1784, x1) - -inst_455: -// rs1_val==46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x5, x2, 1788, x1) - -inst_456: -// rs1_val==46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x556, x2, 1792, x1) - -inst_457: -// rs1_val==46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x555, x2, 1796, x1) - -inst_458: -// rs1_val==46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x3, x2, 1800, x1) - -inst_459: -// rs1_val==-46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2e, x2, 1804, x1) - -inst_460: -// rs1_val==-46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb504, -0x2c, x2, 1808, x1) - -inst_461: -// rs1_val==-46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x667, x2, 1812, x1) - -inst_462: -// rs1_val==-46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb504, 0x334, x2, 1816, x1) - -inst_463: -// rs1_val==-46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x6, x2, 1820, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x555, x2, 1824, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x556, x2, 1828, x1) - -inst_466: -// rs1_val==-46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x4, x2, 1832, x1) - -inst_467: -// rs1_val==-46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2c, x2, 1836, x1) - -inst_468: -// rs1_val==-46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x0, x2, 1840, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x665, x2, 1844, x1) - -inst_470: -// rs1_val==-46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x332, x2, 1848, x1) - -inst_471: -// rs1_val==-46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x554, x2, 1852, x1) - -inst_472: -// rs1_val==-46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x2, x2, 1856, x1) - -inst_473: -// rs1_val==-46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2d, x2, 1860, x1) - -inst_474: -// rs1_val==-46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad0, -0xb504, -0x2d, x2, 1864, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x666, x2, 1868, x1) - -inst_476: -// rs1_val==-46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x333, x2, 1872, x1) - -inst_477: -// rs1_val==-46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x5, x2, 1876, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x556, x2, 1880, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x555, x2, 1884, x1) - -inst_480: -// rs1_val==-46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x3, x2, 1888, x1) - -inst_481: -// rs1_val==1717986918 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666666, 0x2e, x2, 1892, x1) - -inst_482: -// rs1_val==1717986918 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666666, -0x2c, x2, 1896, x1) - -inst_483: -// rs1_val==1717986918 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x667, x2, 1900, x1) - -inst_484: -// rs1_val==1717986918 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666666, 0x334, x2, 1904, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666666, 0x6, x2, 1908, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x555, x2, 1912, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666666, 0x556, x2, 1916, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x4, x2, 1920, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2c, x2, 1924, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666666, 0x0, x2, 1928, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666666, 0x665, x2, 1932, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x332, x2, 1936, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x554, x2, 1940, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x2, x2, 1944, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2d, x2, 1948, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666642, 0x66666666, -0x2d, x2, 1952, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x666, x2, 1956, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x333, x2, 1960, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x5, x2, 1964, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x556, x2, 1968, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x555, x2, 1972, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x3, x2, 1976, x1) - -inst_503: -// rs1_val==858993459 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333333, 0x2e, x2, 1980, x1) - -inst_504: -// rs1_val==858993459 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333333, -0x2c, x2, 1984, x1) - -inst_505: -// rs1_val==858993459 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x33333333, 0x667, x2, 1988, x1) - -inst_506: -// rs1_val==858993459 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333333, 0x334, x2, 1992, x1) - -inst_507: -// rs1_val==858993459 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x6, x2, 1996, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333223, 0x33333333, -0x555, x2, 2000, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333333, 0x556, x2, 2004, x1) - -inst_510: -// rs1_val==858993459 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x4, x2, 2008, x1) - -inst_511: -// rs1_val==858993459 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333333, 0x2c, x2, 2012, x1) - -inst_512: -// rs1_val==858993459 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x0, x2, 2016, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x33333333, 0x665, x2, 2020, x1) - -inst_514: -// rs1_val==858993459 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333333, 0x332, x2, 2024, x1) - -inst_515: -// rs1_val==858993459 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333333, 0x554, x2, 2028, x1) - -inst_516: -// rs1_val==858993459 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x2, x2, 2032, x1) - -inst_517: -// rs1_val==858993459 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x21, 0x33333333, 0x2d, x2, 2036, x1) - -inst_518: -// rs1_val==858993459 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333313, 0x33333333, -0x2d, x2, 2040, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333333, 0x666, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==858993459 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x333, 0x33333333, 0x333, x2, 0, x1) - -inst_521: -// rs1_val==858993459 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x33333333, 0x5, x2, 4, x1) - -inst_522: -// rs1_val==858993459 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333333, -0x556, x2, 8, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x33333333, 0x555, x2, 12, x1) - -inst_524: -// rs1_val==858993459 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x33333333, 0x3, x2, 16, x1) - -inst_525: -// rs1_val==5 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2e, x2, 20, x1) - -inst_526: -// rs1_val==5 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2c, x2, 24, x1) - -inst_527: -// rs1_val==5 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x667, x2, 28, x1) - -inst_528: -// rs1_val==5 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x334, x2, 32, x1) - -inst_529: -// rs1_val==5 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x6, x2, 36, x1) - -inst_530: -// rs1_val==5 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x555, x2, 40, x1) - -inst_531: -// rs1_val==5 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x556, x2, 44, x1) - -inst_532: -// rs1_val==5 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x4, x2, 48, x1) - -inst_533: -// rs1_val==5 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2c, x2, 52, x1) - -inst_534: -// rs1_val==5 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x0, x2, 56, x1) - -inst_535: -// rs1_val==5 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x665, x2, 60, x1) - -inst_536: -// rs1_val==5 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x332, x2, 64, x1) - -inst_537: -// rs1_val==5 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x554, x2, 68, x1) - -inst_538: -// rs1_val==5 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x2, x2, 72, x1) - -inst_539: -// rs1_val==5 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x2d, x2, 76, x1) - -inst_540: -// rs1_val==5 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x2d, x2, 80, x1) - -inst_541: -// rs1_val==5 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x666, x2, 84, x1) - -inst_542: -// rs1_val==5 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x333, x2, 88, x1) - -inst_543: -// rs1_val==5 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x5, x2, 92, x1) - -inst_544: -// rs1_val==5 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, -0x556, x2, 96, x1) - -inst_545: -// rs1_val==5 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x555, x2, 100, x1) - -inst_546: -// rs1_val==5 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x3, x2, 104, x1) - -inst_547: -// rs1_val==-1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555556, 0x2e, x2, 108, x1) - -inst_548: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555556, -0x2c, x2, 112, x1) - -inst_549: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x667, x2, 116, x1) - -inst_550: -// rs1_val==-1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x334, x2, 120, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x6, x2, 124, x1) - -inst_552: -// rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x1000001, 0x2, x2, 128, x1) - -inst_553: -// rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x20001; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, -0x20001, 0x555, x2, 132, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 34*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S deleted file mode 100644 index 87eed4cd9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S +++ /dev/null @@ -1,390 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the auipc instruction of the RISC-V E extension for the auipc covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",auipc) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rd==x9, imm_val == ((2**20)-1), imm_val > 0 -// opcode: auipc ; dest:x9; immval:0xfffff -TEST_AUIPC(auipc, x9, -0x1000, 0xfffff, x2, 0, x3) - -inst_1: -// rd==x6, imm_val == 524287, -// opcode: auipc ; dest:x6; immval:0x7ffff -TEST_AUIPC(auipc, x6, 0x7ffff000, 0x7ffff, x2, 4, x3) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: auipc ; dest:x1; immval:0xbffff -TEST_AUIPC(auipc, x1, -0x40001000, 0xbffff, x2, 8, x3) - -inst_3: -// rd==x5, imm_val == 917503, -// opcode: auipc ; dest:x5; immval:0xdffff -TEST_AUIPC(auipc, x5, -0x20001000, 0xdffff, x2, 12, x3) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: auipc ; dest:x14; immval:0xeffff -TEST_AUIPC(auipc, x14, -0x10001000, 0xeffff, x2, 16, x3) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: auipc ; dest:x7; immval:0xf7fff -TEST_AUIPC(auipc, x7, -0x8001000, 0xf7fff, x2, 20, x3) - -inst_6: -// rd==x15, imm_val == 1032191, -// opcode: auipc ; dest:x15; immval:0xfbfff -TEST_AUIPC(auipc, x15, -0x4001000, 0xfbfff, x2, 24, x3) - -inst_7: -// rd==x10, imm_val == 1040383, -// opcode: auipc ; dest:x10; immval:0xfdfff -TEST_AUIPC(auipc, x10, -0x2001000, 0xfdfff, x2, 28, x3) - -inst_8: -// rd==x12, imm_val == 1044479, -// opcode: auipc ; dest:x12; immval:0xfefff -TEST_AUIPC(auipc, x12, -0x1001000, 0xfefff, x2, 32, x3) - -inst_9: -// rd==x0, imm_val == 1046527, -// opcode: auipc ; dest:x0; immval:0xff7ff -TEST_AUIPC(auipc, x0, 0, 0xff7ff, x2, 36, x3) - -inst_10: -// rd==x8, imm_val == 1047551, -// opcode: auipc ; dest:x8; immval:0xffbff -TEST_AUIPC(auipc, x8, -0x401000, 0xffbff, x2, 40, x3) - -inst_11: -// rd==x4, imm_val == 1048063, -// opcode: auipc ; dest:x4; immval:0xffdff -TEST_AUIPC(auipc, x4, -0x201000, 0xffdff, x2, 44, x3) - -inst_12: -// rd==x13, imm_val == 1048319, -// opcode: auipc ; dest:x13; immval:0xffeff -TEST_AUIPC(auipc, x13, -0x101000, 0xffeff, x2, 48, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x3, imm_val == 1048447, -// opcode: auipc ; dest:x3; immval:0xfff7f -TEST_AUIPC(auipc, x3, -0x81000, 0xfff7f, x1, 0, x4) - -inst_14: -// rd==x11, imm_val == 1048511, -// opcode: auipc ; dest:x11; immval:0xfffbf -TEST_AUIPC(auipc, x11, -0x41000, 0xfffbf, x1, 4, x4) - -inst_15: -// rd==x2, imm_val == 1048543, -// opcode: auipc ; dest:x2; immval:0xfffdf -TEST_AUIPC(auipc, x2, -0x21000, 0xfffdf, x1, 8, x4) - -inst_16: -// imm_val == 1048559, -// opcode: auipc ; dest:x10; immval:0xfffef -TEST_AUIPC(auipc, x10, -0x11000, 0xfffef, x1, 12, x4) - -inst_17: -// imm_val == 1048567, -// opcode: auipc ; dest:x10; immval:0xffff7 -TEST_AUIPC(auipc, x10, -0x9000, 0xffff7, x1, 16, x4) - -inst_18: -// imm_val == 1048571, -// opcode: auipc ; dest:x10; immval:0xffffb -TEST_AUIPC(auipc, x10, -0x5000, 0xffffb, x1, 20, x4) - -inst_19: -// imm_val == 1048573, -// opcode: auipc ; dest:x10; immval:0xffffd -TEST_AUIPC(auipc, x10, -0x3000, 0xffffd, x1, 24, x4) - -inst_20: -// imm_val == 1048574, -// opcode: auipc ; dest:x10; immval:0xffffe -TEST_AUIPC(auipc, x10, -0x2000, 0xffffe, x1, 28, x4) - -inst_21: -// imm_val == 524288, -// opcode: auipc ; dest:x10; immval:0x80000 -TEST_AUIPC(auipc, x10, -0x80000000, 0x80000, x1, 32, x4) - -inst_22: -// imm_val == 262144, -// opcode: auipc ; dest:x10; immval:0x40000 -TEST_AUIPC(auipc, x10, 0x40000000, 0x40000, x1, 36, x4) - -inst_23: -// imm_val == 131072, -// opcode: auipc ; dest:x10; immval:0x20000 -TEST_AUIPC(auipc, x10, 0x20000000, 0x20000, x1, 40, x4) - -inst_24: -// imm_val == 65536, -// opcode: auipc ; dest:x10; immval:0x10000 -TEST_AUIPC(auipc, x10, 0x10000000, 0x10000, x1, 44, x4) - -inst_25: -// imm_val == 32768, -// opcode: auipc ; dest:x10; immval:0x8000 -TEST_AUIPC(auipc, x10, 0x8000000, 0x8000, x1, 48, x4) - -inst_26: -// imm_val == 16384, -// opcode: auipc ; dest:x10; immval:0x4000 -TEST_AUIPC(auipc, x10, 0x4000000, 0x4000, x1, 52, x4) - -inst_27: -// imm_val == 8192, -// opcode: auipc ; dest:x10; immval:0x2000 -TEST_AUIPC(auipc, x10, 0x2000000, 0x2000, x1, 56, x4) - -inst_28: -// imm_val == 4096, -// opcode: auipc ; dest:x10; immval:0x1000 -TEST_AUIPC(auipc, x10, 0x1000000, 0x1000, x1, 60, x4) - -inst_29: -// imm_val == 2048, -// opcode: auipc ; dest:x10; immval:0x800 -TEST_AUIPC(auipc, x10, 0x800000, 0x800, x1, 64, x4) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: auipc ; dest:x10; immval:0x400 -TEST_AUIPC(auipc, x10, 0x400000, 0x400, x1, 68, x4) - -inst_31: -// imm_val == 512, -// opcode: auipc ; dest:x10; immval:0x200 -TEST_AUIPC(auipc, x10, 0x200000, 0x200, x1, 72, x4) - -inst_32: -// imm_val == 256, -// opcode: auipc ; dest:x10; immval:0x100 -TEST_AUIPC(auipc, x10, 0x100000, 0x100, x1, 76, x4) - -inst_33: -// imm_val == 128, -// opcode: auipc ; dest:x10; immval:0x80 -TEST_AUIPC(auipc, x10, 0x80000, 0x80, x1, 80, x4) - -inst_34: -// imm_val == 64, -// opcode: auipc ; dest:x10; immval:0x40 -TEST_AUIPC(auipc, x10, 0x40000, 0x40, x1, 84, x4) - -inst_35: -// imm_val == 32, -// opcode: auipc ; dest:x10; immval:0x20 -TEST_AUIPC(auipc, x10, 0x20000, 0x20, x1, 88, x4) - -inst_36: -// imm_val == 16, -// opcode: auipc ; dest:x10; immval:0x10 -TEST_AUIPC(auipc, x10, 0x10000, 0x10, x1, 92, x4) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: auipc ; dest:x10; immval:0x55555 -TEST_AUIPC(auipc, x10, 0x55555000, 0x55555, x1, 96, x4) - -inst_38: -// imm_val==3, -// opcode: auipc ; dest:x10; immval:0x3 -TEST_AUIPC(auipc, x10, 0x3000, 0x3, x1, 100, x4) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: auipc ; dest:x10; immval:0xaaaaa -TEST_AUIPC(auipc, x10, -0x55556000, 0xaaaaa, x1, 104, x4) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: auipc ; dest:x10; immval:0x0 -TEST_AUIPC(auipc, x10, 0x0, 0x0, x1, 108, x4) - -inst_41: -// imm_val == 8, -// opcode: auipc ; dest:x10; immval:0x8 -TEST_AUIPC(auipc, x10, 0x8000, 0x8, x1, 112, x4) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: auipc ; dest:x10; immval:0x4 -TEST_AUIPC(auipc, x10, 0x4000, 0x4, x1, 116, x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: auipc ; dest:x10; immval:0x2 -TEST_AUIPC(auipc, x10, 0x2000, 0x2, x1, 120, x4) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: auipc ; dest:x10; immval:0x1 -TEST_AUIPC(auipc, x10, 0x1000, 0x1, x1, 124, x4) - -inst_45: -// imm_val==725, -// opcode: auipc ; dest:x10; immval:0x2d5 -TEST_AUIPC(auipc, x10, 0x2d5000, 0x2d5, x1, 128, x4) - -inst_46: -// imm_val==419431, -// opcode: auipc ; dest:x10; immval:0x66667 -TEST_AUIPC(auipc, x10, 0x66667000, 0x66667, x1, 132, x4) - -inst_47: -// imm_val==209716, -// opcode: auipc ; dest:x10; immval:0x33334 -TEST_AUIPC(auipc, x10, 0x33334000, 0x33334, x1, 136, x4) - -inst_48: -// imm_val==6, -// opcode: auipc ; dest:x10; immval:0x6 -TEST_AUIPC(auipc, x10, 0x6000, 0x6, x1, 140, x4) - -inst_49: -// imm_val==699051, -// opcode: auipc ; dest:x10; immval:0xaaaab -TEST_AUIPC(auipc, x10, -0x55555000, 0xaaaab, x1, 144, x4) - -inst_50: -// imm_val==349526, -// opcode: auipc ; dest:x10; immval:0x55556 -TEST_AUIPC(auipc, x10, 0x55556000, 0x55556, x1, 148, x4) - -inst_51: -// imm_val==1022, -// opcode: auipc ; dest:x10; immval:0x3fe -TEST_AUIPC(auipc, x10, 0x3fe000, 0x3fe, x1, 152, x4) - -inst_52: -// imm_val==723, -// opcode: auipc ; dest:x10; immval:0x2d3 -TEST_AUIPC(auipc, x10, 0x2d3000, 0x2d3, x1, 156, x4) - -inst_53: -// imm_val==419429, -// opcode: auipc ; dest:x10; immval:0x66665 -TEST_AUIPC(auipc, x10, 0x66665000, 0x66665, x1, 160, x4) - -inst_54: -// imm_val==209714, -// opcode: auipc ; dest:x10; immval:0x33332 -TEST_AUIPC(auipc, x10, 0x33332000, 0x33332, x1, 164, x4) - -inst_55: -// imm_val==699049, -// opcode: auipc ; dest:x10; immval:0xaaaa9 -TEST_AUIPC(auipc, x10, -0x55557000, 0xaaaa9, x1, 168, x4) - -inst_56: -// imm_val==349524, -// opcode: auipc ; dest:x10; immval:0x55554 -TEST_AUIPC(auipc, x10, 0x55554000, 0x55554, x1, 172, x4) - -inst_57: -// imm_val==1023, -// opcode: auipc ; dest:x10; immval:0x3ff -TEST_AUIPC(auipc, x10, 0x3ff000, 0x3ff, x1, 176, x4) - -inst_58: -// imm_val==724, -// opcode: auipc ; dest:x10; immval:0x2d4 -TEST_AUIPC(auipc, x10, 0x2d4000, 0x2d4, x1, 180, x4) - -inst_59: -// imm_val==419430, -// opcode: auipc ; dest:x10; immval:0x66666 -TEST_AUIPC(auipc, x10, 0x66666000, 0x66666, x1, 184, x4) - -inst_60: -// imm_val==209715, -// opcode: auipc ; dest:x10; immval:0x33333 -TEST_AUIPC(auipc, x10, 0x33333000, 0x33333, x1, 188, x4) - -inst_61: -// imm_val==5, -// opcode: auipc ; dest:x10; immval:0x5 -TEST_AUIPC(auipc, x10, 0x5000, 0x5, x1, 192, x4) - -inst_62: -// imm_val == 1046527, -// opcode: auipc ; dest:x10; immval:0xff7ff -TEST_AUIPC(auipc, x10, -0x801000, 0xff7ff, x1, 196, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 50*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S deleted file mode 100644 index a8a75c632..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S +++ /dev/null @@ -1,3030 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the beq instruction of the RISC-V E extension for the beq covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",beq) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 == rs2, rs1==x6, rs2==x6, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x6; op2:x6; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x13, x6, x6, -0x2000001, -0x2000001, 0x8, 3f, x5, 0,0) - -inst_1: -// rs1 != rs2, rs1==x2, rs2==x12, rs2_val == 2147483647, -// opcode: beq, op1:x2; op2:x12; op1val:0x0; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x13, x2, x12, 0x0, 0x7fffffff, 0x0, 3f, x5, 4,0) - -inst_2: -// rs1==x10, rs2==x7, rs2_val == -1073741825, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1431655766 -// opcode: beq, op1:x10; op2:x7; op1val:-0x55555556; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x10, x7, -0x55555556, -0x40000001, 0x4, 1b, x5, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == -536870913, rs1_val == 2147483647, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x7; op2:x11; op1val:0x7fffffff; op2val:-0x20000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x7, x11, 0x7fffffff, -0x20000001, 0x100, 3f, x5, 12,0) - -inst_4: -// rs1==x9, rs2==x14, rs2_val == -268435457, -// opcode: beq, op1:x9; op2:x14; op1val:0x3fffffff; op2val:-0x10000001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x13, x9, x14, 0x3fffffff, -0x10000001, 0x6, 1b, x5, 16,0) - -inst_5: -// rs1==x8, rs2==x2, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x8; op2:x2; op1val:-0xa; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x8, x2, -0xa, -0x8000001, 0x4, 1b, x5, 20,0) - -inst_6: -// rs1==x12, rs2==x1, rs2_val == -33554433, -// opcode: beq, op1:x12; op2:x1; op1val:0xb504; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x13, x12, x1, 0xb504, -0x2000001, 0x2, 3f, x5, 24,0) - -inst_7: -// rs1==x3, rs2==x4, rs2_val == -16777217, rs1_val == -2097153 -// opcode: beq, op1:x3; op2:x4; op1val:-0x200001; op2val:-0x1000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x3, x4, -0x200001, -0x1000001, 0x100, 3f, x5, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x0, rs2==x13, rs2_val == -8388609, -// opcode: beq, op1:x0; op2:x13; op1val:0x0; op2val:-0x800001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x6, x0, x13, 0x0, -0x800001, 0x0, 3f, x2, 0,0) - -inst_9: -// rs1==x14, rs2==x5, rs2_val == -4194305, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -268435457 -// opcode: beq, op1:x14; op2:x5; op1val:-0x10000001; op2val:-0x400001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x14, x5, -0x10000001, -0x400001, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x15, rs2==x9, rs2_val == -2097153, rs1_val == 4 -// opcode: beq, op1:x15; op2:x9; op1val:0x4; op2val:-0x200001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x6, x15, x9, 0x4, -0x200001, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x5, rs2==x15, rs2_val == -1048577, rs1_val == 512 -// opcode: beq, op1:x5; op2:x15; op1val:0x200; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x5, x15, 0x200, -0x100001, 0x4, 1b, x2, 12,0) - -inst_12: -// rs1==x4, rs2==x0, rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x4; op2:x0; op1val:-0x20000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x6, x4, x0, -0x20000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_13: -// rs1==x1, rs2==x8, rs2_val == -262145, rs1_val == -65 -// opcode: beq, op1:x1; op2:x8; op1val:-0x41; op2val:-0x40001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x6, x1, x8, -0x41, -0x40001, 0x10, 3f, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x3, rs2_val == -131073, -// opcode: beq, op1:x11; op2:x3; op1val:0x4; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x11, x3, 0x4, -0x20001, 0x4, 3f, x2, 24,0) - -inst_15: -// rs1==x13, rs2==x10, rs2_val == -65537, rs1_val == -8193 -// opcode: beq, op1:x13; op2:x10; op1val:-0x2001; op2val:-0x10001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x13, x10, -0x2001, -0x10001, 0x4, 3f, x2, 28,0) - -inst_16: -// rs2_val == -32769, rs1_val == 32 -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:-0x8001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, -0x8001, 0x6, 1b, x2, 32,0) - -inst_17: -// rs2_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4; op2val:-0x4001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4, -0x4001, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == -8193, -// opcode: beq, op1:x10; op2:x11; op1val:0x200; op2val:-0x2001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200, -0x2001, 0x20, 3f, x2, 40,0) - -inst_19: -// rs2_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x6; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x6, -0x1001, 0x400, 1b, x2, 44,0) - -inst_20: -// rs2_val == -2049, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, -0x801, 0xa, 1b, x2, 48,0) - -inst_21: -// rs2_val == -1025, rs1_val == -129 -// opcode: beq, op1:x10; op2:x11; op1val:-0x81; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x81, -0x401, 0x8, 1b, x2, 52,0) - -inst_22: -// rs2_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x201, 0x4, 1b, x2, 56,0) - -inst_23: -// rs2_val == -257, rs1_val == -3 -// opcode: beq, op1:x10; op2:x11; op1val:-0x3; op2val:-0x101; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x3, -0x101, 0x2, 3f, x2, 60,0) - -inst_24: -// rs2_val == -129, rs1_val == 8192 -// opcode: beq, op1:x10; op2:x11; op1val:0x2000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000, -0x81, 0x4, 1b, x2, 64,0) - -inst_25: -// rs2_val == -65, -// opcode: beq, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3fffffff, -0x41, 0x400, 3f, x2, 68,0) - -inst_26: -// rs2_val == -33, rs1_val == -262145 -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x21; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x21, 0x400, 1b, x2, 72,0) - -inst_27: -// rs2_val == -17, rs1_val == -33 -// opcode: beq, op1:x10; op2:x11; op1val:-0x21; op2val:-0x11; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x21, -0x11, 0x556, 1b, x2, 76,0) - -inst_28: -// rs2_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x7fffffff, -0x9, 0x4, 3f, x2, 80,0) - -inst_29: -// rs2_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x5; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x5, 0x80, 3f, x2, 84,0) - -inst_30: -// rs2_val == -3, rs1_val == 1 -// opcode: beq, op1:x10; op2:x11; op1val:0x1; op2val:-0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1, -0x3, 0x4, 1b, x2, 88,0) - -inst_31: -// rs2_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x2, 0xa, 1b, x2, 92,0) - -inst_32: -// rs1_val == -1073741825, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40000001, 0x6, 0x556, 1b, x2, 96,0) - -inst_33: -// rs1_val == -134217729, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, -0x10000001, 0x8, 3f, x2, 100,0) - -inst_34: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x4000001; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4000001, 0xb504, 0x8, 3f, x2, 104,0) - -inst_35: -// rs1_val == -16777217, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x1000001; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1000001, -0x1000001, 0x200, 3f, x2, 108,0) - -inst_36: -// rs1_val == -8388609, -// opcode: beq, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x800001, -0x9, 0x2, 1b, x2, 112,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 128 -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x80, 0x400, 1b, x2, 116,0) - -inst_38: -// rs1_val == -1048577, -// opcode: beq, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x100001, -0x55555555, 0x0, 1b, x2, 120,0) - -inst_39: -// rs1_val == -524289, rs2_val == 131072 -// opcode: beq, op1:x10; op2:x11; op1val:-0x80001; op2val:0x20000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80001, 0x20000, 0x0, 1b, x2, 124,0) - -inst_40: -// rs1_val == -131073, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x66666667, 0x400, 1b, x2, 128,0) - -inst_41: -// rs1_val == -65537, -// opcode: beq, op1:x10; op2:x11; op1val:-0x10001; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x10001, 0x33333334, 0x6, 1b, x2, 132,0) - -inst_42: -// rs1_val == -32769, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x0, 0x6, 1b, x2, 136,0) - -inst_43: -// rs1_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x1001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4001, -0x1001, 0x0, 3f, x2, 140,0) - -inst_44: -// rs1_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x800001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1001, -0x800001, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == -2049, rs2_val == 2 -// opcode: beq, op1:x10; op2:x11; op1val:-0x801; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x801, 0x2, 0xa, 1b, x2, 148,0) - -inst_46: -// rs1_val == -1025, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:-0x81; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, -0x81, 0x80, 3f, x2, 152,0) - -inst_47: -// rs1_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:-0x201; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x201, -0x401, 0x8, 1b, x2, 156,0) - -inst_48: -// rs1_val == -257, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0xb503, 0x8, 1b, x2, 160,0) - -inst_49: -// rs1_val == -17, -// opcode: beq, op1:x10; op2:x11; op1val:-0x11; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x11, -0xb503, 0x6, 3f, x2, 164,0) - -inst_50: -// rs1_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:-0x9; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x9, -0xb504, 0x556, 1b, x2, 168,0) - -inst_51: -// rs1_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x55555554, 0x8, 3f, x2, 172,0) - -inst_52: -// rs1_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x2; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2, -0x801, 0xa, 1b, x2, 176,0) - -inst_53: -// rs2_val == -2147483648, rs1_val == 32768 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000; op2val:-0x80000000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000, -0x80000000, 0x0, 1b, x2, 180,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x40000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x40000000, 0x6, 3f, x2, 184,0) - -inst_55: -// rs2_val == 536870912, rs1_val == 1431655765, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x20000000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x20000000, 0x200, 3f, x2, 188,0) - -inst_56: -// rs2_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x10000000; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x10000000, 0x10, 3f, x2, 192,0) - -inst_57: -// rs2_val == 134217728, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x8000000, 0x40, 3f, x2, 196,0) - -inst_58: -// rs2_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, 0x4000000, 0x4, 3f, x2, 200,0) - -inst_59: -// rs2_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x9, 0x2000000, 0x100, 3f, x2, 204,0) - -inst_60: -// rs2_val == 16777216, rs1_val == 134217728 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000000, 0x1000000, 0x100, 3f, x2, 208,0) - -inst_61: -// rs2_val == 8388608, rs1_val == 8388608 -// opcode: beq, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800000, 0x800000, 0x200, 3f, x2, 212,0) - -inst_62: -// rs2_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x400000, 0x0, 3f, x2, 216,0) - -inst_63: -// rs2_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x200000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x200000, 0x4, 1b, x2, 220,0) - -inst_64: -// rs2_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, 0x100000, 0x556, 1b, x2, 224,0) - -inst_65: -// rs2_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:0x80000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, 0x80000, 0x400, 1b, x2, 228,0) - -inst_66: -// rs2_val == 262144, rs1_val == 256 -// opcode: beq, op1:x10; op2:x11; op1val:0x100; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100, 0x40000, 0x0, 1b, x2, 232,0) - -inst_67: -// rs2_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:-0xa; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xa, 0x10000, 0x0, 3f, x2, 236,0) - -inst_68: -// rs2_val == 32768, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x8000, 0xa, 1b, x2, 240,0) - -inst_69: -// rs2_val == 16384, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, 0x4000, 0x40, 3f, x2, 244,0) - -inst_70: -// rs2_val == 8192, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x2000, 0x6, 3f, x2, 248,0) - -inst_71: -// rs2_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x1000, 0x400, 1b, x2, 252,0) - -inst_72: -// rs2_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x800; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x800, 0x4, 1b, x2, 256,0) - -inst_73: -// rs2_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x400, 0x10, 3f, x2, 260,0) - -inst_74: -// rs2_val == 512, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0x200, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 256, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x100; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x100, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x40; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x40, 0x40, 3f, x2, 272,0) - -inst_77: -// rs2_val == 32, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x20, 0x2, 3f, x2, 276,0) - -inst_78: -// rs2_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:-0x200001; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x200001, 0x10, 0x200, 3f, x2, 280,0) - -inst_79: -// rs2_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x8; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x8, 0x6, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 16384 -// opcode: beq, op1:x10; op2:x11; op1val:0x4000; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000, 0x4, 0x200, 3f, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x1, 0x6, 3f, x2, 292,0) - -inst_82: -// rs1_val == -2147483648, -// opcode: beq, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80000000, 0x40000000, 0x2, 3f, x2, 296,0) - -inst_83: -// rs1_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x81; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000000, -0x81, 0xa, 1b, x2, 300,0) - -inst_84: -// rs1_val == 536870912, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000000, -0x40000001, 0x4, 3f, x2, 304,0) - -inst_85: -// rs1_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x40000001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000000, -0x40000001, 0x0, 3f, x2, 308,0) - -inst_86: -// rs1_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x8; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000000, -0x8, 0x2, 1b, x2, 312,0) - -inst_87: -// rs1_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x2000000; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000000, 0x10, 0x100, 3f, x2, 316,0) - -inst_88: -// rs1_val == 16777216, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000000; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000000, 0x6, 0x0, 1b, x2, 320,0) - -inst_89: -// rs1_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x400000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400000, 0x66666666, 0x6, 3f, x2, 324,0) - -inst_90: -// rs1_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:0x200000; op2val:-0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200000, -0x2, 0x80, 3f, x2, 328,0) - -inst_91: -// rs1_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:0x100000; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100000, 0x66666667, 0xa, 1b, x2, 332,0) - -inst_92: -// rs1_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:0x80000; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80000, -0x101, 0x6, 3f, x2, 336,0) - -inst_93: -// rs1_val == 262144, rs2_val == 1431655765 -// opcode: beq, op1:x10; op2:x11; op1val:0x40000; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000, 0x55555555, 0x6, 3f, x2, 340,0) - -inst_94: -// rs1_val == 131072, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000; op2val:-0x10000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000, -0x10000001, 0x4, 3f, x2, 344,0) - -inst_95: -// rs1_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000, -0x55555555, 0xa, 1b, x2, 348,0) - -inst_96: -// rs1_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000, 0x66666665, 0x4, 1b, x2, 352,0) - -inst_97: -// rs1_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:0x800; op2val:-0x200001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800, -0x200001, 0x8, 3f, x2, 356,0) - -inst_98: -// rs1_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:0x400; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400, 0x3, 0x8, 3f, x2, 360,0) - -inst_99: -// rs1_val == 128, -// opcode: beq, op1:x10; op2:x11; op1val:0x80; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80, 0x2000000, 0x6, 1b, x2, 364,0) - -inst_100: -// rs1_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0x40; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40, 0x3, 0x8, 1b, x2, 368,0) - -inst_101: -// rs1_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:0x10; op2val:-0x80000000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10, -0x80000000, 0x556, 1b, x2, 372,0) - -inst_102: -// rs1_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x8; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8, 0x33333332, 0x2, 1b, x2, 376,0) - -inst_103: -// rs1_val == 2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x7; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x7, 0x100, 3f, x2, 380,0) - -inst_104: -// rs1_val==46341 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb505, 0x4, 3f, x2, 384,0) - -inst_105: -// rs1_val==46341 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb503, 0x400, 1b, x2, 388,0) - -inst_106: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666667, 0x2, 1b, x2, 392,0) - -inst_107: -// rs1_val==46341 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333334, 0x40, 3f, x2, 396,0) - -inst_108: -// rs1_val==46341 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x6, 0x2, 3f, x2, 400,0) - -inst_109: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555555, 0x10, 3f, x2, 404,0) - -inst_110: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555556, 0x40, 3f, x2, 408,0) - -inst_111: -// rs1_val==46341 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x4, 0x10, 3f, x2, 412,0) - -inst_112: -// rs1_val==46341 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb503, 0x6, 3f, x2, 416,0) - -inst_113: -// rs1_val==46341 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x0, 0x10, 3f, x2, 420,0) - -inst_114: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666665, 0x200, 3f, x2, 424,0) - -inst_115: -// rs1_val==46341 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333332, 0x6, 3f, x2, 428,0) - -inst_116: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555554, 0x8, 3f, x2, 432,0) - -inst_117: -// rs1_val==46341 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x2, 0x0, 3f, x2, 436,0) - -inst_118: -// rs1_val==46341 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb504, 0x4, 3f, x2, 440,0) - -inst_119: -// rs1_val==46341 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb504, 0x400, 1b, x2, 444,0) - -inst_120: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666666, 0xa, 1b, x2, 448,0) - -inst_121: -// rs1_val==46341 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333333, 0x8, 3f, x2, 452,0) - -inst_122: -// rs1_val==46341 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x5, 0x8, 3f, x2, 456,0) - -inst_123: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555556, 0xa, 1b, x2, 460,0) - -inst_124: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555555, 0x0, 1b, x2, 464,0) - -inst_125: -// rs1_val==46341 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x3, 0x10, 3f, x2, 468,0) - -inst_126: -// rs1_val==-46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb505, 0x2, 3f, x2, 472,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb503, 0x0, 3f, x2, 476,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666667, 0x0, 1b, x2, 480,0) - -inst_129: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333334, 0xa, 1b, x2, 484,0) - -inst_130: -// rs1_val==-46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x6, 0x80, 3f, x2, 488,0) - -inst_131: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555555, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555556, 0x400, 1b, x2, 496,0) - -inst_133: -// rs1_val==-46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x4, 0x80, 3f, x2, 500,0) - -inst_134: -// rs1_val==-46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb503, 0x6, 3f, x2, 504,0) - -inst_135: -// rs1_val==-46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x0, 0x400, 3f, x2, 508,0) - -inst_136: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666665, 0x4, 1b, x2, 512,0) - -inst_137: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333332, 0x0, 1b, x2, 516,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555554, 0x10, 3f, x2, 520,0) - -inst_139: -// rs1_val==-46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x2, 0x40, 3f, x2, 524,0) - -inst_140: -// rs1_val==-46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb504, 0x6, 1b, x2, 528,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb504, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666666, 0x6, 1b, x2, 536,0) - -inst_143: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333333, 0x556, 1b, x2, 540,0) - -inst_144: -// rs1_val==-46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x5, 0x10, 3f, x2, 544,0) - -inst_145: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555556, 0xa, 1b, x2, 548,0) - -inst_146: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555555, 0x8, 3f, x2, 552,0) - -inst_147: -// rs1_val==-46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x3, 0x10, 3f, x2, 556,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x2, 560,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb503, 0x80, 3f, x2, 564,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666667, 0x40, 3f, x2, 568,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333334, 0x2, 1b, x2, 572,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x6, 0x4, 1b, x2, 576,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555555, 0x200, 3f, x2, 580,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555556, 0x2, 3f, x2, 584,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x4, 0xa, 1b, x2, 588,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x2, 592,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x0, 0x10, 3f, x2, 596,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666665, 0x200, 3f, x2, 600,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333332, 0x200, 3f, x2, 604,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x2, 0x8, 3f, x2, 612,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x2, 616,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb504, 0x0, 1b, x2, 620,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666666, 0x4, 3f, x2, 624,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x2, 628,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x5, 0x556, 1b, x2, 632,0) - -inst_167: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555556, 0x2, 1b, x2, 636,0) - -inst_168: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 3f, x2, 640,0) - -inst_169: -// rs1_val==1717986919 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x3, 0x556, 1b, x2, 644,0) - -inst_170: -// rs1_val==858993460 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x2, 648,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb503, 0x400, 3f, x2, 652,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666667, 0x400, 3f, x2, 656,0) - -inst_173: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333334, 0x2, 3f, x2, 660,0) - -inst_174: -// rs1_val==858993460 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x6, 0xa, 1b, x2, 664,0) - -inst_175: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555555, 0x200, 3f, x2, 668,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x2, 672,0) - -inst_177: -// rs1_val==858993460 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x4, 0x20, 3f, x2, 676,0) - -inst_178: -// rs1_val==858993460 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb503, 0x4, 1b, x2, 680,0) - -inst_179: -// rs1_val==858993460 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x0, 0x100, 3f, x2, 684,0) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666665, 0x10, 3f, x2, 688,0) - -inst_181: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x2, 692,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555554, 0x400, 1b, x2, 696,0) - -inst_183: -// rs1_val==858993460 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x2, 0x4, 1b, x2, 700,0) - -inst_184: -// rs1_val==858993460 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 704,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb504, 0x0, 1b, x2, 708,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666666, 0x6, 1b, x2, 712,0) - -inst_187: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333333, 0x20, 3f, x2, 716,0) - -inst_188: -// rs1_val==858993460 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x5, 0x200, 3f, x2, 720,0) - -inst_189: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555556, 0x40, 3f, x2, 724,0) - -inst_190: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555555, 0x556, 1b, x2, 728,0) - -inst_191: -// rs1_val==858993460 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x3, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==6 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb505, 0x20, 3f, x2, 736,0) - -inst_193: -// rs1_val==6 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb503, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==6 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x2, 744,0) - -inst_195: -// rs1_val==6 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333334, 0x556, 1b, x2, 748,0) - -inst_196: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x6, 0x8, 1b, x2, 752,0) - -inst_197: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555555, 0x6, 1b, x2, 756,0) - -inst_198: -// rs1_val==6 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555556, 0x6, 1b, x2, 760,0) - -inst_199: -// rs1_val==6 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x4, 0x2, 3f, x2, 764,0) - -inst_200: -// rs1_val==6 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb503, 0xa, 1b, x2, 768,0) - -inst_201: -// rs1_val==6 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x0, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==6 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666665, 0x80, 3f, x2, 776,0) - -inst_203: -// rs1_val==6 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x2, 780,0) - -inst_204: -// rs1_val==6 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555554, 0x2, 3f, x2, 784,0) - -inst_205: -// rs1_val==6 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x2, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==6 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x2, 792,0) - -inst_207: -// rs1_val==6 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb504, 0x8, 1b, x2, 796,0) - -inst_208: -// rs1_val==6 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666666, 0x400, 1b, x2, 800,0) - -inst_209: -// rs1_val==6 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333333, 0x20, 3f, x2, 804,0) - -inst_210: -// rs1_val==6 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x5, 0xa, 1b, x2, 808,0) - -inst_211: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555556, 0x4, 1b, x2, 812,0) - -inst_212: -// rs1_val==6 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555555, 0x4, 1b, x2, 816,0) - -inst_213: -// rs1_val==6 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x3, 0x6, 3f, x2, 820,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb505, 0x20, 3f, x2, 824,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb503, 0x6, 1b, x2, 828,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666667, 0x556, 1b, x2, 832,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333334, 0x8, 3f, x2, 836,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x6, 0x40, 3f, x2, 840,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555555, 0x8, 3f, x2, 844,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555556, 0xa, 1b, x2, 848,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x4, 0x6, 1b, x2, 852,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb503, 0xa, 1b, x2, 856,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x0, 0x6, 3f, x2, 860,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666665, 0x400, 1b, x2, 864,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333332, 0x10, 3f, x2, 868,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555554, 0x400, 1b, x2, 872,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x2, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb504, 0x10, 3f, x2, 880,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb504, 0x0, 1b, x2, 884,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666666, 0x40, 3f, x2, 888,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333333, 0x10, 3f, x2, 892,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x5, 0x2, 1b, x2, 896,0) - -inst_233: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555556, 0x2, 3f, x2, 900,0) - -inst_234: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x2, 904,0) - -inst_235: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x3, 0xa, 1b, x2, 908,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb505, 0x400, 3f, x2, 912,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb503, 0xa, 1b, x2, 916,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666667, 0x6, 1b, x2, 920,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333334, 0x2, 3f, x2, 924,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x6, 0x8, 3f, x2, 928,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555555, 0x4, 1b, x2, 932,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x2, 936,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x4, 0x200, 3f, x2, 940,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb503, 0x200, 3f, x2, 944,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x0, 0x400, 3f, x2, 948,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666665, 0x6, 1b, x2, 952,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x2, 956,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555554, 0x6, 1b, x2, 960,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x2, 0x8, 3f, x2, 964,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x2, 968,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb504, 0xa, 1b, x2, 972,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 1b, x2, 976,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 1b, x2, 980,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x5, 0x6, 1b, x2, 984,0) - -inst_255: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555556, 0x200, 3f, x2, 988,0) - -inst_256: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x2, 992,0) - -inst_257: -// rs1_val==1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x2, 996,0) - -inst_258: -// rs1_val==4 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb505, 0x0, 3f, x2, 1000,0) - -inst_259: -// rs1_val==4 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb503, 0x556, 1b, x2, 1004,0) - -inst_260: -// rs1_val==4 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666667, 0x400, 3f, x2, 1008,0) - -inst_261: -// rs1_val==4 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x2, 1012,0) - -inst_262: -// rs1_val==4 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x6, 0x400, 3f, x2, 1016,0) - -inst_263: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555555, 0x2, 1b, x2, 1020,0) - -inst_264: -// rs1_val==4 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555556, 0x6, 1b, x2, 1024,0) - -inst_265: -// rs1_val==4 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x4, 0x200, 3f, x2, 1028,0) - -inst_266: -// rs1_val==4 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb503, 0x2, 3f, x2, 1032,0) - -inst_267: -// rs1_val==4 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x0, 0x100, 3f, x2, 1036,0) - -inst_268: -// rs1_val==4 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666665, 0xa, 1b, x2, 1040,0) - -inst_269: -// rs1_val==4 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333332, 0x556, 1b, x2, 1044,0) - -inst_270: -// rs1_val==4 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555554, 0x8, 1b, x2, 1048,0) - -inst_271: -// rs1_val==4 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x2, 0x10, 3f, x2, 1052,0) - -inst_272: -// rs1_val==4 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb504, 0x0, 1b, x2, 1056,0) - -inst_273: -// rs1_val==4 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb504, 0xa, 1b, x2, 1060,0) - -inst_274: -// rs1_val==4 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666666, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==4 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333333, 0x0, 3f, x2, 1068,0) - -inst_276: -// rs1_val==4 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x2, 1072,0) - -inst_277: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555556, 0x8, 1b, x2, 1076,0) - -inst_278: -// rs1_val==4 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555555, 0x6, 1b, x2, 1080,0) - -inst_279: -// rs1_val==4 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x3, 0x8, 1b, x2, 1084,0) - -inst_280: -// rs1_val==46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb505, 0x40, 3f, x2, 1088,0) - -inst_281: -// rs1_val==46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb503, 0x2, 1b, x2, 1092,0) - -inst_282: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666667, 0x10, 3f, x2, 1096,0) - -inst_283: -// rs1_val==46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333334, 0x20, 3f, x2, 1100,0) - -inst_284: -// rs1_val==46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x2, 1104,0) - -inst_285: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555555, 0x2, 3f, x2, 1108,0) - -inst_286: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1112,0) - -inst_287: -// rs1_val==46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x4, 0x4, 3f, x2, 1116,0) - -inst_288: -// rs1_val==46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb503, 0x6, 1b, x2, 1120,0) - -inst_289: -// rs1_val==46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x0, 0x556, 1b, x2, 1124,0) - -inst_290: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666665, 0x400, 3f, x2, 1128,0) - -inst_291: -// rs1_val==46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333332, 0x8, 1b, x2, 1132,0) - -inst_292: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555554, 0x0, 3f, x2, 1136,0) - -inst_293: -// rs1_val==46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1140,0) - -inst_294: -// rs1_val==46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb504, 0x6, 3f, x2, 1144,0) - -inst_295: -// rs1_val==46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb504, 0x400, 1b, x2, 1148,0) - -inst_296: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666666, 0x0, 1b, x2, 1152,0) - -inst_297: -// rs1_val==46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1156,0) - -inst_298: -// rs1_val==46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x5, 0x400, 1b, x2, 1160,0) - -inst_299: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555556, 0x400, 3f, x2, 1164,0) - -inst_300: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x2, 1168,0) - -inst_301: -// rs1_val==46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x3, 0x556, 1b, x2, 1172,0) - -inst_302: -// rs1_val==0 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb505, 0x556, 1b, x2, 1176,0) - -inst_303: -// rs1_val==0 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb503, 0x2, 1b, x2, 1180,0) - -inst_304: -// rs1_val==0 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666667, 0x10, 3f, x2, 1184,0) - -inst_305: -// rs1_val==0 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333334, 0x2, 1b, x2, 1188,0) - -inst_306: -// rs1_val==0 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x6, 0x80, 3f, x2, 1192,0) - -inst_307: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555555, 0x80, 3f, x2, 1196,0) - -inst_308: -// rs1_val==0 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555556, 0x400, 1b, x2, 1200,0) - -inst_309: -// rs1_val==0 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x4, 0x10, 3f, x2, 1204,0) - -inst_310: -// rs1_val==0 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb503, 0x4, 3f, x2, 1208,0) - -inst_311: -// rs1_val==0 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==0 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666665, 0x6, 3f, x2, 1216,0) - -inst_313: -// rs1_val==0 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333332, 0x200, 3f, x2, 1220,0) - -inst_314: -// rs1_val==0 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555554, 0x100, 3f, x2, 1224,0) - -inst_315: -// rs1_val==0 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x2, 0x80, 3f, x2, 1228,0) - -inst_316: -// rs1_val==0 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb504, 0x40, 3f, x2, 1232,0) - -inst_317: -// rs1_val==0 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb504, 0x20, 3f, x2, 1236,0) - -inst_318: -// rs1_val==0 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666666, 0x556, 1b, x2, 1240,0) - -inst_319: -// rs1_val==0 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333333, 0x0, 3f, x2, 1244,0) - -inst_320: -// rs1_val==0 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x5, 0x0, 3f, x2, 1248,0) - -inst_321: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x2, 1252,0) - -inst_322: -// rs1_val==0 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555555, 0x556, 1b, x2, 1256,0) - -inst_323: -// rs1_val==0 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x3, 0x10, 3f, x2, 1260,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x2, 1264,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb503, 0x6, 3f, x2, 1268,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666667, 0x8, 3f, x2, 1272,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1276,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x6, 0x0, 3f, x2, 1280,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x2, 1284,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555556, 0x400, 1b, x2, 1288,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1292,0) - -inst_332: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x2, 1296,0) - -inst_333: -// rs1_val==858993459 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x0, 0x6, 3f, x2, 1300,0) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666665, 0x40, 3f, x2, 1304,0) - -inst_335: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333332, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555554, 0x6, 1b, x2, 1312,0) - -inst_337: -// rs1_val==858993459 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x2, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==858993459 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb504, 0x10, 3f, x2, 1320,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb504, 0x20, 3f, x2, 1324,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666666, 0x2, 3f, x2, 1328,0) - -inst_341: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333333, 0x4, 3f, x2, 1332,0) - -inst_342: -// rs1_val==858993459 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x5, 0x0, 3f, x2, 1336,0) - -inst_343: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x2, 1340,0) - -inst_344: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555555, 0x8, 3f, x2, 1344,0) - -inst_345: -// rs1_val==858993459 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x3, 0x4, 3f, x2, 1348,0) - -inst_346: -// rs1_val==5 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb505, 0x400, 3f, x2, 1352,0) - -inst_347: -// rs1_val==5 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb503, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==5 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666667, 0x200, 3f, x2, 1360,0) - -inst_349: -// rs1_val==5 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333334, 0x2, 1b, x2, 1364,0) - -inst_350: -// rs1_val==5 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x6, 0x4, 1b, x2, 1368,0) - -inst_351: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555555, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==5 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555556, 0x40, 3f, x2, 1376,0) - -inst_353: -// rs1_val==5 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x4, 0x0, 1b, x2, 1380,0) - -inst_354: -// rs1_val==5 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x2, 1384,0) - -inst_355: -// rs1_val==5 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x0, 0xa, 1b, x2, 1388,0) - -inst_356: -// rs1_val==5 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666665, 0x8, 1b, x2, 1392,0) - -inst_357: -// rs1_val==5 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333332, 0x400, 3f, x2, 1396,0) - -inst_358: -// rs1_val==5 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555554, 0x10, 3f, x2, 1400,0) - -inst_359: -// rs1_val==5 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x2, 0x556, 1b, x2, 1404,0) - -inst_360: -// rs1_val==5 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb504, 0x0, 3f, x2, 1408,0) - -inst_361: -// rs1_val==5 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb504, 0x400, 1b, x2, 1412,0) - -inst_362: -// rs1_val==5 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666666, 0x2, 3f, x2, 1416,0) - -inst_363: -// rs1_val==5 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333333, 0x6, 3f, x2, 1420,0) - -inst_364: -// rs1_val==5 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x5, 0xa, 1b, x2, 1424,0) - -inst_365: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555556, 0x8, 3f, x2, 1428,0) - -inst_366: -// rs1_val==5 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555555, 0x2, 3f, x2, 1432,0) - -inst_367: -// rs1_val==5 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x3, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb505, 0x20, 3f, x2, 1440,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb503, 0x200, 3f, x2, 1444,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666667, 0x10, 3f, x2, 1448,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333334, 0x556, 1b, x2, 1452,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x6, 0xa, 1b, x2, 1456,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555555, 0x8, 3f, x2, 1460,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555556, 0x4, 1b, x2, 1464,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x2, 1468,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb503, 0x8, 3f, x2, 1472,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x0, 0x200, 3f, x2, 1476,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666665, 0x2, 3f, x2, 1480,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333332, 0x8, 1b, x2, 1484,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555554, 0x6, 1b, x2, 1488,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x2, 0x200, 3f, x2, 1492,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x2, 1496,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb504, 0x2, 1b, x2, 1500,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666666, 0x8, 3f, x2, 1504,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333333, 0x8, 1b, x2, 1508,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x5, 0x20, 3f, x2, 1512,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555556, 0x2, 1b, x2, 1516,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555555, 0x80, 3f, x2, 1520,0) - -inst_389: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x3, 0x4, 1b, x2, 1524,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb505, 0x0, 3f, x2, 1528,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x2, 1532,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x2, 1536,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333334, 0x40, 3f, x2, 1540,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555555, 0x2, 1b, x2, 1548,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555556, 0x100, 3f, x2, 1552,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x4, 0x2, 1b, x2, 1556,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb503, 0x4, 3f, x2, 1560,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x0, 0x10, 3f, x2, 1564,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666665, 0x400, 1b, x2, 1568,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333332, 0x20, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555554, 0x40, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x2, 0x40, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x2, 1584,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb504, 0x400, 3f, x2, 1588,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333333, 0x6, 3f, x2, 1596,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x5, 0x0, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555556, 0x6, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x3, 0x10, 3f, x2, 1612,0) - -inst_412: -// rs1_val==3 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb505, 0x2, 1b, x2, 1616,0) - -inst_413: -// rs1_val==3 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb503, 0x0, 1b, x2, 1620,0) - -inst_414: -// rs1_val==3 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666667, 0x0, 1b, x2, 1624,0) - -inst_415: -// rs1_val==3 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 1628,0) - -inst_416: -// rs1_val==3 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x6, 0x0, 1b, x2, 1632,0) - -inst_417: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x2, 1636,0) - -inst_418: -// rs1_val==3 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555556, 0x8, 3f, x2, 1640,0) - -inst_419: -// rs1_val==3 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x4, 0x80, 3f, x2, 1644,0) - -inst_420: -// rs1_val==3 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 1648,0) - -inst_421: -// rs1_val==3 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x0, 0x10, 3f, x2, 1652,0) - -inst_422: -// rs1_val==3 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666665, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==3 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333332, 0x400, 1b, x2, 1660,0) - -inst_424: -// rs1_val==3 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555554, 0x0, 1b, x2, 1664,0) - -inst_425: -// rs1_val==3 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x2, 0x400, 3f, x2, 1668,0) - -inst_426: -// rs1_val==3 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb504, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==3 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb504, 0x400, 3f, x2, 1676,0) - -inst_428: -// rs1_val==3 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666666, 0x0, 3f, x2, 1680,0) - -inst_429: -// rs1_val==3 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333333, 0x10, 3f, x2, 1684,0) - -inst_430: -// rs1_val==3 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 1688,0) - -inst_431: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555556, 0x400, 3f, x2, 1692,0) - -inst_432: -// rs1_val==3 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555555, 0x400, 3f, x2, 1696,0) - -inst_433: -// rs1_val==3 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x3, 0x20, 3f, x2, 1700,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x0, 0x8, 3f, x2, 1704,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666665, 0x10, 3f, x2, 1708,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333332, 0x10, 3f, x2, 1712,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x2, 1716,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x2, 0x0, 1b, x2, 1720,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb504, 0x0, 1b, x2, 1724,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x2, 1728,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x2, 1732,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x2, 1736,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x5, 0x0, 1b, x2, 1740,0) - -inst_444: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555556, 0x40, 3f, x2, 1744,0) - -inst_445: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 3f, x2, 1748,0) - -inst_446: -// rs1_val==1717986917 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x3, 0x4, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb505, 0x4, 3f, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb503, 0x8, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666667, 0x4, 1b, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1768,0) - -inst_451: -// rs1_val==858993458 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x2, 1772,0) - -inst_452: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x2, 1776,0) - -inst_453: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x2, 1780,0) - -inst_454: -// rs1_val==858993458 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x4, 0x20, 3f, x2, 1784,0) - -inst_455: -// rs1_val==858993458 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb503, 0x400, 3f, x2, 1788,0) - -inst_456: -// rs1_val==858993458 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x0, 0x6, 3f, x2, 1792,0) - -inst_457: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1796,0) - -inst_458: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1800,0) - -inst_459: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555554, 0x4, 3f, x2, 1804,0) - -inst_460: -// rs1_val==858993458 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x2, 0x400, 3f, x2, 1808,0) - -inst_461: -// rs1_val==858993458 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb504, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb504, 0x200, 3f, x2, 1816,0) - -inst_463: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x2, 1820,0) - -inst_464: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1824,0) - -inst_465: -// rs1_val==858993458 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x5, 0x20, 3f, x2, 1828,0) - -inst_466: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555556, 0x40, 3f, x2, 1832,0) - -inst_467: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555555, 0x0, 1b, x2, 1836,0) - -inst_468: -// rs1_val==858993458 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x2, 1840,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb505, 0x10, 3f, x2, 1844,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb503, 0x20, 3f, x2, 1848,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666667, 0x6, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333334, 0x200, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x6, 0x200, 3f, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x4, 0x20, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb503, 0x2, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x0, 0x6, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666665, 0x0, 3f, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555554, 0x0, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x2, 0x6, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb504, 0x0, 3f, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb504, 0x4, 1b, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666666, 0x20, 3f, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 1b, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x5, 0x2, 3f, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555556, 0x8, 3f, x2, 1920,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x2, 1924,0) - -inst_490: -// rs1_val==1431655764 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x3, 0x2, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb505, 0x400, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb503, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666667, 0x4, 3f, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333334, 0x4, 1b, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x6, 0x100, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555555, 0x556, 1b, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555556, 0x4, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x4, 0x6, 1b, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb503, 0x556, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x0, 0x4, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666665, 0x200, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333332, 0x0, 1b, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555554, 0x80, 3f, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x2, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb504, 0x556, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb504, 0x0, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666666, 0x0, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333333, 0x400, 1b, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x5, 0x2, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555556, 0x10, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555555, 0x400, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x3, 0x10, 3f, x2, 2016,0) - -inst_513: -// rs1_val==46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb505, 0x100, 3f, x2, 2020,0) - -inst_514: -// rs1_val==46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb503, 0x4, 1b, x2, 2024,0) - -inst_515: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666667, 0x2, 3f, x2, 2028,0) - -inst_516: -// rs1_val==46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333334, 0x8, 3f, x2, 2032,0) - -inst_517: -// rs1_val==46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x6, 0x8, 1b, x2, 2036,0) - -inst_518: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555555, 0x0, 1b, x2, 2040,0) - -inst_519: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x2, 0,0) - -inst_521: -// rs1_val==46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb503, 0x10, 3f, x2, 4,0) - -inst_522: -// rs1_val==46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x0, 0x8, 1b, x2, 8,0) - -inst_523: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666665, 0x0, 1b, x2, 12,0) - -inst_524: -// rs1_val==46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333332, 0x400, 3f, x2, 16,0) - -inst_525: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555554, 0x6, 1b, x2, 20,0) - -inst_526: -// rs1_val==46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x2, 0x400, 1b, x2, 24,0) - -inst_527: -// rs1_val==46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb504, 0x0, 3f, x2, 28,0) - -inst_528: -// rs1_val==46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb504, 0x100, 3f, x2, 32,0) - -inst_529: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666666, 0x80, 3f, x2, 36,0) - -inst_530: -// rs1_val==46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333333, 0x8, 1b, x2, 40,0) - -inst_531: -// rs1_val==46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x5, 0x2, 1b, x2, 44,0) - -inst_532: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555556, 0x2, 1b, x2, 48,0) - -inst_533: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555555, 0x400, 1b, x2, 52,0) - -inst_534: -// rs1_val==46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x3, 0xa, 1b, x2, 56,0) - -inst_535: -// rs1_val==-46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb505, 0x80, 3f, x2, 60,0) - -inst_536: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb503, 0x80, 3f, x2, 64,0) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x2, 68,0) - -inst_538: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333334, 0x6, 3f, x2, 72,0) - -inst_539: -// rs1_val==-46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x6, 0x200, 3f, x2, 76,0) - -inst_540: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555555, 0x2, 1b, x2, 80,0) - -inst_541: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555556, 0x0, 1b, x2, 84,0) - -inst_542: -// rs1_val==-46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x4, 0x4, 3f, x2, 88,0) - -inst_543: -// rs1_val==-46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb503, 0xa, 1b, x2, 92,0) - -inst_544: -// rs1_val==-46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x2, 96,0) - -inst_545: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666665, 0x556, 1b, x2, 100,0) - -inst_546: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333332, 0x200, 3f, x2, 104,0) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555554, 0x80, 3f, x2, 108,0) - -inst_548: -// rs1_val==-46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x2, 0x4, 1b, x2, 112,0) - -inst_549: -// rs1_val==-46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb504, 0x2, 3f, x2, 116,0) - -inst_550: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb504, 0x4, 1b, x2, 120,0) - -inst_551: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666666, 0x400, 1b, x2, 124,0) - -inst_552: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333333, 0x10, 3f, x2, 128,0) - -inst_553: -// rs1_val==-46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x5, 0x8, 3f, x2, 132,0) - -inst_554: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555556, 0x6, 3f, x2, 136,0) - -inst_555: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555555, 0x80, 3f, x2, 140,0) - -inst_556: -// rs1_val==-46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x2, 144,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x2, 148,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb503, 0x100, 3f, x2, 152,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x2, 156,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333334, 0x100, 3f, x2, 160,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x6, 0x200, 3f, x2, 164,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555555, 0x2, 3f, x2, 168,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555556, 0x10, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x4, 0x4, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb503, 0x100, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666665, 0x556, 1b, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333332, 0x200, 3f, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555554, 0x2, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x2, 0x400, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb504, 0x100, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb504, 0x2, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x5, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555556, 0x556, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555555, 0x556, 1b, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x3, 0x556, 1b, x2, 232,0) - -inst_579: -// rs1_val==858993459 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb505, 0x400, 1b, x2, 236,0) - -inst_580: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb503, 0x10, 3f, x2, 240,0) - -inst_581: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666667, 0xa, 1b, x2, 244,0) - -inst_582: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333334, 0x200, 3f, x2, 248,0) - -inst_583: -// rs1_val==858993459 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x6, 0x0, 3f, x2, 252,0) - -inst_584: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555555, 0x4, 3f, x2, 256,0) - -inst_585: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555556, 0xa, 1b, x2, 260,0) - -inst_586: -// rs1_val==858993459 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x4, 0x400, 1b, x2, 264,0) - -inst_587: -// rs1_val==858993459 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x2, 268,0) - -inst_588: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x4000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2000001, -0x4000001, 0x8, 3f, x2, 272,0) - -inst_589: -// rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20000001, -0x80001, 0x6, 1b, x2, 276,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 70*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S deleted file mode 100644 index e468772c8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S +++ /dev/null @@ -1,3015 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bge instruction of the RISC-V E extension for the bge covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bge) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x9, rs2==x9, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x9; op2:x9; op1val:-0x10001; op2val:-0x10001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x14, x9, x9, -0x10001, -0x10001, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x8, rs2==x7, rs2_val == 2147483647, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x8; op2:x7; op1val:0x4; op2val:0x7fffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x8, x7, 0x4, 0x7fffffff, 0x10, 3f, x2, 4,0) - -inst_2: -// rs1==x5, rs2==x11, rs2_val == -1073741825, -// opcode: bge, op1:x5; op2:x11; op1val:0x4; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x5, x11, 0x4, -0x40000001, 0x2, 1b, x2, 8,0) - -inst_3: -// rs1==x12, rs2==x0, rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x12; op2:x0; op1val:-0x8001; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x14, x12, x0, -0x8001, 0x0, 0x20, 3f, x2, 12,0) - -inst_4: -// rs1==x3, rs2==x4, rs2_val == -268435457, rs1_val == 536870912 -// opcode: bge, op1:x3; op2:x4; op1val:0x20000000; op2val:-0x10000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x3, x4, 0x20000000, -0x10000001, 0x2, 3f, x2, 16,0) - -inst_5: -// rs1==x11, rs2==x1, rs2_val == -134217729, rs1_val == 128, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x11; op2:x1; op1val:0x80; op2val:-0x8000001; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x11, x1, 0x80, -0x8000001, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x0, rs2==x12, rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x0; op2:x12; op1val:0x0; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x14, x0, x12, 0x0, -0x4000001, 0x556, 1b, x2, 24,0) - -inst_7: -// rs1==x7, rs2==x6, rs2_val == -33554433, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x7; op2:x6; op1val:0x80; op2val:-0x2000001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x14, x7, x6, 0x80, -0x2000001, 0x4, 1b, x2, 28,0) - -inst_8: -// rs1==x13, rs2==x10, rs2_val == -16777217, rs1_val == 8388608 -// opcode: bge, op1:x13; op2:x10; op1val:0x800000; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x13, x10, 0x800000, -0x1000001, 0x2, 1b, x2, 32,0) -RVTEST_SIGBASE( x7,signature_x7_0) - -inst_9: -// rs1==x1, rs2==x3, rs2_val == -8388609, rs1_val == 268435456 -// opcode: bge, op1:x1; op2:x3; op1val:0x10000000; op2val:-0x800001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x9, x1, x3, 0x10000000, -0x800001, 0x80, 3f, x7, 0,0) - -inst_10: -// rs1==x2, rs2==x13, rs2_val == -4194305, rs1_val == 32768 -// opcode: bge, op1:x2; op2:x13; op1val:0x8000; op2val:-0x400001; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x9, x2, x13, 0x8000, -0x400001, 0x40, 3f, x7, 4,0) - -inst_11: -// rs1==x14, rs2==x5, rs2_val == -2097153, rs1_val == 2147483647 -// opcode: bge, op1:x14; op2:x5; op1val:0x7fffffff; op2val:-0x200001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x9, x14, x5, 0x7fffffff, -0x200001, 0x100, 3f, x7, 8,0) - -inst_12: -// rs1==x10, rs2==x15, rs2_val == -1048577, rs1_val == -262145 -// opcode: bge, op1:x10; op2:x15; op1val:-0x40001; op2val:-0x100001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x9, x10, x15, -0x40001, -0x100001, 0x8, 3f, x7, 12,0) - -inst_13: -// rs1==x6, rs2==x8, rs2_val == -524289, -// opcode: bge, op1:x6; op2:x8; op1val:0x0; op2val:-0x80001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x9, x6, x8, 0x0, -0x80001, 0x0, 1b, x7, 16,0) - -inst_14: -// rs1==x4, rs2==x14, rs2_val == -262145, rs1_val == 65536 -// opcode: bge, op1:x4; op2:x14; op1val:0x10000; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x9, x4, x14, 0x10000, -0x40001, 0x2, 1b, x7, 20,0) - -inst_15: -// rs1==x15, rs2==x2, rs2_val == -131073, -// opcode: bge, op1:x15; op2:x2; op1val:0x55555554; op2val:-0x20001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x15, x2, 0x55555554, -0x20001, 0x80, 3f, x7, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == -3 -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, -0x10001, 0x0, 1b, x7, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x8001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x8001, 0x8, 3f, x1, 0,0) - -inst_18: -// rs2_val == -16385, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x4001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x4001, 0x100, 3f, x1, 4,0) - -inst_19: -// rs2_val == -8193, rs1_val == -131073 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20001, -0x2001, 0x4, 3f, x1, 8,0) - -inst_20: -// rs2_val == -4097, rs1_val == -1048577 -// opcode: bge, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x100001, -0x1001, 0x400, 1b, x1, 12,0) - -inst_21: -// rs2_val == -2049, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x801; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x801, 0x20, 3f, x1, 16,0) - -inst_22: -// rs2_val == -1025, rs1_val == 512 -// opcode: bge, op1:x10; op2:x11; op1val:0x200; op2val:-0x401; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200, -0x401, 0x4, 3f, x1, 20,0) - -inst_23: -// rs2_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x201, 0x556, 1b, x1, 24,0) - -inst_24: -// rs2_val == -257, rs1_val == -16777217 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x101; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x101, 0x40, 3f, x1, 28,0) - -inst_25: -// rs2_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x81, 0x0, 3f, x1, 32,0) - -inst_26: -// rs2_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:0x80; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80, -0x41, 0x400, 3f, x1, 36,0) - -inst_27: -// rs2_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1; op2val:-0x21; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1, -0x21, 0x100, 3f, x1, 40,0) - -inst_28: -// rs2_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x11; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x11, 0xa, 1b, x1, 44,0) - -inst_29: -// rs2_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x9, 0x2, 3f, x1, 48,0) - -inst_30: -// rs2_val == -5, rs1_val == 67108864 -// opcode: bge, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000000, -0x5, 0x20, 3f, x1, 52,0) - -inst_31: -// rs2_val == -3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x3, 0x10, 3f, x1, 56,0) - -inst_32: -// rs2_val == -2, rs1_val == -2049 -// opcode: bge, op1:x10; op2:x11; op1val:-0x801; op2val:-0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x801, -0x2, 0x4, 3f, x1, 60,0) - -inst_33: -// rs1_val == -1073741825, rs2_val == 262144 -// opcode: bge, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x40000001, 0x40000, 0xa, 1b, x1, 64,0) - -inst_34: -// rs1_val == -536870913, rs2_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20000001; op2val:0x20; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20000001, 0x20, 0xa, 1b, x1, 68,0) - -inst_35: -// rs1_val == -268435457, -// opcode: bge, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10000001, 0x0, 0x2, 1b, x1, 72,0) - -inst_36: -// rs1_val == -134217729, -// opcode: bge, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x7; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8000001, -0x7, 0x20, 3f, x1, 76,0) - -inst_37: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4000001, 0x7fffffff, 0x400, 1b, x1, 80,0) - -inst_38: -// rs1_val == -33554433, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2000001, 0x33333333, 0x8, 3f, x1, 84,0) - -inst_39: -// rs1_val == -8388609, -// opcode: bge, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x800001, -0x40001, 0x8, 3f, x1, 88,0) - -inst_40: -// rs1_val == -4194305, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, -0x4, 0x0, 1b, x1, 92,0) - -inst_41: -// rs1_val == -2097153, rs2_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:-0x200001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x200001, 0x2, 0x0, 1b, x1, 96,0) - -inst_42: -// rs1_val == -524289, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x4001; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80001, -0x4001, 0xa, 1b, x1, 100,0) - -inst_43: -// rs1_val == -16385, rs2_val == 1073741824 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4001; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4001, 0x40000000, 0x40, 3f, x1, 104,0) - -inst_44: -// rs1_val == -8193, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2001; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2001, 0x2, 0x4, 3f, x1, 108,0) - -inst_45: -// rs1_val == -4097, rs2_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1001, -0x55555556, 0xa, 1b, x1, 112,0) - -inst_46: -// rs1_val == -1025, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:-0x10000001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, -0x10000001, 0x400, 3f, x1, 116,0) - -inst_47: -// rs1_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:-0x201; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x201, 0x0, 0x556, 1b, x1, 120,0) - -inst_48: -// rs1_val == -257, -// opcode: bge, op1:x10; op2:x11; op1val:-0x101; op2val:0x20; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x101, 0x20, 0x4, 1b, x1, 124,0) - -inst_49: -// rs1_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x81; op2val:-0x40000001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x81, -0x40000001, 0x8, 1b, x1, 128,0) - -inst_50: -// rs1_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:-0x41; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x41, 0xb503, 0x8, 1b, x1, 132,0) - -inst_51: -// rs1_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x21; op2val:-0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x21, -0x40000000, 0x2, 3f, x1, 136,0) - -inst_52: -// rs1_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:-0x11; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x11, -0x100001, 0x4, 3f, x1, 140,0) - -inst_53: -// rs1_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:-0x9; op2val:-0x2001; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x9, -0x2001, 0x200, 3f, x1, 144,0) - -inst_54: -// rs1_val == -5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, -0x201, 0x2, 1b, x1, 148,0) - -inst_55: -// rs1_val == -2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2, 0x33333334, 0x100, 3f, x1, 152,0) - -inst_56: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x80000000, 0x6, 1b, x1, 156,0) - -inst_57: -// rs2_val == 536870912, rs1_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:0x20; op2val:0x20000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20, 0x20000000, 0x6, 3f, x1, 160,0) - -inst_58: -// rs2_val == 268435456, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x10000000, 0x4, 1b, x1, 164,0) - -inst_59: -// rs2_val == 134217728, rs1_val == 524288 -// opcode: bge, op1:x10; op2:x11; op1val:0x80000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80000, 0x8000000, 0x4, 1b, x1, 168,0) - -inst_60: -// rs2_val == 67108864, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4000000, 0xa, 1b, x1, 172,0) - -inst_61: -// rs2_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2000000, 0x6, 3f, x1, 176,0) - -inst_62: -// rs2_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x1000000, 0x10, 3f, x1, 180,0) - -inst_63: -// rs2_val == 8388608, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800000, 0x800000, 0x80, 3f, x1, 184,0) - -inst_64: -// rs2_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, 0x400000, 0x6, 3f, x1, 188,0) - -inst_65: -// rs2_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x200000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x200000, 0x80, 3f, x1, 192,0) - -inst_66: -// rs2_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:0x100000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, 0x100000, 0x40, 3f, x1, 196,0) - -inst_67: -// rs2_val == 524288, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000000; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000000, 0x80000, 0x4, 3f, x1, 200,0) - -inst_68: -// rs2_val == 131072, rs1_val == 8 -// opcode: bge, op1:x10; op2:x11; op1val:0x8; op2val:0x20000; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8, 0x20000, 0x556, 1b, x1, 204,0) - -inst_69: -// rs2_val == 65536, rs1_val == 1024 -// opcode: bge, op1:x10; op2:x11; op1val:0x400; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400, 0x10000, 0x40, 3f, x1, 208,0) - -inst_70: -// rs2_val == 32768, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x8000, 0xa, 1b, x1, 212,0) - -inst_71: -// rs2_val == 16384, rs1_val == 2048 -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x4000; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x4000, 0x200, 3f, x1, 216,0) - -inst_72: -// rs2_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:0x2000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, 0x2000, 0x40, 3f, x1, 220,0) - -inst_73: -// rs2_val == 4096, rs1_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x1000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x1000, 0x0, 3f, x1, 224,0) - -inst_74: -// rs2_val == 2048, -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x800; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x800, 0x400, 3f, x1, 228,0) - -inst_75: -// rs2_val == 1024, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x400; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x400, 0x8, 3f, x1, 232,0) - -inst_76: -// rs2_val == 512, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x200, 0x400, 3f, x1, 236,0) - -inst_77: -// rs2_val == 256, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x100; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x100, 0x400, 3f, x1, 240,0) - -inst_78: -// rs2_val == 128, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x80, 0x8, 1b, x1, 244,0) - -inst_79: -// rs2_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x40; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x40, 0x100, 3f, x1, 248,0) - -inst_80: -// rs2_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, 0x10, 0x200, 3f, x1, 252,0) - -inst_81: -// rs2_val == 8, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x8, 0x400, 3f, x1, 256,0) - -inst_82: -// rs2_val == 4, rs1_val == 131072 -// opcode: bge, op1:x10; op2:x11; op1val:0x20000; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20000, 0x4, 0x6, 3f, x1, 260,0) - -inst_83: -// rs2_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x1, 0x40, 3f, x1, 264,0) - -inst_84: -// rs1_val == -2147483648, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80000000, 0x2, 0x8, 1b, x1, 268,0) - -inst_85: -// rs1_val == 1073741824, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x401; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000000, -0x401, 0x10, 3f, x1, 272,0) - -inst_86: -// rs1_val == 134217728, -// opcode: bge, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8000000, -0x2, 0xa, 1b, x1, 276,0) - -inst_87: -// rs1_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000000; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000000, -0x40000001, 0x2, 3f, x1, 280,0) - -inst_88: -// rs1_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000000, 0x0, 0x2, 3f, x1, 284,0) - -inst_89: -// rs1_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:0x400000; op2val:-0x8000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400000, -0x8000001, 0x2, 3f, x1, 288,0) - -inst_90: -// rs1_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x200000; op2val:0x4000000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200000, 0x4000000, 0x0, 1b, x1, 292,0) - -inst_91: -// rs1_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:0x100000; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100000, -0x401, 0x0, 1b, x1, 296,0) - -inst_92: -// rs1_val == 262144, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000, 0x0, 0x2, 3f, x1, 300,0) - -inst_93: -// rs1_val == 16384, -// opcode: bge, op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000, 0x8000000, 0x4, 3f, x1, 304,0) - -inst_94: -// rs1_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000, 0x0, 0x2, 3f, x1, 308,0) - -inst_95: -// rs1_val == 4096, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000, -0xb503, 0x4, 3f, x1, 312,0) - -inst_96: -// rs1_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x40; op2val:-0x1000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40, -0x1000001, 0x556, 1b, x1, 316,0) - -inst_97: -// rs1_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:0x10; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10, 0x0, 0x4, 3f, x1, 320,0) - -inst_98: -// rs1_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x1; op2val:0x3fffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1, 0x3fffffff, 0x100, 3f, x1, 324,0) - -inst_99: -// rs1_val==46341 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb505, 0x20, 3f, x1, 328,0) - -inst_100: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb503, 0x200, 3f, x1, 332,0) - -inst_101: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666667, 0x0, 3f, x1, 336,0) - -inst_102: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333334, 0x8, 3f, x1, 340,0) - -inst_103: -// rs1_val==46341 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x6, 0x10, 3f, x1, 344,0) - -inst_104: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555555, 0x4, 1b, x1, 348,0) - -inst_105: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555556, 0xa, 1b, x1, 352,0) - -inst_106: -// rs1_val==46341 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x4, 0x100, 3f, x1, 356,0) - -inst_107: -// rs1_val==46341 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb503, 0x2, 1b, x1, 360,0) - -inst_108: -// rs1_val==46341 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x0, 0x4, 1b, x1, 364,0) - -inst_109: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x1, 368,0) - -inst_110: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333332, 0x0, 1b, x1, 372,0) - -inst_111: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555554, 0x400, 1b, x1, 376,0) - -inst_112: -// rs1_val==46341 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x2, 0x2, 1b, x1, 380,0) - -inst_113: -// rs1_val==46341 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb504, 0x2, 3f, x1, 384,0) - -inst_114: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb504, 0x20, 3f, x1, 388,0) - -inst_115: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x1, 392,0) - -inst_116: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333333, 0x200, 3f, x1, 396,0) - -inst_117: -// rs1_val==46341 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x5, 0x10, 3f, x1, 400,0) - -inst_118: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555556, 0x20, 3f, x1, 404,0) - -inst_119: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 408,0) - -inst_120: -// rs1_val==46341 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x3, 0x10, 3f, x1, 412,0) - -inst_121: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb505, 0x100, 3f, x1, 416,0) - -inst_122: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb503, 0x2, 3f, x1, 420,0) - -inst_123: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666667, 0x4, 3f, x1, 424,0) - -inst_124: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333334, 0x2, 1b, x1, 428,0) - -inst_125: -// rs1_val==-46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x6, 0x4, 1b, x1, 432,0) - -inst_126: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555555, 0x4, 3f, x1, 436,0) - -inst_127: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555556, 0x2, 3f, x1, 440,0) - -inst_128: -// rs1_val==-46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x4, 0x8, 1b, x1, 444,0) - -inst_129: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb503, 0x40, 3f, x1, 448,0) - -inst_130: -// rs1_val==-46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x0, 0x80, 3f, x1, 452,0) - -inst_131: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666665, 0x100, 3f, x1, 456,0) - -inst_132: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333332, 0x4, 3f, x1, 460,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555554, 0x556, 1b, x1, 464,0) - -inst_134: -// rs1_val==-46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x2, 0x100, 3f, x1, 468,0) - -inst_135: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb504, 0x200, 3f, x1, 472,0) - -inst_136: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb504, 0x400, 1b, x1, 476,0) - -inst_137: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666666, 0x2, 3f, x1, 480,0) - -inst_138: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333333, 0x0, 3f, x1, 484,0) - -inst_139: -// rs1_val==-46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x5, 0x8, 3f, x1, 488,0) - -inst_140: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555556, 0x2, 1b, x1, 492,0) - -inst_141: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555555, 0x556, 1b, x1, 496,0) - -inst_142: -// rs1_val==-46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x3, 0x4, 1b, x1, 500,0) - -inst_143: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb505, 0x8, 3f, x1, 504,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb503, 0x2, 1b, x1, 508,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666667, 0xa, 1b, x1, 512,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333334, 0x100, 3f, x1, 516,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x6, 0x10, 3f, x1, 520,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555555, 0x100, 3f, x1, 524,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x1, 528,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x4, 0x6, 1b, x1, 532,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x1, 536,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x0, 0x100, 3f, x1, 540,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666665, 0x2, 1b, x1, 544,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x1, 548,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555554, 0x556, 1b, x1, 552,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x2, 0x100, 3f, x1, 556,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb504, 0x10, 3f, x1, 560,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb504, 0x200, 3f, x1, 564,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666666, 0x0, 3f, x1, 568,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333333, 0x400, 1b, x1, 572,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x5, 0x200, 3f, x1, 576,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555556, 0x2, 3f, x1, 580,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555555, 0x10, 3f, x1, 584,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x3, 0x2, 3f, x1, 588,0) - -inst_165: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x1, 592,0) - -inst_166: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb503, 0x10, 3f, x1, 596,0) - -inst_167: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666667, 0x100, 3f, x1, 600,0) - -inst_168: -// rs1_val==858993460 and rs2_val==858993460, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x1, 604,0) - -inst_169: -// rs1_val==858993460 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x6, 0x8, 1b, x1, 608,0) - -inst_170: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555555, 0x6, 1b, x1, 612,0) - -inst_171: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555556, 0x8, 3f, x1, 616,0) - -inst_172: -// rs1_val==858993460 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x4, 0x400, 1b, x1, 620,0) - -inst_173: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x1, 624,0) - -inst_174: -// rs1_val==858993460 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x0, 0x10, 3f, x1, 628,0) - -inst_175: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666665, 0x400, 3f, x1, 632,0) - -inst_176: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333332, 0x80, 3f, x1, 636,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555554, 0x2, 1b, x1, 640,0) - -inst_178: -// rs1_val==858993460 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x2, 0x0, 1b, x1, 644,0) - -inst_179: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb504, 0x400, 3f, x1, 648,0) - -inst_180: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb504, 0x10, 3f, x1, 652,0) - -inst_181: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666666, 0x6, 3f, x1, 656,0) - -inst_182: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333333, 0x0, 3f, x1, 660,0) - -inst_183: -// rs1_val==858993460 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x5, 0x0, 1b, x1, 664,0) - -inst_184: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555556, 0x6, 1b, x1, 668,0) - -inst_185: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555555, 0x2, 3f, x1, 672,0) - -inst_186: -// rs1_val==858993460 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x3, 0x8, 3f, x1, 676,0) - -inst_187: -// rs1_val==6 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 680,0) - -inst_188: -// rs1_val==6 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb503, 0x40, 3f, x1, 684,0) - -inst_189: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666667, 0x4, 3f, x1, 688,0) - -inst_190: -// rs1_val==6 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333334, 0x4, 1b, x1, 692,0) - -inst_191: -// rs1_val==6 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x6, 0x0, 1b, x1, 696,0) - -inst_192: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555555, 0x2, 1b, x1, 700,0) - -inst_193: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555556, 0x10, 3f, x1, 704,0) - -inst_194: -// rs1_val==6 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x4, 0x400, 3f, x1, 708,0) - -inst_195: -// rs1_val==6 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb503, 0x10, 3f, x1, 712,0) - -inst_196: -// rs1_val==6 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x0, 0x0, 1b, x1, 716,0) - -inst_197: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666665, 0x80, 3f, x1, 720,0) - -inst_198: -// rs1_val==6 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333332, 0x40, 3f, x1, 724,0) - -inst_199: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555554, 0xa, 1b, x1, 728,0) - -inst_200: -// rs1_val==6 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x2, 0x2, 1b, x1, 732,0) - -inst_201: -// rs1_val==6 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb504, 0x6, 3f, x1, 736,0) - -inst_202: -// rs1_val==6 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb504, 0x400, 3f, x1, 740,0) - -inst_203: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666666, 0x6, 1b, x1, 744,0) - -inst_204: -// rs1_val==6 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333333, 0x2, 1b, x1, 748,0) - -inst_205: -// rs1_val==6 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 752,0) - -inst_206: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555556, 0x0, 1b, x1, 756,0) - -inst_207: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x1, 760,0) - -inst_208: -// rs1_val==6 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x3, 0x2, 3f, x1, 764,0) - -inst_209: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb505, 0x2, 1b, x1, 768,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb503, 0x4, 3f, x1, 772,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666667, 0x0, 3f, x1, 776,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333334, 0x0, 1b, x1, 780,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 784,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555555, 0x10, 3f, x1, 788,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555556, 0x8, 3f, x1, 792,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x4, 0x4, 3f, x1, 796,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb503, 0x20, 3f, x1, 800,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x0, 0x20, 3f, x1, 804,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666665, 0x2, 1b, x1, 808,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333332, 0x200, 3f, x1, 812,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555554, 0x400, 3f, x1, 816,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x2, 0x6, 3f, x1, 820,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb504, 0x6, 3f, x1, 824,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb504, 0x0, 3f, x1, 828,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666666, 0x400, 3f, x1, 832,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333333, 0x8, 3f, x1, 836,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x5, 0x8, 1b, x1, 840,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555556, 0x2, 1b, x1, 844,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x1, 848,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x3, 0x8, 1b, x1, 852,0) - -inst_231: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb505, 0x40, 3f, x1, 856,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb503, 0x556, 1b, x1, 860,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666667, 0x2, 3f, x1, 864,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x1, 868,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x6, 0x40, 3f, x1, 872,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555555, 0x6, 3f, x1, 876,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555556, 0x2, 1b, x1, 880,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x4, 0x10, 3f, x1, 884,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb503, 0x6, 1b, x1, 888,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x0, 0x10, 3f, x1, 892,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x1, 896,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333332, 0x400, 3f, x1, 900,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555554, 0x100, 3f, x1, 904,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x2, 0x10, 3f, x1, 908,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb504, 0x400, 3f, x1, 912,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb504, 0x8, 1b, x1, 916,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666666, 0x100, 3f, x1, 920,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x1, 924,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x5, 0xa, 1b, x1, 928,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555556, 0x0, 1b, x1, 932,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555555, 0x200, 3f, x1, 936,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 940,0) - -inst_253: -// rs1_val==4 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb505, 0x20, 3f, x1, 944,0) - -inst_254: -// rs1_val==4 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb503, 0x200, 3f, x1, 948,0) - -inst_255: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666667, 0x2, 3f, x1, 952,0) - -inst_256: -// rs1_val==4 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x1, 956,0) - -inst_257: -// rs1_val==4 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x6, 0xa, 1b, x1, 960,0) - -inst_258: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555555, 0x20, 3f, x1, 964,0) - -inst_259: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555556, 0xa, 1b, x1, 968,0) - -inst_260: -// rs1_val==4 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x4, 0x6, 1b, x1, 972,0) - -inst_261: -// rs1_val==4 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb503, 0x400, 1b, x1, 976,0) - -inst_262: -// rs1_val==4 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x0, 0x100, 3f, x1, 980,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666665, 0x100, 3f, x1, 984,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333332, 0x400, 3f, x1, 988,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555554, 0x200, 3f, x1, 992,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x2, 0x80, 3f, x1, 996,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb504, 0x400, 1b, x1, 1000,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb504, 0x0, 3f, x1, 1004,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666666, 0x10, 3f, x1, 1008,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1012,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x5, 0x80, 3f, x1, 1016,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555556, 0x6, 3f, x1, 1020,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555555, 0x0, 1b, x1, 1024,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x3, 0x40, 3f, x1, 1028,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb505, 0x2, 1b, x1, 1032,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb503, 0x400, 1b, x1, 1036,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x1, 1040,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x1, 1044,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x6, 0x2, 3f, x1, 1048,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1052,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555556, 0x2, 1b, x1, 1056,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4, 0x2, 1b, x1, 1060,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb503, 0x6, 3f, x1, 1064,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x0, 0x20, 3f, x1, 1068,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666665, 0x0, 1b, x1, 1072,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333332, 0x0, 1b, x1, 1076,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x1, 1080,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x2, 0x8, 1b, x1, 1084,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb504, 0x4, 3f, x1, 1088,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb504, 0x200, 3f, x1, 1092,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x1, 1096,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333333, 0x100, 3f, x1, 1100,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x5, 0x400, 1b, x1, 1104,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555556, 0x4, 1b, x1, 1108,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555555, 0x8, 1b, x1, 1112,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x1, 1116,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb505, 0x2, 1b, x1, 1120,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb503, 0x8, 3f, x1, 1124,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666667, 0x20, 3f, x1, 1128,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333334, 0x0, 3f, x1, 1132,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x6, 0x2, 3f, x1, 1136,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555555, 0x10, 3f, x1, 1140,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555556, 0x4, 3f, x1, 1144,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x4, 0x0, 1b, x1, 1148,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb503, 0x2, 3f, x1, 1152,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x0, 0x8, 1b, x1, 1156,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666665, 0x10, 3f, x1, 1160,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333332, 0x100, 3f, x1, 1164,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555554, 0x6, 1b, x1, 1168,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x2, 0x4, 3f, x1, 1172,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb504, 0x100, 3f, x1, 1176,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb504, 0x80, 3f, x1, 1180,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666666, 0x2, 1b, x1, 1184,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333333, 0xa, 1b, x1, 1188,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x5, 0x80, 3f, x1, 1192,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1196,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555555, 0x400, 3f, x1, 1200,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x3, 0x100, 3f, x1, 1204,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x1, 1208,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb503, 0x4, 3f, x1, 1212,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666667, 0x8, 1b, x1, 1216,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333334, 0x556, 1b, x1, 1220,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x6, 0x8, 1b, x1, 1224,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x1, 1228,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555556, 0x4, 3f, x1, 1232,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x4, 0x6, 1b, x1, 1236,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb503, 0x8, 1b, x1, 1240,0) - -inst_328: -// rs1_val==858993459 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x0, 0x80, 3f, x1, 1244,0) - -inst_329: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x1, 1248,0) - -inst_330: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x1, 1252,0) - -inst_331: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555554, 0x40, 3f, x1, 1256,0) - -inst_332: -// rs1_val==858993459 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x2, 0x4, 3f, x1, 1260,0) - -inst_333: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb504, 0x0, 3f, x1, 1264,0) - -inst_334: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb504, 0x8, 1b, x1, 1268,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666666, 0x8, 1b, x1, 1272,0) - -inst_336: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333333, 0x556, 1b, x1, 1276,0) - -inst_337: -// rs1_val==858993459 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x5, 0x6, 3f, x1, 1280,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1284,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555555, 0x556, 1b, x1, 1288,0) - -inst_340: -// rs1_val==858993459 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x3, 0x0, 1b, x1, 1292,0) - -inst_341: -// rs1_val==5 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb505, 0x556, 1b, x1, 1296,0) - -inst_342: -// rs1_val==5 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb503, 0x200, 3f, x1, 1300,0) - -inst_343: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666667, 0x0, 1b, x1, 1304,0) - -inst_344: -// rs1_val==5 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333334, 0x6, 1b, x1, 1308,0) - -inst_345: -// rs1_val==5 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x6, 0x2, 3f, x1, 1312,0) - -inst_346: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555555, 0x20, 3f, x1, 1316,0) - -inst_347: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555556, 0x400, 3f, x1, 1320,0) - -inst_348: -// rs1_val==5 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x1, 1324,0) - -inst_349: -// rs1_val==5 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb503, 0x6, 1b, x1, 1328,0) - -inst_350: -// rs1_val==5 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x0, 0x200, 3f, x1, 1332,0) - -inst_351: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666665, 0x6, 3f, x1, 1336,0) - -inst_352: -// rs1_val==5 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333332, 0x556, 1b, x1, 1340,0) - -inst_353: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555554, 0x2, 1b, x1, 1344,0) - -inst_354: -// rs1_val==5 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x2, 0x6, 1b, x1, 1348,0) - -inst_355: -// rs1_val==5 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb504, 0xa, 1b, x1, 1352,0) - -inst_356: -// rs1_val==5 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb504, 0x2, 1b, x1, 1356,0) - -inst_357: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x1, 1360,0) - -inst_358: -// rs1_val==5 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333333, 0x80, 3f, x1, 1364,0) - -inst_359: -// rs1_val==5 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x1, 1368,0) - -inst_360: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1372,0) - -inst_361: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555555, 0x100, 3f, x1, 1376,0) - -inst_362: -// rs1_val==5 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x3, 0x6, 3f, x1, 1380,0) - -inst_363: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x1, 1384,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb503, 0xa, 1b, x1, 1388,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666667, 0x400, 3f, x1, 1392,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333334, 0x200, 3f, x1, 1396,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x6, 0x40, 3f, x1, 1400,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555555, 0x8, 1b, x1, 1404,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555556, 0x8, 1b, x1, 1408,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x4, 0x2, 1b, x1, 1412,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb503, 0x20, 3f, x1, 1416,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x0, 0x0, 1b, x1, 1420,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666665, 0x200, 3f, x1, 1424,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333332, 0xa, 1b, x1, 1428,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555554, 0x2, 3f, x1, 1432,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x2, 0x6, 3f, x1, 1436,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb504, 0x4, 3f, x1, 1440,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb504, 0x2, 3f, x1, 1444,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666666, 0x2, 3f, x1, 1448,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333333, 0x200, 3f, x1, 1452,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x5, 0x556, 1b, x1, 1456,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555556, 0x8, 3f, x1, 1460,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555555, 0x200, 3f, x1, 1464,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x3, 0x4, 3f, x1, 1468,0) - -inst_385: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb505, 0xa, 1b, x1, 1472,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb503, 0x4, 3f, x1, 1476,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x1, 1480,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333334, 0x0, 3f, x1, 1484,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x6, 0x6, 3f, x1, 1488,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555555, 0x6, 1b, x1, 1492,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555556, 0x8, 3f, x1, 1496,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x4, 0x200, 3f, x1, 1500,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb503, 0x0, 3f, x1, 1504,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1508,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x1, 1512,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333332, 0x40, 3f, x1, 1516,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555554, 0x4, 1b, x1, 1520,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x2, 0x10, 3f, x1, 1524,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb504, 0x8, 3f, x1, 1528,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb504, 0x2, 3f, x1, 1532,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666666, 0x20, 3f, x1, 1536,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333333, 0xa, 1b, x1, 1540,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x5, 0x6, 1b, x1, 1544,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555556, 0x8, 3f, x1, 1548,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555555, 0x200, 3f, x1, 1552,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x3, 0x6, 3f, x1, 1556,0) - -inst_407: -// rs1_val==3 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb505, 0x0, 1b, x1, 1560,0) - -inst_408: -// rs1_val==3 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb503, 0x80, 3f, x1, 1564,0) - -inst_409: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666667, 0x2, 3f, x1, 1568,0) - -inst_410: -// rs1_val==3 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333334, 0x4, 3f, x1, 1572,0) - -inst_411: -// rs1_val==3 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x6, 0x8, 3f, x1, 1576,0) - -inst_412: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555555, 0x10, 3f, x1, 1580,0) - -inst_413: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555556, 0x4, 3f, x1, 1584,0) - -inst_414: -// rs1_val==3 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x4, 0x2, 1b, x1, 1588,0) - -inst_415: -// rs1_val==3 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb503, 0x8, 1b, x1, 1592,0) - -inst_416: -// rs1_val==3 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x0, 0x80, 3f, x1, 1596,0) - -inst_417: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666665, 0x20, 3f, x1, 1600,0) - -inst_418: -// rs1_val==3 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333332, 0x0, 3f, x1, 1604,0) - -inst_419: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555554, 0x80, 3f, x1, 1608,0) - -inst_420: -// rs1_val==3 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x2, 0x8, 3f, x1, 1612,0) - -inst_421: -// rs1_val==3 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb504, 0x400, 3f, x1, 1616,0) - -inst_422: -// rs1_val==3 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb504, 0x100, 3f, x1, 1620,0) - -inst_423: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x1, 1624,0) - -inst_424: -// rs1_val==3 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333333, 0x400, 3f, x1, 1628,0) - -inst_425: -// rs1_val==3 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x5, 0x200, 3f, x1, 1632,0) - -inst_426: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555556, 0x400, 1b, x1, 1636,0) - -inst_427: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555555, 0x400, 1b, x1, 1640,0) - -inst_428: -// rs1_val==3 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x3, 0x4, 1b, x1, 1644,0) - -inst_429: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x0, 0x8, 1b, x1, 1648,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666665, 0xa, 1b, x1, 1652,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333332, 0x200, 3f, x1, 1656,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555554, 0x400, 1b, x1, 1660,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x2, 0x556, 1b, x1, 1664,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb504, 0x40, 3f, x1, 1668,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb504, 0x8, 1b, x1, 1672,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 1b, x1, 1676,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x1, 1680,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x5, 0x0, 3f, x1, 1684,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555556, 0x400, 3f, x1, 1688,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x1, 1692,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x3, 0x10, 3f, x1, 1696,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb505, 0x4, 1b, x1, 1700,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb503, 0x8, 3f, x1, 1704,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666667, 0x4, 3f, x1, 1708,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333334, 0x6, 3f, x1, 1712,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x6, 0x20, 3f, x1, 1716,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555555, 0x2, 3f, x1, 1720,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555556, 0x2, 3f, x1, 1724,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x4, 0x0, 1b, x1, 1728,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb503, 0x6, 3f, x1, 1732,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x0, 0xa, 1b, x1, 1736,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666665, 0x8, 3f, x1, 1740,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x1, 1744,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555554, 0x556, 1b, x1, 1748,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x2, 0x100, 3f, x1, 1752,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb504, 0x8, 3f, x1, 1756,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb504, 0x100, 3f, x1, 1760,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666666, 0x400, 1b, x1, 1764,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333333, 0x400, 1b, x1, 1768,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x1, 1772,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555556, 0x4, 3f, x1, 1776,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555555, 0x6, 1b, x1, 1780,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x3, 0x10, 3f, x1, 1784,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb505, 0x4, 3f, x1, 1788,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb503, 0x8, 1b, x1, 1792,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666667, 0x2, 1b, x1, 1796,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1800,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x6, 0x2, 3f, x1, 1804,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555555, 0x8, 1b, x1, 1808,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1812,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1816,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x1, 1820,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x0, 0x2, 3f, x1, 1824,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666665, 0x40, 3f, x1, 1828,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x1, 1832,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555554, 0x2, 1b, x1, 1836,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2, 0x80, 3f, x1, 1840,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x1, 1844,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb504, 0x20, 3f, x1, 1848,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666666, 0x40, 3f, x1, 1852,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333333, 0x400, 1b, x1, 1856,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x5, 0x400, 1b, x1, 1860,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555556, 0x40, 3f, x1, 1864,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555555, 0x0, 1b, x1, 1868,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x3, 0x556, 1b, x1, 1872,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb505, 0x400, 3f, x1, 1876,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb503, 0x80, 3f, x1, 1880,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666667, 0x4, 3f, x1, 1884,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333334, 0x100, 3f, x1, 1888,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x6, 0x20, 3f, x1, 1892,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555555, 0x200, 3f, x1, 1896,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555556, 0x80, 3f, x1, 1900,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x4, 0x80, 3f, x1, 1904,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb503, 0x8, 1b, x1, 1908,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x0, 0x2, 3f, x1, 1912,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666665, 0x8, 1b, x1, 1916,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333332, 0x2, 3f, x1, 1920,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555554, 0x100, 3f, x1, 1924,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x2, 0x100, 3f, x1, 1928,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb504, 0x2, 1b, x1, 1932,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb504, 0x4, 3f, x1, 1936,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666666, 0x4, 3f, x1, 1940,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x1, 1944,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x5, 0x6, 1b, x1, 1948,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555556, 0x6, 1b, x1, 1952,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555555, 0x80, 3f, x1, 1956,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x1, 1960,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb505, 0x2, 1b, x1, 1964,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb503, 0x100, 3f, x1, 1968,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666667, 0x80, 3f, x1, 1972,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333334, 0x10, 3f, x1, 1976,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x6, 0x2, 3f, x1, 1980,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555555, 0x20, 3f, x1, 1984,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x1, 1988,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x4, 0x8, 1b, x1, 1992,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x1, 1996,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2000,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666665, 0x100, 3f, x1, 2004,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x1, 2008,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555554, 0x6, 3f, x1, 2012,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x2, 0x100, 3f, x1, 2016,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb504, 0x4, 3f, x1, 2020,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb504, 0xa, 1b, x1, 2024,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x1, 2028,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333333, 0x8, 3f, x1, 2032,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x5, 0x0, 1b, x1, 2036,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555556, 0x6, 1b, x1, 2040,0) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555555, 0x100, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x3, 0x8, 3f, x1, 0,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb505, 0x400, 1b, x1, 4,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb503, 0x6, 3f, x1, 8,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x1, 12,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333334, 0x100, 3f, x1, 16,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x6, 0x400, 1b, x1, 20,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x1, 24,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555556, 0x20, 3f, x1, 28,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x4, 0x2, 1b, x1, 32,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 36,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x0, 0x2, 1b, x1, 40,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x1, 44,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333332, 0x2, 3f, x1, 48,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555554, 0x6, 3f, x1, 52,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x2, 0x6, 1b, x1, 56,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb504, 0x2, 3f, x1, 60,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb504, 0x556, 1b, x1, 64,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666666, 0x20, 3f, x1, 68,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333333, 0x40, 3f, x1, 72,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x5, 0x2, 3f, x1, 76,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555556, 0x400, 1b, x1, 80,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555555, 0x100, 3f, x1, 84,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 88,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb505, 0x10, 3f, x1, 92,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb503, 0x20, 3f, x1, 96,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666667, 0x2, 1b, x1, 100,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 104,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x6, 0x10, 3f, x1, 108,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555555, 0x4, 1b, x1, 112,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555556, 0x2, 3f, x1, 116,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x1, 120,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb503, 0x6, 3f, x1, 124,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x0, 0x80, 3f, x1, 128,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666665, 0x2, 1b, x1, 132,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x1, 136,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x1, 140,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x2, 0x2, 1b, x1, 144,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb504, 0x0, 1b, x1, 148,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb504, 0x20, 3f, x1, 152,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x1, 156,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333333, 0x200, 3f, x1, 160,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x1, 164,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 168,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x1, 172,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x3, 0x6, 1b, x1, 176,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb505, 0x20, 3f, x1, 180,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb503, 0x6, 1b, x1, 184,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666667, 0x400, 1b, x1, 188,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333334, 0x0, 3f, x1, 192,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 196,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555555, 0x8, 3f, x1, 200,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555556, 0x80, 3f, x1, 204,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x4, 0x200, 3f, x1, 208,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb503, 0x8, 1b, x1, 212,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10001, -0x8, 0x400, 1b, x1, 216,0) - -inst_584: -// rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x20000001; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8001, -0x20000001, 0x20, 3f, x1, 220,0) - -inst_585: -// rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, -0x4000001, 0x556, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x7_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S deleted file mode 100644 index 2b9d38768..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S +++ /dev/null @@ -1,3720 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bgeu instruction of the RISC-V E extension for the bgeu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bgeu) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x5, rs2==x5, rs1_val > 0 and rs2_val > 0, rs2_val == 4294967039, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bgeu, op1:x5; op2:x5; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x3, x5, x5, 0xdfffffff, 0xdfffffff, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x1, rs2==x10, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs1_val == 4261412863 -// opcode: bgeu, op1:x1; op2:x10; op1val:0xfdffffff; op2val:0x7fffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x3, x1, x10, 0xfdffffff, 0x7fffffff, 0x4, 3f, x2, 4,0) - -inst_2: -// rs1==x0, rs2==x9, rs2_val == 3221225471, -// opcode: bgeu, op1:x0; op2:x9; op1val:0x0; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x3, x0, x9, 0x0, 0xbfffffff, 0xa, 1b, x2, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bgeu, op1:x7; op2:x11; op1val:0x13; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x7, x11, 0x13, 0xdfffffff, 0x6, 3f, x2, 12,0) - -inst_4: -// rs1==x6, rs2==x12, rs2_val == 4026531839, rs1_val == 2 -// opcode: bgeu, op1:x6; op2:x12; op1val:0x2; op2val:0xefffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x3, x6, x12, 0x2, 0xefffffff, 0x8, 3f, x2, 16,0) - -inst_5: -// rs1==x9, rs2==x13, rs2_val == 4160749567, rs1_val == 3221225471 -// opcode: bgeu, op1:x9; op2:x13; op1val:0xbfffffff; op2val:0xf7ffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x3, x9, x13, 0xbfffffff, 0xf7ffffff, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x11, rs2==x7, rs2_val == 4227858431, -// opcode: bgeu, op1:x11; op2:x7; op1val:0xb505; op2val:0xfbffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x11, x7, 0xb505, 0xfbffffff, 0x2, 3f, x2, 24,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == 4261412863, rs1_val == 1 -// opcode: bgeu, op1:x13; op2:x6; op1val:0x1; op2val:0xfdffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x13, x6, 0x1, 0xfdffffff, 0x2, 3f, x2, 28,0) - -inst_8: -// rs1==x12, rs2==x15, rs2_val == 4278190079, -// opcode: bgeu, op1:x12; op2:x15; op1val:0x66666666; op2val:0xfeffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x3, x12, x15, 0x66666666, 0xfeffffff, 0x0, 1b, x2, 32,0) - -inst_9: -// rs1==x10, rs2==x14, rs2_val == 4286578687, -// opcode: bgeu, op1:x10; op2:x14; op1val:0x33333333; op2val:0xff7fffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x10, x14, 0x33333333, 0xff7fffff, 0x6, 3f, x2, 36,0) - -inst_10: -// rs1==x3, rs2==x8, rs2_val == 4290772991, -// opcode: bgeu, op1:x3; op2:x8; op1val:0x12; op2val:0xffbfffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x3, x8, 0x12, 0xffbfffff, 0x4, 1b, x2, 40,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_11: -// rs1==x4, rs2==x2, rs2_val == 4292870143, -// opcode: bgeu, op1:x4; op2:x2; op1val:0x6; op2val:0xffdfffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x4, x2, 0x6, 0xffdfffff, 0x6, 1b, x5, 0,0) - -inst_12: -// rs1==x15, rs2==x3, rs2_val == 4293918719, -// opcode: bgeu, op1:x15; op2:x3; op1val:0x5; op2val:0xffefffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x15, x3, 0x5, 0xffefffff, 0x2, 1b, x5, 4,0) - -inst_13: -// rs1==x14, rs2==x1, rs2_val == 4294443007, rs1_val == 4293918719 -// opcode: bgeu, op1:x14; op2:x1; op1val:0xffefffff; op2val:0xfff7ffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x14, x1, 0xffefffff, 0xfff7ffff, 0x80, 3f, x5, 8,0) - -inst_14: -// rs1==x8, rs2==x4, rs2_val == 4294705151, -// opcode: bgeu, op1:x8; op2:x4; op1val:0x3; op2val:0xfffbffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x8, x4, 0x3, 0xfffbffff, 0x4, 1b, x5, 12,0) - -inst_15: -// rs1==x2, rs2==x0, rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x2; op2:x0; op1val:0x7fffffff; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x2, x0, 0x7fffffff, 0x0, 0x2, 1b, x5, 16,0) - -inst_16: -// rs2_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffeffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffeffff, 0x4, 3f, x5, 20,0) - -inst_17: -// rs2_val == 4294934527, rs1_val == 4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff7fff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff7fff, 0x6, 3f, x5, 24,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4294443007 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0xffffbfff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfff7ffff, 0xffffbfff, 0x2, 1b, x5, 28,0) - -inst_19: -// rs2_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffffdfff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffffdfff, 0x20, 3f, x5, 32,0) - -inst_20: -// rs2_val == 4294963199, rs1_val == 4286578687 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0xffffefff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xff7fffff, 0xffffefff, 0x100, 3f, x5, 36,0) - -inst_21: -// rs2_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffff7ff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffff7ff, 0x400, 1b, x5, 40,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000000, 0xfffffbff, 0x8, 1b, x5, 44,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffffdff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffffdff, 0x4, 3f, x5, 48,0) - -inst_24: -// rs2_val == 4294967167, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffffff7f; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffffff7f, 0x0, 3f, x5, 52,0) - -inst_25: -// rs2_val == 4294967231, rs1_val == 4294967293 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xffffffbf; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffd, 0xffffffbf, 0x0, 3f, x5, 56,0) - -inst_26: -// rs2_val == 4294967263, rs1_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0xffffffdf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0xffffffdf, 0x100, 3f, x5, 60,0) - -inst_27: -// rs2_val == 4294967279, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xffffffef; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xffffffef, 0x80, 3f, x5, 64,0) - -inst_28: -// rs2_val == 4294967287, rs1_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000000; op2val:0xfffffff7; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000000, 0xfffffff7, 0xa, 1b, x5, 68,0) - -inst_29: -// rs2_val == 4294967291, rs1_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffffb; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000, 0xfffffffb, 0xa, 1b, x5, 72,0) - -inst_30: -// rs2_val == 4294967293, rs1_val == 524288 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000; op2val:0xfffffffd; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000, 0xfffffffd, 0x10, 3f, x5, 76,0) - -inst_31: -// rs2_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xdfffffff; op2val:0xfffffffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xdfffffff, 0xfffffffe, 0x6, 1b, x5, 80,0) - -inst_32: -// rs1_val == 4026531839, rs2_val == 1073741824 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xefffffff, 0x40000000, 0x2, 3f, x5, 84,0) - -inst_33: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xf7ffffff, 0x4000000, 0x2, 3f, x5, 88,0) - -inst_34: -// rs1_val == 4227858431, rs2_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x8000, 0x4, 3f, x5, 92,0) - -inst_35: -// rs1_val == 4278190079, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xc; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfeffffff, 0xc, 0x4, 3f, x5, 96,0) - -inst_36: -// rs1_val == 4290772991, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0xffffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffbfffff, 0xffffffff, 0x556, 1b, x5, 100,0) - -inst_37: -// rs1_val == 4292870143, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x8000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffdfffff, 0x8000, 0x10, 3f, x5, 104,0) - -inst_38: -// rs1_val == 4294705151, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfffffeff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffbffff, 0xfffffeff, 0x0, 1b, x5, 108,0) - -inst_39: -// rs1_val == 4294836223, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffdffff, 0x5, 0x0, 1b, x5, 112,0) - -inst_40: -// rs1_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0xfffff7ff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffeffff, 0xfffff7ff, 0x20, 3f, x5, 116,0) - -inst_41: -// rs1_val == 4294934527, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0xc; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0xc, 0xa, 1b, x5, 120,0) - -inst_42: -// rs1_val == 4294950911, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0xfffffffd; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffbfff, 0xfffffffd, 0x20, 3f, x5, 124,0) - -inst_43: -// rs1_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xfeffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffdfff, 0xfeffffff, 0x100, 3f, x5, 128,0) - -inst_44: -// rs1_val == 4294963199, rs2_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x1000000, 0x10, 3f, x5, 132,0) - -inst_45: -// rs1_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0xfffffbff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0xfffffbff, 0x400, 3f, x5, 136,0) - -inst_46: -// rs1_val == 4294966271, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xefffffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffbff, 0xefffffff, 0x80, 3f, x5, 140,0) - -inst_47: -// rs1_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x1000000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffdff, 0x1000000, 0x6, 1b, x5, 144,0) - -inst_48: -// rs1_val == 4294967039, rs2_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x10000000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffeff, 0x10000000, 0x0, 3f, x5, 148,0) - -inst_49: -// rs1_val == 4294967167, rs2_val == 256 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x100; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x100, 0x6, 3f, x5, 152,0) - -inst_50: -// rs1_val == 4294967231, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0xffffffbf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffbf, 0xffffffbf, 0x100, 3f, x5, 156,0) - -inst_51: -// rs1_val == 4294967263, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0xffffffbf, 0x6, 1b, x5, 160,0) - -inst_52: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x800; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffef, 0x800, 0xa, 1b, x5, 164,0) - -inst_53: -// rs1_val == 4294967287, rs2_val == 134217728 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffff7, 0x8000000, 0x2, 1b, x5, 168,0) - -inst_54: -// rs1_val == 4294967291, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0xfffffeff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffb, 0xfffffeff, 0x400, 1b, x5, 172,0) - -inst_55: -// rs1_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0xe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffe, 0xe, 0x400, 3f, x5, 176,0) - -inst_56: -// rs2_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x80000000; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0x80000000, 0x80, 3f, x5, 180,0) - -inst_57: -// rs2_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x20000000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x20000000, 0x556, 1b, x5, 184,0) - -inst_58: -// rs2_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x2000000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x2000000, 0x200, 3f, x5, 188,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x800000, 0x200, 3f, x5, 192,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0x400000, 0x6, 3f, x5, 196,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x200000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x200000, 0x8, 1b, x5, 200,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x100000, 0x0, 3f, x5, 204,0) - -inst_63: -// rs2_val == 524288, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7; op2val:0x80000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7, 0x80000, 0x10, 3f, x5, 208,0) - -inst_64: -// rs2_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x40000, 0x0, 3f, x5, 212,0) - -inst_65: -// rs2_val == 131072, rs1_val == 65536 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x20000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x20000, 0x200, 3f, x5, 216,0) - -inst_66: -// rs2_val == 65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x10000, 0x40, 3f, x5, 220,0) - -inst_67: -// rs2_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xc; op2val:0x4000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xc, 0x4000, 0x2, 3f, x5, 224,0) - -inst_68: -// rs2_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2000, 0x6, 3f, x5, 228,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20; op2val:0x1000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20, 0x1000, 0x2, 1b, x5, 232,0) - -inst_70: -// rs2_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x400, 0x6, 1b, x5, 236,0) - -inst_71: -// rs2_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x200, 0x4, 3f, x5, 240,0) - -inst_72: -// rs2_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x80; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x80, 0x100, 3f, x5, 244,0) - -inst_73: -// rs2_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x40, 0x8, 3f, x5, 248,0) - -inst_74: -// rs2_val == 32, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x20, 0x200, 3f, x5, 252,0) - -inst_75: -// rs2_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x10; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x10, 0x6, 3f, x5, 256,0) - -inst_76: -// rs2_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0x8; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0x8, 0x2, 1b, x5, 260,0) - -inst_77: -// rs2_val == 4, rs1_val==65536 and rs2_val==4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x4, 0x2, 1b, x5, 264,0) - -inst_78: -// rs2_val == 2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x2, 0x4, 3f, x5, 268,0) - -inst_79: -// rs2_val == 1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x1; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x1, 0x10, 3f, x5, 272,0) - -inst_80: -// rs1_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000000; op2val:0xfffffff7; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000000, 0xfffffff7, 0x2, 1b, x5, 276,0) - -inst_81: -// rs1_val == 1073741824, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000000; op2val:0xb; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000000, 0xb, 0x100, 3f, x5, 280,0) - -inst_82: -// rs1_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000000, 0x800000, 0x8, 3f, x5, 284,0) - -inst_83: -// rs1_val == 134217728, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000000; op2val:0xefffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000000, 0xefffffff, 0x100, 3f, x5, 288,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xb; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000000, 0xb, 0x200, 3f, x5, 292,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800000; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800000, 0x4, 0x8, 1b, x5, 296,0) - -inst_86: -// rs1_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400000; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400000, 0x1, 0x0, 3f, x5, 300,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200000; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200000, 0x7fffffff, 0x400, 1b, x5, 304,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100000, 0x33333333, 0x2, 1b, x5, 308,0) - -inst_89: -// rs1_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000, 0x80, 0x8, 3f, x5, 312,0) - -inst_90: -// rs1_val == 131072, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000; op2val:0x20000000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000, 0x20000000, 0x20, 3f, x5, 316,0) - -inst_91: -// rs1_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000, 0x66666666, 0x6, 3f, x5, 320,0) - -inst_92: -// rs1_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000, 0x66666666, 0x2, 1b, x5, 324,0) - -inst_93: -// rs1_val == 4096, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffeff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000, 0xfffffeff, 0x556, 1b, x5, 328,0) - -inst_94: -// rs1_val == 2048, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800, 0xfffffffd, 0x80, 3f, x5, 332,0) - -inst_95: -// rs1_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400, 0x0, 0x6, 3f, x5, 336,0) - -inst_96: -// rs1_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200; op2val:0xefffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200, 0xefffffff, 0x556, 1b, x5, 340,0) - -inst_97: -// rs1_val == 256, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100, 0xb505, 0x200, 3f, x5, 344,0) - -inst_98: -// rs1_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80, 0x0, 0x4, 3f, x5, 348,0) - -inst_99: -// rs1_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffefff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40, 0xffffefff, 0x556, 1b, x5, 352,0) - -inst_100: -// rs1_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10, 0xfffffeff, 0x100, 3f, x5, 356,0) - -inst_101: -// rs1_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8; op2val:0xffffefff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8, 0xffffefff, 0x6, 3f, x5, 360,0) - -inst_102: -// rs1_val==65536 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x10000, 0x0, 3f, x5, 364,0) - -inst_103: -// rs1_val==65536 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x1, 0x80, 3f, x5, 368,0) - -inst_104: -// rs1_val==65536 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb505, 0x10, 3f, x5, 372,0) - -inst_105: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666667, 0x556, 1b, x5, 376,0) - -inst_106: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333334, 0x80, 3f, x5, 380,0) - -inst_107: -// rs1_val==65536 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x6, 0x400, 1b, x5, 384,0) - -inst_108: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaab, 0x100, 3f, x5, 388,0) - -inst_109: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555556, 0x20, 3f, x5, 392,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xfffe, 0x4, 3f, x5, 396,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x0, 0x100, 3f, x5, 400,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb503, 0x8, 1b, x5, 404,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666665, 0x400, 1b, x5, 408,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333332, 0x8, 3f, x5, 412,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaa9, 0x200, 3f, x5, 416,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555554, 0x8, 1b, x5, 420,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x2, 0x40, 3f, x5, 424,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xffff, 0x80, 3f, x5, 428,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb504, 0x100, 3f, x5, 432,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666666, 0x4, 3f, x5, 436,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x5, 440,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x5, 0x4, 1b, x5, 444,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaaa, 0x2, 3f, x5, 448,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555555, 0x20, 3f, x5, 452,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x3, 0x20, 3f, x5, 456,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x10000, 0x4, 1b, x5, 460,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x1, 0x100, 3f, x5, 464,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb505, 0x80, 3f, x5, 468,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666667, 0x2, 1b, x5, 472,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333334, 0x4, 3f, x5, 476,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x6, 0xa, 1b, x5, 480,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaab, 0x400, 3f, x5, 484,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555556, 0x400, 3f, x5, 488,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x4, 0x80, 3f, x5, 492,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xfffe, 0x400, 1b, x5, 496,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x0, 0x2, 3f, x5, 500,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb503, 0x8, 1b, x5, 504,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666665, 0x556, 1b, x5, 508,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333332, 0x40, 3f, x5, 512,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaa9, 0x400, 3f, x5, 516,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555554, 0x40, 3f, x5, 520,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x2, 0x100, 3f, x5, 524,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xffff, 0x20, 3f, x5, 528,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb504, 0x4, 3f, x5, 532,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666666, 0x10, 3f, x5, 536,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333333, 0x8, 1b, x5, 540,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x5, 0x8, 3f, x5, 544,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaaa, 0x40, 3f, x5, 548,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555555, 0x6, 1b, x5, 552,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x3, 0x10, 3f, x5, 556,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x10000, 0x8, 3f, x5, 560,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x1, 0x200, 3f, x5, 564,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb505, 0x400, 3f, x5, 568,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666667, 0x556, 1b, x5, 572,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333334, 0x10, 3f, x5, 576,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x6, 0x20, 3f, x5, 580,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaab, 0x4, 1b, x5, 584,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555556, 0x6, 3f, x5, 588,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x4, 0x4, 1b, x5, 592,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xfffe, 0x556, 1b, x5, 596,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x0, 0x6, 1b, x5, 600,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb503, 0xa, 1b, x5, 604,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x5, 608,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333332, 0x200, 3f, x5, 612,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaa9, 0x0, 3f, x5, 616,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555554, 0x2, 1b, x5, 620,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x2, 0x80, 3f, x5, 624,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xffff, 0x6, 1b, x5, 628,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb504, 0x40, 3f, x5, 632,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666666, 0x6, 1b, x5, 636,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333333, 0xa, 1b, x5, 640,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x5, 0x20, 3f, x5, 644,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaaa, 0x20, 3f, x5, 648,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x5, 652,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x3, 0x40, 3f, x5, 656,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x10000, 0x4, 3f, x5, 660,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x1, 0x200, 3f, x5, 664,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb505, 0x400, 1b, x5, 668,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666667, 0x6, 3f, x5, 672,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333334, 0x400, 1b, x5, 676,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x6, 0x6, 3f, x5, 680,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaab, 0x400, 1b, x5, 684,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555556, 0x6, 3f, x5, 688,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x4, 0x8, 1b, x5, 692,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xfffe, 0x2, 3f, x5, 696,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x0, 0x6, 1b, x5, 700,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb503, 0x80, 3f, x5, 704,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666665, 0x8, 1b, x5, 708,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333332, 0x8, 1b, x5, 712,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaa9, 0x20, 3f, x5, 716,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555554, 0x200, 3f, x5, 720,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x2, 0x4, 3f, x5, 724,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xffff, 0x10, 3f, x5, 728,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x5, 732,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666666, 0x8, 1b, x5, 736,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333333, 0x0, 3f, x5, 740,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x5, 0x6, 3f, x5, 744,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaaa, 0x556, 1b, x5, 748,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555555, 0x80, 3f, x5, 752,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x3, 0x4, 3f, x5, 756,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x10000, 0x8, 3f, x5, 760,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x1, 0x8, 3f, x5, 764,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb505, 0x0, 3f, x5, 768,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x5, 772,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333334, 0x200, 3f, x5, 776,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x6, 0x4, 3f, x5, 780,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaab, 0x8, 3f, x5, 784,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555556, 0x10, 3f, x5, 788,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x4, 0x40, 3f, x5, 792,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xfffe, 0x10, 3f, x5, 796,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x0, 0x400, 1b, x5, 800,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x5, 804,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666665, 0x6, 1b, x5, 808,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333332, 0x2, 3f, x5, 812,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaa9, 0x8, 3f, x5, 816,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555554, 0x4, 3f, x5, 820,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x2, 0x20, 3f, x5, 824,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xffff, 0x40, 3f, x5, 828,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb504, 0x10, 3f, x5, 832,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666666, 0x4, 3f, x5, 836,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333333, 0x400, 3f, x5, 840,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x5, 0x0, 3f, x5, 844,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaaa, 0x2, 3f, x5, 848,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555555, 0x0, 1b, x5, 852,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x3, 0x2, 1b, x5, 856,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x10000, 0x4, 3f, x5, 860,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x1, 0x20, 3f, x5, 864,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb505, 0x100, 3f, x5, 868,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666667, 0x2, 3f, x5, 872,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333334, 0x556, 1b, x5, 876,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x6, 0x4, 3f, x5, 880,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaab, 0x20, 3f, x5, 884,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555556, 0x4, 3f, x5, 888,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x4, 0x4, 1b, x5, 892,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffe, 0x6, 1b, x5, 896,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x0, 0x556, 1b, x5, 900,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb503, 0x10, 3f, x5, 904,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666665, 0x8, 3f, x5, 908,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333332, 0x4, 1b, x5, 912,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaa9, 0x4, 1b, x5, 916,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555554, 0x200, 3f, x5, 920,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x2, 0x4, 3f, x5, 924,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xffff, 0x4, 1b, x5, 928,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb504, 0x80, 3f, x5, 932,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666666, 0x6, 1b, x5, 936,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333333, 0x2, 3f, x5, 940,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x5, 0x40, 3f, x5, 944,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaaa, 0x80, 3f, x5, 948,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555555, 0x556, 1b, x5, 952,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x3, 0x6, 1b, x5, 956,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x10000, 0x400, 1b, x5, 960,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x1, 0xa, 1b, x5, 964,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb505, 0x100, 3f, x5, 968,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666667, 0x100, 3f, x5, 972,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 1b, x5, 976,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x5, 980,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 1b, x5, 984,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555556, 0x8, 3f, x5, 988,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x4, 0x6, 3f, x5, 992,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xfffe, 0x6, 1b, x5, 996,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x0, 0x400, 1b, x5, 1000,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb503, 0x8, 1b, x5, 1004,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666665, 0x2, 3f, x5, 1008,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333332, 0x0, 3f, x5, 1012,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x8, 1b, x5, 1016,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555554, 0x40, 3f, x5, 1020,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x2, 0x2, 1b, x5, 1024,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xffff, 0x200, 3f, x5, 1028,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb504, 0x8, 3f, x5, 1032,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666666, 0x80, 3f, x5, 1036,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333333, 0x6, 1b, x5, 1040,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x5, 0x2, 3f, x5, 1044,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0xa, 1b, x5, 1048,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555555, 0x40, 3f, x5, 1052,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x3, 0x6, 1b, x5, 1056,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x10000, 0x4, 1b, x5, 1060,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x1, 0x0, 1b, x5, 1064,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb505, 0x8, 1b, x5, 1068,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666667, 0x4, 1b, x5, 1072,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333334, 0x20, 3f, x5, 1076,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x6, 0x6, 3f, x5, 1080,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaab, 0x400, 3f, x5, 1084,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555556, 0x20, 3f, x5, 1088,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x4, 0x4, 3f, x5, 1092,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xfffe, 0x8, 3f, x5, 1096,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x0, 0x400, 3f, x5, 1100,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x5, 1104,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x5, 1108,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333332, 0x556, 1b, x5, 1112,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaa9, 0x6, 3f, x5, 1116,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555554, 0x2, 3f, x5, 1120,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x2, 0x20, 3f, x5, 1124,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xffff, 0x400, 3f, x5, 1128,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb504, 0x80, 3f, x5, 1132,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666666, 0x80, 3f, x5, 1136,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333333, 0x6, 1b, x5, 1140,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x5, 0x80, 3f, x5, 1144,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaaa, 0x8, 3f, x5, 1148,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555555, 0x400, 3f, x5, 1152,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x3, 0x2, 1b, x5, 1156,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x10000, 0x2, 1b, x5, 1160,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x1, 0x4, 1b, x5, 1164,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb505, 0x2, 3f, x5, 1168,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666667, 0x6, 3f, x5, 1172,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333334, 0x0, 1b, x5, 1176,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x6, 0x4, 1b, x5, 1180,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaab, 0x4, 3f, x5, 1184,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555556, 0x4, 3f, x5, 1188,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x4, 0x0, 1b, x5, 1192,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffe, 0x200, 3f, x5, 1196,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x0, 0x2, 1b, x5, 1200,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb503, 0xa, 1b, x5, 1204,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666665, 0x8, 3f, x5, 1208,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333332, 0x400, 1b, x5, 1212,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaa9, 0x200, 3f, x5, 1216,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555554, 0x100, 3f, x5, 1220,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x2, 0x2, 3f, x5, 1224,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff, 0x100, 3f, x5, 1228,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb504, 0xa, 1b, x5, 1232,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666666, 0x10, 3f, x5, 1236,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333333, 0x80, 3f, x5, 1240,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x5, 0x2, 1b, x5, 1244,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaaa, 0x200, 3f, x5, 1248,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555555, 0x10, 3f, x5, 1252,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x3, 0x20, 3f, x5, 1256,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x5, 1260,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x1, 0x40, 3f, x5, 1264,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb505, 0x0, 3f, x5, 1268,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666667, 0xa, 1b, x5, 1272,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x5, 1276,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x6, 0x20, 3f, x5, 1280,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 1b, x5, 1284,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555556, 0x10, 3f, x5, 1288,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x4, 0x400, 3f, x5, 1292,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xfffe, 0x556, 1b, x5, 1296,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x0, 0x2, 3f, x5, 1300,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb503, 0x0, 1b, x5, 1304,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666665, 0x8, 1b, x5, 1308,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333332, 0x40, 3f, x5, 1312,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaa9, 0x2, 1b, x5, 1316,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555554, 0x6, 1b, x5, 1320,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x2, 0x2, 1b, x5, 1324,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xffff, 0xa, 1b, x5, 1328,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb504, 0x2, 3f, x5, 1332,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x5, 1336,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333333, 0x2, 1b, x5, 1340,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x5, 0x400, 1b, x5, 1344,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaaa, 0xa, 1b, x5, 1348,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555555, 0x20, 3f, x5, 1352,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x3, 0x6, 1b, x5, 1356,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x10000, 0x10, 3f, x5, 1360,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x1, 0x6, 1b, x5, 1364,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb505, 0x6, 3f, x5, 1368,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666667, 0x400, 1b, x5, 1372,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333334, 0x8, 1b, x5, 1376,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x6, 0x80, 3f, x5, 1380,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaab, 0x100, 3f, x5, 1384,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555556, 0x0, 1b, x5, 1388,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x4, 0x4, 3f, x5, 1392,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xfffe, 0x200, 3f, x5, 1396,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x0, 0x2, 1b, x5, 1400,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb503, 0x400, 1b, x5, 1404,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666665, 0x80, 3f, x5, 1408,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333332, 0x200, 3f, x5, 1412,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaa9, 0x6, 1b, x5, 1416,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555554, 0xa, 1b, x5, 1420,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x2, 0x4, 1b, x5, 1424,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xffff, 0x556, 1b, x5, 1428,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb504, 0x80, 3f, x5, 1432,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666666, 0x2, 3f, x5, 1436,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333333, 0x400, 3f, x5, 1440,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x5, 0x4, 1b, x5, 1444,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaaa, 0xa, 1b, x5, 1448,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555555, 0x0, 1b, x5, 1452,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x3, 0x10, 3f, x5, 1456,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x10000, 0x2, 1b, x5, 1460,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x1, 0x2, 3f, x5, 1464,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb505, 0x0, 3f, x5, 1468,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666667, 0x0, 3f, x5, 1472,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x5, 1476,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x6, 0x200, 3f, x5, 1480,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaab, 0x4, 1b, x5, 1484,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x5, 1488,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x4, 0x8, 3f, x5, 1492,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xfffe, 0x100, 3f, x5, 1496,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x0, 0x4, 1b, x5, 1500,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb503, 0x4, 1b, x5, 1504,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666665, 0x4, 1b, x5, 1508,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333332, 0x2, 1b, x5, 1512,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaa9, 0x40, 3f, x5, 1516,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555554, 0x400, 1b, x5, 1520,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x2, 0x10, 3f, x5, 1524,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xffff, 0x4, 1b, x5, 1528,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb504, 0x10, 3f, x5, 1532,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x5, 1536,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x5, 1540,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x5, 0xa, 1b, x5, 1544,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaaa, 0x556, 1b, x5, 1548,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555555, 0x0, 3f, x5, 1552,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x3, 0x40, 3f, x5, 1556,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x10000, 0x6, 1b, x5, 1560,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x1, 0xa, 1b, x5, 1564,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb505, 0x0, 3f, x5, 1568,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666667, 0x6, 3f, x5, 1572,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x5, 1576,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x6, 0x0, 1b, x5, 1580,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaab, 0x8, 3f, x5, 1584,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555556, 0x200, 3f, x5, 1588,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x4, 0x6, 1b, x5, 1592,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xfffe, 0x200, 3f, x5, 1596,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x0, 0x400, 1b, x5, 1600,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x5, 1604,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666665, 0x20, 3f, x5, 1608,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333332, 0x0, 3f, x5, 1612,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaa9, 0x4, 1b, x5, 1616,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x5, 1620,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x2, 0x40, 3f, x5, 1624,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffff, 0x6, 3f, x5, 1628,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb504, 0x80, 3f, x5, 1632,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x5, 1636,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333333, 0x10, 3f, x5, 1640,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x5, 0x0, 1b, x5, 1644,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaaa, 0x556, 1b, x5, 1648,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555555, 0x200, 3f, x5, 1652,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x3, 0x8, 1b, x5, 1656,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x10000, 0x100, 3f, x5, 1660,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x1, 0x8, 1b, x5, 1664,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb505, 0x2, 1b, x5, 1668,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x5, 1672,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333334, 0x10, 3f, x5, 1676,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x6, 0xa, 1b, x5, 1680,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaab, 0x100, 3f, x5, 1684,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x5, 1688,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x4, 0x100, 3f, x5, 1692,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffe, 0x2, 3f, x5, 1696,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x0, 0x80, 3f, x5, 1700,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb503, 0x556, 1b, x5, 1704,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666665, 0x400, 1b, x5, 1708,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333332, 0x10, 3f, x5, 1712,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaa9, 0x6, 1b, x5, 1716,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x5, 1720,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x2, 0x10, 3f, x5, 1724,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xffff, 0x6, 3f, x5, 1728,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb504, 0x6, 1b, x5, 1732,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666666, 0x100, 3f, x5, 1736,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333333, 0x2, 1b, x5, 1740,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x5, 0x2, 1b, x5, 1744,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaaa, 0x80, 3f, x5, 1748,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555555, 0x80, 3f, x5, 1752,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x3, 0x200, 3f, x5, 1756,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x10000, 0x20, 3f, x5, 1760,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x1, 0x556, 1b, x5, 1764,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb505, 0x40, 3f, x5, 1768,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666667, 0x40, 3f, x5, 1772,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333334, 0x400, 3f, x5, 1776,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x6, 0x6, 3f, x5, 1780,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x80, 3f, x5, 1784,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555556, 0x4, 3f, x5, 1788,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x4, 0x6, 1b, x5, 1792,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xfffe, 0x200, 3f, x5, 1796,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x0, 0x4, 1b, x5, 1800,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb503, 0x80, 3f, x5, 1804,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666665, 0x400, 3f, x5, 1808,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333332, 0x4, 3f, x5, 1812,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, 1b, x5, 1816,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 1b, x5, 1820,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x2, 0x6, 3f, x5, 1824,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xffff, 0x200, 3f, x5, 1828,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb504, 0x400, 1b, x5, 1832,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666666, 0x100, 3f, x5, 1836,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333333, 0x400, 1b, x5, 1840,0) - -inst_472: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x5, 0x2, 3f, x5, 1844,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x4, 0x10, 3f, x5, 1848,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x5, 1852,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x0, 0x200, 3f, x5, 1856,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x5, 1860,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666665, 0x8, 1b, x5, 1864,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333332, 0x80, 3f, x5, 1868,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaa9, 0x100, 3f, x5, 1872,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x5, 1876,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x2, 0x80, 3f, x5, 1880,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xffff, 0x20, 3f, x5, 1884,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb504, 0x556, 1b, x5, 1888,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666666, 0x6, 1b, x5, 1892,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333333, 0x556, 1b, x5, 1896,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x5, 0x20, 3f, x5, 1900,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaaa, 0x20, 3f, x5, 1904,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555555, 0x100, 3f, x5, 1908,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x3, 0x556, 1b, x5, 1912,0) - -inst_490: -// rs1_val==2 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x10000, 0x4, 3f, x5, 1916,0) - -inst_491: -// rs1_val==2 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x1, 0x40, 3f, x5, 1920,0) - -inst_492: -// rs1_val==2 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb505, 0x2, 3f, x5, 1924,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666667, 0x2, 1b, x5, 1928,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333334, 0x8, 3f, x5, 1932,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x6, 0x8, 1b, x5, 1936,0) - -inst_496: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaab, 0x4, 1b, x5, 1940,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555556, 0x100, 3f, x5, 1944,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x4, 0x556, 1b, x5, 1948,0) - -inst_499: -// rs1_val==2 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xfffe, 0x200, 3f, x5, 1952,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x0, 0x400, 3f, x5, 1956,0) - -inst_501: -// rs1_val==2 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb503, 0x0, 3f, x5, 1960,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666665, 0x4, 3f, x5, 1964,0) - -inst_503: -// rs1_val==2 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333332, 0x20, 3f, x5, 1968,0) - -inst_504: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaa9, 0x0, 1b, x5, 1972,0) - -inst_505: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555554, 0x200, 3f, x5, 1976,0) - -inst_506: -// rs1_val==2 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2, 0x400, 3f, x5, 1980,0) - -inst_507: -// rs1_val==2 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xffff, 0x556, 1b, x5, 1984,0) - -inst_508: -// rs1_val==2 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb504, 0x8, 3f, x5, 1988,0) - -inst_509: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666666, 0x40, 3f, x5, 1992,0) - -inst_510: -// rs1_val==2 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333333, 0xa, 1b, x5, 1996,0) - -inst_511: -// rs1_val==2 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x5, 0x10, 3f, x5, 2000,0) - -inst_512: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaaa, 0x400, 1b, x5, 2004,0) - -inst_513: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555555, 0xa, 1b, x5, 2008,0) - -inst_514: -// rs1_val==2 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x3, 0x6, 3f, x5, 2012,0) - -inst_515: -// rs1_val==65535 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x10000, 0x556, 1b, x5, 2016,0) - -inst_516: -// rs1_val==65535 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x1, 0x4, 1b, x5, 2020,0) - -inst_517: -// rs1_val==65535 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb505, 0x8, 3f, x5, 2024,0) - -inst_518: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666667, 0x4, 1b, x5, 2028,0) - -inst_519: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333334, 0x20, 3f, x5, 2032,0) - -inst_520: -// rs1_val==65535 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x6, 0x4, 1b, x5, 2036,0) - -inst_521: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaab, 0x100, 3f, x5, 2040,0) - -inst_522: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555556, 0x20, 3f, x5, 2044,0) -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_523: -// rs1_val==65535 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x4, 0x100, 3f, x5, 0,0) - -inst_524: -// rs1_val==65535 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xfffe, 0x6, 1b, x5, 4,0) - -inst_525: -// rs1_val==65535 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x0, 0x556, 1b, x5, 8,0) - -inst_526: -// rs1_val==65535 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb503, 0x100, 3f, x5, 12,0) - -inst_527: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666665, 0x2, 1b, x5, 16,0) - -inst_528: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x5, 20,0) - -inst_529: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaa9, 0x200, 3f, x5, 24,0) - -inst_530: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555554, 0x20, 3f, x5, 28,0) - -inst_531: -// rs1_val==65535 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x2, 0x6, 1b, x5, 32,0) - -inst_532: -// rs1_val==65535 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xffff, 0x0, 1b, x5, 36,0) - -inst_533: -// rs1_val==65535 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb504, 0x200, 3f, x5, 40,0) - -inst_534: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x5, 44,0) - -inst_535: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333333, 0xa, 1b, x5, 48,0) - -inst_536: -// rs1_val==65535 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x5, 0x80, 3f, x5, 52,0) - -inst_537: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaaa, 0x40, 3f, x5, 56,0) - -inst_538: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555555, 0x2, 3f, x5, 60,0) - -inst_539: -// rs1_val==65535 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x3, 0x8, 3f, x5, 64,0) - -inst_540: -// rs1_val==46340 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x10000, 0x6, 1b, x5, 68,0) - -inst_541: -// rs1_val==46340 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x1, 0x2, 1b, x5, 72,0) - -inst_542: -// rs1_val==46340 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb505, 0x40, 3f, x5, 76,0) - -inst_543: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x5, 80,0) - -inst_544: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333334, 0x400, 3f, x5, 84,0) - -inst_545: -// rs1_val==46340 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x6, 0x2, 1b, x5, 88,0) - -inst_546: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaab, 0x556, 1b, x5, 92,0) - -inst_547: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x5, 96,0) - -inst_548: -// rs1_val==46340 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x4, 0x8, 1b, x5, 100,0) - -inst_549: -// rs1_val==46340 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xfffe, 0x80, 3f, x5, 104,0) - -inst_550: -// rs1_val==46340 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x0, 0x2, 3f, x5, 108,0) - -inst_551: -// rs1_val==46340 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb503, 0xa, 1b, x5, 112,0) - -inst_552: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666665, 0x8, 3f, x5, 116,0) - -inst_553: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333332, 0x4, 1b, x5, 120,0) - -inst_554: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaa9, 0x200, 3f, x5, 124,0) - -inst_555: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555554, 0x100, 3f, x5, 128,0) - -inst_556: -// rs1_val==46340 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x2, 0x200, 3f, x5, 132,0) - -inst_557: -// rs1_val==46340 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xffff, 0x100, 3f, x5, 136,0) - -inst_558: -// rs1_val==46340 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb504, 0x400, 1b, x5, 140,0) - -inst_559: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x5, 144,0) - -inst_560: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333333, 0x200, 3f, x5, 148,0) - -inst_561: -// rs1_val==46340 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x5, 0x556, 1b, x5, 152,0) - -inst_562: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x5, 156,0) - -inst_563: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x5, 160,0) - -inst_564: -// rs1_val==46340 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x3, 0x8, 3f, x5, 164,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x10000, 0x10, 3f, x5, 168,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x1, 0x200, 3f, x5, 172,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb505, 0x4, 1b, x5, 176,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x5, 180,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333334, 0x2, 1b, x5, 184,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x6, 0x8, 1b, x5, 188,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaab, 0x6, 3f, x5, 192,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x5, 196,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x4, 0x40, 3f, x5, 200,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xfffe, 0x200, 3f, x5, 204,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x0, 0x0, 1b, x5, 208,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb503, 0x0, 1b, x5, 212,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x5, 216,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333332, 0x2, 3f, x5, 220,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaa9, 0x10, 3f, x5, 224,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555554, 0x556, 1b, x5, 228,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x2, 0x400, 1b, x5, 232,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xffff, 0x200, 3f, x5, 236,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb504, 0x2, 1b, x5, 240,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666666, 0x200, 3f, x5, 244,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333333, 0x10, 3f, x5, 248,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x5, 0x400, 3f, x5, 252,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaaa, 0x4, 1b, x5, 256,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555555, 0x2, 3f, x5, 260,0) - -inst_589: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x3, 0x8, 1b, x5, 264,0) - -inst_590: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x10000, 0x2, 1b, x5, 268,0) - -inst_591: -// rs1_val==858993459 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x1, 0x0, 1b, x5, 272,0) - -inst_592: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb505, 0x2, 3f, x5, 276,0) - -inst_593: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666667, 0x6, 3f, x5, 280,0) - -inst_594: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333334, 0x400, 1b, x5, 284,0) - -inst_595: -// rs1_val==858993459 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x6, 0x0, 3f, x5, 288,0) - -inst_596: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaab, 0x10, 3f, x5, 292,0) - -inst_597: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555556, 0x200, 3f, x5, 296,0) - -inst_598: -// rs1_val==858993459 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x4, 0x8, 1b, x5, 300,0) - -inst_599: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xfffe, 0xa, 1b, x5, 304,0) - -inst_600: -// rs1_val==858993459 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x0, 0x0, 1b, x5, 308,0) - -inst_601: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x3, 0x6, 1b, x5, 312,0) - -inst_602: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x5, 316,0) - -inst_603: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666665, 0x100, 3f, x5, 320,0) - -inst_604: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x5, 324,0) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaa9, 0x100, 3f, x5, 328,0) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555554, 0x8, 1b, x5, 332,0) - -inst_607: -// rs1_val==858993459 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x2, 0x80, 3f, x5, 336,0) - -inst_608: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xffff, 0x556, 1b, x5, 340,0) - -inst_609: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb504, 0x8, 1b, x5, 344,0) - -inst_610: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666666, 0x0, 3f, x5, 348,0) - -inst_611: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333333, 0x2, 1b, x5, 352,0) - -inst_612: -// rs1_val==858993459 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x5, 0x80, 3f, x5, 356,0) - -inst_613: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaaa, 0x6, 1b, x5, 360,0) - -inst_614: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x5, 364,0) - -inst_615: -// rs1_val==858993459 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x3, 0x0, 3f, x5, 368,0) - -inst_616: -// rs1_val==5 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x10000, 0x100, 3f, x5, 372,0) - -inst_617: -// rs1_val==5 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x1, 0x6, 3f, x5, 376,0) - -inst_618: -// rs1_val==5 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb505, 0xa, 1b, x5, 380,0) - -inst_619: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666667, 0x400, 1b, x5, 384,0) - -inst_620: -// rs1_val==5 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333334, 0x8, 3f, x5, 388,0) - -inst_621: -// rs1_val==5 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x6, 0x2, 1b, x5, 392,0) - -inst_622: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaab, 0x100, 3f, x5, 396,0) - -inst_623: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555556, 0x4, 3f, x5, 400,0) - -inst_624: -// rs1_val==5 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x4, 0x0, 3f, x5, 404,0) - -inst_625: -// rs1_val==5 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xfffe, 0x400, 3f, x5, 408,0) - -inst_626: -// rs1_val==5 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x0, 0x200, 3f, x5, 412,0) - -inst_627: -// rs1_val==5 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb503, 0x556, 1b, x5, 416,0) - -inst_628: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666665, 0x6, 1b, x5, 420,0) - -inst_629: -// rs1_val==5 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333332, 0x556, 1b, x5, 424,0) - -inst_630: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaa9, 0x6, 3f, x5, 428,0) - -inst_631: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555554, 0x556, 1b, x5, 432,0) - -inst_632: -// rs1_val==5 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x2, 0x6, 3f, x5, 436,0) - -inst_633: -// rs1_val==5 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xffff, 0xa, 1b, x5, 440,0) - -inst_634: -// rs1_val==5 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb504, 0x556, 1b, x5, 444,0) - -inst_635: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666666, 0x2, 3f, x5, 448,0) - -inst_636: -// rs1_val==5 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333333, 0x6, 3f, x5, 452,0) - -inst_637: -// rs1_val==5 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x5, 0x6, 1b, x5, 456,0) - -inst_638: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaaa, 0xa, 1b, x5, 460,0) - -inst_639: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555555, 0x0, 1b, x5, 464,0) - -inst_640: -// rs1_val==5 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x3, 0x0, 3f, x5, 468,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x10000, 0x6, 1b, x5, 472,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x1, 0x556, 1b, x5, 476,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb505, 0x10, 3f, x5, 480,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666667, 0x0, 1b, x5, 484,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333334, 0x4, 1b, x5, 488,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x6, 0x20, 3f, x5, 492,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x0, 3f, x5, 496,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555556, 0x8, 3f, x5, 500,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x4, 0x80, 3f, x5, 504,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xfffe, 0x20, 3f, x5, 508,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x0, 0x6, 3f, x5, 512,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb503, 0x400, 1b, x5, 516,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666665, 0x20, 3f, x5, 520,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333332, 0x0, 3f, x5, 524,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x40, 3f, x5, 528,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555554, 0x40, 3f, x5, 532,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x2, 0x0, 1b, x5, 536,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xffff, 0x40, 3f, x5, 540,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb504, 0x0, 1b, x5, 544,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666666, 0x400, 3f, x5, 548,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333333, 0x100, 3f, x5, 552,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x5, 0x100, 3f, x5, 556,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x6, 3f, x5, 560,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555555, 0x400, 1b, x5, 564,0) - -inst_665: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x3, 0x20, 3f, x5, 568,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==65536, rs1_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x10000, 0x400, 3f, x5, 572,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x1, 0x8, 1b, x5, 576,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb505, 0x10, 3f, x5, 580,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x5, 584,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x5, 588,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x6, 0x80, 3f, x5, 592,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaab, 0x8, 3f, x5, 596,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555556, 0x200, 3f, x5, 600,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x4, 0x556, 1b, x5, 604,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xfffe, 0x4, 3f, x5, 608,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x0, 0x200, 3f, x5, 612,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb503, 0x8, 3f, x5, 616,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666665, 0xa, 1b, x5, 620,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333332, 0x4, 1b, x5, 624,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaa9, 0x100, 3f, x5, 628,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555554, 0x100, 3f, x5, 632,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x2, 0x8, 1b, x5, 636,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xffff, 0x0, 1b, x5, 640,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb504, 0x20, 3f, x5, 644,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x5, 648,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x5, 652,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x5, 0xa, 1b, x5, 656,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaaa, 0x8, 3f, x5, 660,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x5, 664,0) - -inst_690: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x3, 0x8, 3f, x5, 668,0) - -inst_691: -// rs1_val==3 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x10000, 0x400, 1b, x5, 672,0) - -inst_692: -// rs1_val==3 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x1, 0x400, 1b, x5, 676,0) - -inst_693: -// rs1_val==3 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb505, 0x8, 3f, x5, 680,0) - -inst_694: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666667, 0x0, 3f, x5, 684,0) - -inst_695: -// rs1_val==3 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333334, 0x8, 1b, x5, 688,0) - -inst_696: -// rs1_val==3 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x6, 0x0, 3f, x5, 692,0) - -inst_697: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaab, 0x400, 3f, x5, 696,0) - -inst_698: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555556, 0x400, 3f, x5, 700,0) - -inst_699: -// rs1_val==3 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x4, 0x8, 1b, x5, 704,0) - -inst_700: -// rs1_val==3 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xfffe, 0x8, 3f, x5, 708,0) - -inst_701: -// rs1_val==3 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x0, 0xa, 1b, x5, 712,0) - -inst_702: -// rs1_val==3 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb503, 0x40, 3f, x5, 716,0) - -inst_703: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666665, 0x200, 3f, x5, 720,0) - -inst_704: -// rs1_val==3 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333332, 0x200, 3f, x5, 724,0) - -inst_705: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaa9, 0x4, 1b, x5, 728,0) - -inst_706: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555554, 0x2, 3f, x5, 732,0) - -inst_707: -// rs1_val==3 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x2, 0x400, 1b, x5, 736,0) - -inst_708: -// rs1_val==3 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xffff, 0x6, 3f, x5, 740,0) - -inst_709: -// rs1_val==3 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb504, 0x2, 3f, x5, 744,0) - -inst_710: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666666, 0x8, 1b, x5, 748,0) - -inst_711: -// rs1_val==3 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333333, 0x2, 1b, x5, 752,0) - -inst_712: -// rs1_val==3 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x5, 0x4, 3f, x5, 756,0) - -inst_713: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaaa, 0x0, 1b, x5, 760,0) - -inst_714: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555555, 0x0, 3f, x5, 764,0) - -inst_715: -// rs1_val==3 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x3, 0x200, 3f, x5, 768,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x200, 3f, x5, 772,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555555, 0x20, 3f, x5, 776,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x10000, 0x4, 1b, x5, 780,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x1, 0x6, 3f, x5, 784,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb505, 0x2, 3f, x5, 788,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666667, 0x4, 1b, x5, 792,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x5, 796,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x6, 0xa, 1b, x5, 800,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaab, 0x6, 1b, x5, 804,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x5, 808,0) - -inst_726: -// rs2_val == 3221225471, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xbfffffff, 0xa, 1b, x5, 812,0) - -inst_727: -// rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffdffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7fffffff, 0xfffdffff, 0x2, 1b, x5, 816,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 11*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 205*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S deleted file mode 100644 index 886a17eba..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S +++ /dev/null @@ -1,3010 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the blt instruction of the RISC-V E extension for the blt covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",blt) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 == rs2, rs1==x7, rs2==x7, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs2_val == -65, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x7; op2:x7; op1val:-0x10001; op2val:-0x10001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x4, x7, x7, -0x10001, -0x10001, 0x80, 3f, x6, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x15, rs2_val == 2147483647, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x9; op2:x15; op1val:0x4; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x4, x9, x15, 0x4, 0x7fffffff, 0x0, 3f, x6, 4,0) - -inst_2: -// rs1==x12, rs2==x3, rs2_val == -1073741825, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x12; op2:x3; op1val:0x4; op2val:-0x40000001; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x4, x12, x3, 0x4, -0x40000001, 0x400, 3f, x6, 8,0) - -inst_3: -// rs1==x2, rs2==x5, rs2_val == -536870913, -// opcode: blt, op1:x2; op2:x5; op1val:0x0; op2val:-0x20000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x2, x5, 0x0, -0x20000001, 0x6, 1b, x6, 12,0) - -inst_4: -// rs1==x11, rs2==x0, rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x11; op2:x0; op1val:0x100000; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x4, x11, x0, 0x100000, 0x0, 0x200, 3f, x6, 16,0) - -inst_5: -// rs1==x3, rs2==x13, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -9 -// opcode: blt, op1:x3; op2:x13; op1val:-0x9; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x4, x3, x13, -0x9, -0x8000001, 0x4, 1b, x6, 20,0) - -inst_6: -// rs1==x5, rs2==x12, rs2_val == -67108865, -// opcode: blt, op1:x5; op2:x12; op1val:-0x1; op2val:-0x4000001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x4, x5, x12, -0x1, -0x4000001, 0x2, 1b, x6, 24,0) - -inst_7: -// rs1==x1, rs2==x14, rs2_val == -33554433, -// opcode: blt, op1:x1; op2:x14; op1val:-0x10001; op2val:-0x2000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x1, x14, -0x10001, -0x2000001, 0x6, 1b, x6, 28,0) - -inst_8: -// rs1==x13, rs2==x4, rs2_val == -16777217, rs1_val == 1024 -// opcode: blt, op1:x13; op2:x4; op1val:0x400; op2val:-0x1000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x5, x13, x4, 0x400, -0x1000001, 0x6, 3f, x6, 32,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_9: -// rs1==x14, rs2==x8, rs2_val == -8388609, rs1_val == -2049 -// opcode: blt, op1:x14; op2:x8; op1val:-0x801; op2val:-0x800001; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x5, x14, x8, -0x801, -0x800001, 0x8, 3f, x3, 0,0) - -inst_10: -// rs1==x8, rs2==x10, rs2_val == -4194305, -// opcode: blt, op1:x8; op2:x10; op1val:-0x1; op2val:-0x400001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x8, x10, -0x1, -0x400001, 0x2, 1b, x3, 4,0) - -inst_11: -// rs1==x0, rs2==x2, rs2_val == -2097153, -// opcode: blt, op1:x0; op2:x2; op1val:0x0; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x0, x2, 0x0, -0x200001, 0x2, 3f, x3, 8,0) - -inst_12: -// rs1==x4, rs2==x1, rs2_val == -1048577, rs1_val == 67108864 -// opcode: blt, op1:x4; op2:x1; op1val:0x4000000; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x4, x1, 0x4000000, -0x100001, 0x2, 1b, x3, 12,0) - -inst_13: -// rs1==x10, rs2==x6, rs2_val == -524289, rs1_val == -8388609 -// opcode: blt, op1:x10; op2:x6; op1val:-0x800001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x5, x10, x6, -0x800001, -0x80001, 0x556, 1b, x3, 16,0) - -inst_14: -// rs1==x15, rs2==x11, rs2_val == -262145, -// opcode: blt, op1:x15; op2:x11; op1val:-0xb503; op2val:-0x40001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x5, x15, x11, -0xb503, -0x40001, 0x200, 3f, x3, 20,0) - -inst_15: -// rs1==x6, rs2==x9, rs2_val == -131073, rs1_val == -1025 -// opcode: blt, op1:x6; op2:x9; op1val:-0x401; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x5, x6, x9, -0x401, -0x20001, 0x4, 3f, x3, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == 2048 -// opcode: blt, op1:x10; op2:x11; op1val:0x800; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800, -0x10001, 0x0, 3f, x3, 28,0) - -inst_17: -// rs2_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x8001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x8001, 0x0, 1b, x3, 32,0) - -inst_18: -// rs2_val == -16385, rs1_val == -5 -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:-0x4001; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, -0x4001, 0x40, 3f, x3, 36,0) - -inst_19: -// rs2_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x2001, 0x4, 1b, x3, 40,0) - -inst_20: -// rs2_val == -4097, -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000000, -0x1001, 0x80, 3f, x3, 44,0) - -inst_21: -// rs2_val == -2049, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x801; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x801, 0x6, 3f, x3, 48,0) - -inst_22: -// rs2_val == -1025, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1048577 -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, -0x401, 0x8, 1b, x3, 52,0) - -inst_23: -// rs2_val == -513, rs1_val == -67108865 -// opcode: blt, op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x201; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4000001, -0x201, 0x0, 1b, x3, 56,0) - -inst_24: -// rs2_val == -257, rs1_val == -134217729 -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, -0x101, 0x6, 1b, x3, 60,0) - -inst_25: -// rs2_val == -129, rs1_val == 32 -// opcode: blt, op1:x10; op2:x11; op1val:0x20; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20, -0x81, 0x0, 1b, x3, 64,0) - -inst_26: -// rs2_val == -33, rs1_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x21, 0x20, 3f, x3, 68,0) - -inst_27: -// rs2_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x6; op2val:-0x11; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x6, -0x11, 0x100, 3f, x3, 72,0) - -inst_28: -// rs2_val == -9, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x9; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x9, 0x400, 3f, x3, 76,0) - -inst_29: -// rs2_val == -5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x5, 0x6, 3f, x3, 80,0) - -inst_30: -// rs2_val == -3, rs1_val == -268435457 -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, -0x3, 0x400, 3f, x3, 84,0) - -inst_31: -// rs2_val == -2, rs1_val == -129 -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:-0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, -0x2, 0x400, 1b, x3, 88,0) - -inst_32: -// rs1_val == 2147483647, rs2_val == 8 -// opcode: blt, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x7fffffff, 0x8, 0x80, 3f, x3, 92,0) - -inst_33: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 134217728 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000001, 0x8000000, 0x40, 3f, x3, 96,0) - -inst_34: -// rs1_val == -536870913, -// opcode: blt, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20000001, -0x201, 0x4, 3f, x3, 100,0) - -inst_35: -// rs1_val == -33554433, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2000001, -0x55555555, 0x4, 1b, x3, 104,0) - -inst_36: -// rs1_val == -16777217, -// opcode: blt, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x800001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1000001, -0x800001, 0x556, 1b, x3, 108,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:-0x400001; op2val:0x100000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x400001, 0x100000, 0x6, 3f, x3, 112,0) - -inst_38: -// rs1_val == -2097153, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x8000000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x8000000, 0x0, 3f, x3, 116,0) - -inst_39: -// rs1_val == -524289, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, -0x200001, 0x2, 3f, x3, 120,0) - -inst_40: -// rs1_val == -262145, rs2_val == 524288 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40001; op2val:0x80000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40001, 0x80000, 0x2, 3f, x3, 124,0) - -inst_41: -// rs1_val == -131073, rs2_val == 131072 -// opcode: blt, op1:x10; op2:x11; op1val:-0x20001; op2val:0x20000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20001, 0x20000, 0x400, 3f, x3, 128,0) - -inst_42: -// rs1_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8001; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8001, -0xb503, 0x4, 1b, x3, 132,0) - -inst_43: -// rs1_val == -16385, -// opcode: blt, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4001, -0x40001, 0x2, 3f, x3, 136,0) - -inst_44: -// rs1_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x40000001; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2001, -0x40000001, 0x20, 3f, x3, 140,0) - -inst_45: -// rs1_val == -4097, rs2_val == 256 -// opcode: blt, op1:x10; op2:x11; op1val:-0x1001; op2val:0x100; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1001, 0x100, 0x4, 3f, x3, 144,0) - -inst_46: -// rs1_val == -513, rs2_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:-0x201; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x201, 0x55555555, 0x8, 1b, x3, 148,0) - -inst_47: -// rs1_val == -257, -// opcode: blt, op1:x10; op2:x11; op1val:-0x101; op2val:-0x801; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x101, -0x801, 0x2, 3f, x3, 152,0) - -inst_48: -// rs1_val == -65, rs2_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:-0x41; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x41, 0x2, 0x400, 1b, x3, 156,0) - -inst_49: -// rs1_val == -33, -// opcode: blt, op1:x10; op2:x11; op1val:-0x21; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x21, 0x55555554, 0x80, 3f, x3, 160,0) - -inst_50: -// rs1_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x11; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x11, 0x66666667, 0x10, 3f, x3, 164,0) - -inst_51: -// rs1_val == -3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x5, 0x100, 3f, x3, 168,0) - -inst_52: -// rs1_val == -2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2; op2val:-0x2001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2, -0x2001, 0x0, 3f, x3, 172,0) - -inst_53: -// rs2_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x80000000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x80000000, 0x8, 1b, x3, 176,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x40000000, 0x2, 3f, x3, 180,0) - -inst_55: -// rs2_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x20000000, 0x2, 1b, x3, 184,0) - -inst_56: -// rs2_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, 0x10000000, 0x6, 3f, x3, 188,0) - -inst_57: -// rs2_val == 67108864, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x4000000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x4000000, 0x400, 3f, x3, 192,0) - -inst_58: -// rs2_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x9, 0x2000000, 0xa, 1b, x3, 196,0) - -inst_59: -// rs2_val == 16777216, rs1_val == 2097152 -// opcode: blt, op1:x10; op2:x11; op1val:0x200000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200000, 0x1000000, 0x100, 3f, x3, 200,0) - -inst_60: -// rs2_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x800000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x800000, 0x100, 3f, x3, 204,0) - -inst_61: -// rs2_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x400000; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x400000, 0x20, 3f, x3, 208,0) - -inst_62: -// rs2_val == 2097152, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200000, 0xa, 1b, x3, 212,0) - -inst_63: -// rs2_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x40000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x40000, 0x100, 3f, x3, 216,0) - -inst_64: -// rs2_val == 65536, rs1_val == 8192 -// opcode: blt, op1:x10; op2:x11; op1val:0x2000; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000, 0x10000, 0x6, 3f, x3, 220,0) - -inst_65: -// rs2_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x8000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, 0x8000, 0x2, 3f, x3, 224,0) - -inst_66: -// rs2_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4000, 0x400, 3f, x3, 228,0) - -inst_67: -// rs2_val == 8192, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2000; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2000, 0x10, 3f, x3, 232,0) - -inst_68: -// rs2_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, 0x1000, 0x6, 3f, x3, 236,0) - -inst_69: -// rs2_val == 2048, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x800; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x800, 0x2, 3f, x3, 240,0) - -inst_70: -// rs2_val == 1024, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x400; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, 0x400, 0x556, 1b, x3, 244,0) - -inst_71: -// rs2_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200, 0x80, 3f, x3, 248,0) - -inst_72: -// rs2_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x80; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x80, 0x40, 3f, x3, 252,0) - -inst_73: -// rs2_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:0x40; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, 0x40, 0x10, 3f, x3, 256,0) - -inst_74: -// rs2_val == 32, -// opcode: blt, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x20; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3fffffff, 0x20, 0x0, 1b, x3, 260,0) - -inst_75: -// rs2_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x10, 0x100, 3f, x3, 264,0) - -inst_76: -// rs2_val == 4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, 0x4, 0x4, 1b, x3, 268,0) - -inst_77: -// rs2_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x1, 0x4, 1b, x3, 272,0) - -inst_78: -// rs1_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80000000, -0x2, 0x6, 1b, x3, 276,0) - -inst_79: -// rs1_val == 1073741824, rs2_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000000, -0x55555556, 0x20, 3f, x3, 280,0) - -inst_80: -// rs1_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000000; op2val:0x4000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000000, 0x4000, 0x0, 1b, x3, 284,0) - -inst_81: -// rs1_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x41; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000000, -0x41, 0xa, 1b, x3, 288,0) - -inst_82: -// rs1_val == 134217728, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000000; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000000, 0x8000, 0x0, 3f, x3, 292,0) - -inst_83: -// rs1_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x2000000; op2val:0x8000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000000, 0x8000000, 0xa, 1b, x3, 296,0) - -inst_84: -// rs1_val == 16777216, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000000, 0x40000, 0x8, 3f, x3, 300,0) - -inst_85: -// rs1_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800000, 0x40000, 0x8, 1b, x3, 304,0) - -inst_86: -// rs1_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x400000; op2val:-0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x400000, -0x5, 0x556, 1b, x3, 308,0) - -inst_87: -// rs1_val == 524288, -// opcode: blt, op1:x10; op2:x11; op1val:0x80000; op2val:-0x80000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80000, -0x80000000, 0xa, 1b, x3, 312,0) - -inst_88: -// rs1_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000, 0x1, 0xa, 1b, x3, 316,0) - -inst_89: -// rs1_val == 131072, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000, 0x33333332, 0x2, 3f, x3, 320,0) - -inst_90: -// rs1_val == 65536, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000; op2val:0x2000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000, 0x2000, 0x100, 3f, x3, 324,0) - -inst_91: -// rs1_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000, 0x4000, 0x40, 3f, x3, 328,0) - -inst_92: -// rs1_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x4000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4000, -0x81, 0x4, 3f, x3, 332,0) - -inst_93: -// rs1_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000, 0x2000000, 0xa, 1b, x3, 336,0) - -inst_94: -// rs1_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x200; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200, -0x201, 0x4, 1b, x3, 340,0) - -inst_95: -// rs1_val == 256, -// opcode: blt, op1:x10; op2:x11; op1val:0x100; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100, 0x6, 0x556, 1b, x3, 344,0) - -inst_96: -// rs1_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x80; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80, -0xb503, 0x400, 1b, x3, 348,0) - -inst_97: -// rs1_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:0x40; op2val:0x10; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40, 0x10, 0x20, 3f, x3, 352,0) - -inst_98: -// rs1_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:0x10; op2val:-0x4001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10, -0x4001, 0x200, 3f, x3, 356,0) - -inst_99: -// rs1_val == 8, -// opcode: blt, op1:x10; op2:x11; op1val:0x8; op2val:0x40000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8, 0x40000, 0x2, 3f, x3, 360,0) - -inst_100: -// rs1_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:0x1; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1, 0x2000000, 0xa, 1b, x3, 364,0) - -inst_101: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb505, 0x0, 1b, x3, 368,0) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb503, 0x200, 3f, x3, 372,0) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666667, 0x8, 3f, x3, 376,0) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x3, 380,0) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x6, 0x6, 1b, x3, 384,0) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555555, 0x80, 3f, x3, 388,0) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555556, 0x0, 3f, x3, 392,0) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x4, 0xa, 1b, x3, 396,0) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb503, 0x0, 1b, x3, 400,0) - -inst_110: -// rs1_val==46341 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x0, 0x4, 3f, x3, 404,0) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666665, 0x20, 3f, x3, 408,0) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333332, 0x400, 1b, x3, 412,0) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x3, 416,0) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x2, 0x8, 3f, x3, 420,0) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb504, 0x80, 3f, x3, 424,0) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb504, 0x6, 1b, x3, 428,0) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666666, 0x40, 3f, x3, 432,0) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333333, 0x8, 1b, x3, 436,0) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x5, 0x4, 1b, x3, 440,0) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555556, 0x2, 3f, x3, 444,0) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x3, 448,0) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x3, 0x400, 1b, x3, 452,0) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb505, 0x20, 3f, x3, 456,0) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb503, 0x4, 3f, x3, 460,0) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666667, 0x556, 1b, x3, 464,0) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333334, 0x8, 3f, x3, 468,0) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x6, 0x556, 1b, x3, 472,0) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555555, 0x2, 1b, x3, 476,0) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x3, 480,0) - -inst_130: -// rs1_val==-46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x4, 0x20, 3f, x3, 484,0) - -inst_131: -// rs1_val==-46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb503, 0x80, 3f, x3, 488,0) - -inst_132: -// rs1_val==-46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x0, 0x8, 1b, x3, 492,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666665, 0x20, 3f, x3, 496,0) - -inst_134: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333332, 0x0, 3f, x3, 500,0) - -inst_135: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555554, 0x200, 3f, x3, 504,0) - -inst_136: -// rs1_val==-46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x2, 0xa, 1b, x3, 508,0) - -inst_137: -// rs1_val==-46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb504, 0x2, 3f, x3, 512,0) - -inst_138: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb504, 0x8, 1b, x3, 516,0) - -inst_139: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666666, 0x400, 1b, x3, 520,0) - -inst_140: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333333, 0xa, 1b, x3, 524,0) - -inst_141: -// rs1_val==-46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x5, 0x0, 1b, x3, 528,0) - -inst_142: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555556, 0x100, 3f, x3, 532,0) - -inst_143: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555555, 0x4, 1b, x3, 536,0) - -inst_144: -// rs1_val==-46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x3, 0xa, 1b, x3, 540,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb505, 0x0, 3f, x3, 544,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb503, 0x8, 3f, x3, 548,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666667, 0x556, 1b, x3, 552,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x3, 556,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x6, 0xa, 1b, x3, 560,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555555, 0x40, 3f, x3, 564,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x3, 568,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x4, 0x0, 3f, x3, 572,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb503, 0x4, 3f, x3, 576,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x0, 0x400, 3f, x3, 580,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666665, 0x4, 1b, x3, 584,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333332, 0x2, 1b, x3, 588,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555554, 0x40, 3f, x3, 592,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x2, 0x10, 3f, x3, 596,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb504, 0x400, 3f, x3, 600,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb504, 0x6, 1b, x3, 604,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x3, 608,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333333, 0x4, 3f, x3, 612,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x5, 0x6, 3f, x3, 616,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555556, 0x80, 3f, x3, 620,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 1b, x3, 624,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x3, 0x0, 3f, x3, 628,0) - -inst_167: -// rs1_val==858993460 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x3, 632,0) - -inst_168: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb503, 0x4, 3f, x3, 636,0) - -inst_169: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x3, 640,0) - -inst_170: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333334, 0x20, 3f, x3, 644,0) - -inst_171: -// rs1_val==858993460 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x6, 0x0, 1b, x3, 648,0) - -inst_172: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555555, 0x400, 3f, x3, 652,0) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555556, 0x6, 3f, x3, 656,0) - -inst_174: -// rs1_val==858993460 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x4, 0x8, 1b, x3, 660,0) - -inst_175: -// rs1_val==858993460 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb503, 0x2, 3f, x3, 664,0) - -inst_176: -// rs1_val==858993460 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x0, 0x6, 3f, x3, 668,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666665, 0x0, 1b, x3, 672,0) - -inst_178: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333332, 0x2, 1b, x3, 676,0) - -inst_179: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555554, 0x6, 3f, x3, 680,0) - -inst_180: -// rs1_val==858993460 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x2, 0x200, 3f, x3, 684,0) - -inst_181: -// rs1_val==858993460 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb504, 0x4, 3f, x3, 688,0) - -inst_182: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb504, 0x20, 3f, x3, 692,0) - -inst_183: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666666, 0x2, 3f, x3, 696,0) - -inst_184: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333333, 0x4, 1b, x3, 700,0) - -inst_185: -// rs1_val==858993460 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x5, 0x6, 1b, x3, 704,0) - -inst_186: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555556, 0x4, 1b, x3, 708,0) - -inst_187: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555555, 0x80, 3f, x3, 712,0) - -inst_188: -// rs1_val==858993460 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x3, 0x40, 3f, x3, 716,0) - -inst_189: -// rs1_val==6 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb505, 0x556, 1b, x3, 720,0) - -inst_190: -// rs1_val==6 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb503, 0x80, 3f, x3, 724,0) - -inst_191: -// rs1_val==6 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x3, 728,0) - -inst_192: -// rs1_val==6 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333334, 0x400, 3f, x3, 732,0) - -inst_193: -// rs1_val==6 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x6, 0x200, 3f, x3, 736,0) - -inst_194: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555555, 0x0, 1b, x3, 740,0) - -inst_195: -// rs1_val==6 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555556, 0x40, 3f, x3, 744,0) - -inst_196: -// rs1_val==6 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x4, 0x4, 3f, x3, 748,0) - -inst_197: -// rs1_val==6 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb503, 0x2, 3f, x3, 752,0) - -inst_198: -// rs1_val==6 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x0, 0x6, 1b, x3, 756,0) - -inst_199: -// rs1_val==6 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666665, 0xa, 1b, x3, 760,0) - -inst_200: -// rs1_val==6 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x3, 764,0) - -inst_201: -// rs1_val==6 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555554, 0x20, 3f, x3, 768,0) - -inst_202: -// rs1_val==6 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x2, 0x0, 3f, x3, 772,0) - -inst_203: -// rs1_val==6 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x3, 776,0) - -inst_204: -// rs1_val==6 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb504, 0x200, 3f, x3, 780,0) - -inst_205: -// rs1_val==6 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666666, 0x40, 3f, x3, 784,0) - -inst_206: -// rs1_val==6 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333333, 0xa, 1b, x3, 788,0) - -inst_207: -// rs1_val==6 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x5, 0x200, 3f, x3, 792,0) - -inst_208: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555556, 0x0, 3f, x3, 796,0) - -inst_209: -// rs1_val==6 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555555, 0x2, 1b, x3, 800,0) - -inst_210: -// rs1_val==6 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x3, 0x40, 3f, x3, 804,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x3, 808,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb503, 0x2, 1b, x3, 812,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666667, 0x6, 1b, x3, 816,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333334, 0x556, 1b, x3, 820,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x6, 0x10, 3f, x3, 824,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555555, 0x20, 3f, x3, 828,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555556, 0x40, 3f, x3, 832,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x4, 0x8, 1b, x3, 836,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb503, 0x0, 1b, x3, 840,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x0, 0x0, 1b, x3, 844,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666665, 0x20, 3f, x3, 848,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333332, 0x556, 1b, x3, 852,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555554, 0x40, 3f, x3, 856,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x2, 0x400, 1b, x3, 860,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb504, 0x556, 1b, x3, 864,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb504, 0x10, 3f, x3, 868,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666666, 0x6, 3f, x3, 872,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333333, 0x400, 3f, x3, 876,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x5, 0x80, 3f, x3, 880,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555556, 0x4, 3f, x3, 884,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555555, 0x20, 3f, x3, 888,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x3, 0x4, 1b, x3, 892,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb505, 0x80, 3f, x3, 896,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb503, 0x0, 3f, x3, 900,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666667, 0x10, 3f, x3, 904,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333334, 0x40, 3f, x3, 908,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x6, 0x556, 1b, x3, 912,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555555, 0x10, 3f, x3, 916,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x3, 920,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x4, 0x6, 3f, x3, 924,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb503, 0x20, 3f, x3, 928,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x0, 0x556, 1b, x3, 932,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x3, 936,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x3, 940,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555554, 0x8, 3f, x3, 944,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x2, 0xa, 1b, x3, 948,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x3, 952,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb504, 0x40, 3f, x3, 956,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 3f, x3, 960,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x3, 964,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x5, 0x556, 1b, x3, 968,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555556, 0x2, 3f, x3, 972,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555555, 0x100, 3f, x3, 976,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x3, 980,0) - -inst_255: -// rs1_val==4 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb505, 0x8, 1b, x3, 984,0) - -inst_256: -// rs1_val==4 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb503, 0x0, 1b, x3, 988,0) - -inst_257: -// rs1_val==4 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666667, 0x20, 3f, x3, 992,0) - -inst_258: -// rs1_val==4 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x3, 996,0) - -inst_259: -// rs1_val==4 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x6, 0x2, 1b, x3, 1000,0) - -inst_260: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555555, 0x100, 3f, x3, 1004,0) - -inst_261: -// rs1_val==4 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555556, 0x4, 3f, x3, 1008,0) - -inst_262: -// rs1_val==4 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x4, 0x400, 1b, x3, 1012,0) - -inst_263: -// rs1_val==4 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb503, 0x0, 3f, x3, 1016,0) - -inst_264: -// rs1_val==4 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x0, 0x2, 1b, x3, 1020,0) - -inst_265: -// rs1_val==4 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666665, 0x4, 3f, x3, 1024,0) - -inst_266: -// rs1_val==4 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333332, 0x100, 3f, x3, 1028,0) - -inst_267: -// rs1_val==4 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555554, 0x2, 1b, x3, 1032,0) - -inst_268: -// rs1_val==4 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x2, 0x6, 1b, x3, 1036,0) - -inst_269: -// rs1_val==4 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb504, 0x20, 3f, x3, 1040,0) - -inst_270: -// rs1_val==4 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb504, 0x400, 1b, x3, 1044,0) - -inst_271: -// rs1_val==4 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666666, 0x10, 3f, x3, 1048,0) - -inst_272: -// rs1_val==4 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333333, 0x2, 3f, x3, 1052,0) - -inst_273: -// rs1_val==4 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x3, 1056,0) - -inst_274: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555556, 0x2, 3f, x3, 1060,0) - -inst_275: -// rs1_val==4 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555555, 0x8, 1b, x3, 1064,0) - -inst_276: -// rs1_val==4 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x3, 0x556, 1b, x3, 1068,0) - -inst_277: -// rs1_val==46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb505, 0x2, 3f, x3, 1072,0) - -inst_278: -// rs1_val==46339 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb503, 0x6, 3f, x3, 1076,0) - -inst_279: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x3, 1080,0) - -inst_280: -// rs1_val==46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333334, 0x400, 3f, x3, 1084,0) - -inst_281: -// rs1_val==46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x3, 1088,0) - -inst_282: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555555, 0x20, 3f, x3, 1092,0) - -inst_283: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x3, 1096,0) - -inst_284: -// rs1_val==46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x4, 0x100, 3f, x3, 1100,0) - -inst_285: -// rs1_val==46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb503, 0x400, 3f, x3, 1104,0) - -inst_286: -// rs1_val==46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x0, 0x200, 3f, x3, 1108,0) - -inst_287: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666665, 0x4, 3f, x3, 1112,0) - -inst_288: -// rs1_val==46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333332, 0x4, 1b, x3, 1116,0) - -inst_289: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555554, 0x40, 3f, x3, 1120,0) - -inst_290: -// rs1_val==46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x2, 0x80, 3f, x3, 1124,0) - -inst_291: -// rs1_val==46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb504, 0x8, 3f, x3, 1128,0) - -inst_292: -// rs1_val==46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb504, 0x10, 3f, x3, 1132,0) - -inst_293: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x3, 1136,0) - -inst_294: -// rs1_val==46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333333, 0x200, 3f, x3, 1140,0) - -inst_295: -// rs1_val==46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x5, 0x200, 3f, x3, 1144,0) - -inst_296: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555556, 0x2, 1b, x3, 1148,0) - -inst_297: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x3, 1152,0) - -inst_298: -// rs1_val==46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x3, 0x2, 3f, x3, 1156,0) - -inst_299: -// rs1_val==0 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb505, 0x0, 3f, x3, 1160,0) - -inst_300: -// rs1_val==0 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb503, 0x4, 1b, x3, 1164,0) - -inst_301: -// rs1_val==0 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666667, 0x6, 1b, x3, 1168,0) - -inst_302: -// rs1_val==0 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333334, 0x80, 3f, x3, 1172,0) - -inst_303: -// rs1_val==0 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x6, 0x0, 1b, x3, 1176,0) - -inst_304: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555555, 0x0, 1b, x3, 1180,0) - -inst_305: -// rs1_val==0 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555556, 0x8, 1b, x3, 1184,0) - -inst_306: -// rs1_val==0 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x4, 0x80, 3f, x3, 1188,0) - -inst_307: -// rs1_val==0 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb503, 0x8, 3f, x3, 1192,0) - -inst_308: -// rs1_val==0 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x0, 0x4, 1b, x3, 1196,0) - -inst_309: -// rs1_val==0 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666665, 0x10, 3f, x3, 1200,0) - -inst_310: -// rs1_val==0 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333332, 0x0, 3f, x3, 1204,0) - -inst_311: -// rs1_val==0 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555554, 0x40, 3f, x3, 1208,0) - -inst_312: -// rs1_val==0 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x2, 0x20, 3f, x3, 1212,0) - -inst_313: -// rs1_val==0 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb504, 0x100, 3f, x3, 1216,0) - -inst_314: -// rs1_val==0 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb504, 0x556, 1b, x3, 1220,0) - -inst_315: -// rs1_val==0 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666666, 0x8, 1b, x3, 1224,0) - -inst_316: -// rs1_val==0 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333333, 0x4, 3f, x3, 1228,0) - -inst_317: -// rs1_val==0 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x5, 0x20, 3f, x3, 1232,0) - -inst_318: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x3, 1236,0) - -inst_319: -// rs1_val==0 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555555, 0x4, 1b, x3, 1240,0) - -inst_320: -// rs1_val==0 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x3, 0x400, 3f, x3, 1244,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb505, 0x100, 3f, x3, 1248,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb503, 0x400, 1b, x3, 1252,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666667, 0x2, 3f, x3, 1256,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333334, 0x400, 3f, x3, 1260,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x6, 0x10, 3f, x3, 1264,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 1b, x3, 1268,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555556, 0xa, 1b, x3, 1272,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x4, 0x4, 3f, x3, 1276,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x3, 1280,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x0, 0x2, 3f, x3, 1284,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x3, 1288,0) - -inst_332: -// rs1_val==858993459 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x0, 0x0, 3f, x3, 1292,0) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x3, 1296,0) - -inst_334: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x3, 1300,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555554, 0x400, 3f, x3, 1304,0) - -inst_336: -// rs1_val==858993459 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x2, 0x10, 3f, x3, 1308,0) - -inst_337: -// rs1_val==858993459 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x3, 1312,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb504, 0x80, 3f, x3, 1316,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x3, 1320,0) - -inst_340: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x3, 1324,0) - -inst_341: -// rs1_val==858993459 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x5, 0x6, 1b, x3, 1328,0) - -inst_342: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x3, 1332,0) - -inst_343: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x3, 1336,0) - -inst_344: -// rs1_val==858993459 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x3, 0x400, 3f, x3, 1340,0) - -inst_345: -// rs1_val==5 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb505, 0x4, 1b, x3, 1344,0) - -inst_346: -// rs1_val==5 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb503, 0x2, 1b, x3, 1348,0) - -inst_347: -// rs1_val==5 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666667, 0x4, 3f, x3, 1352,0) - -inst_348: -// rs1_val==5 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333334, 0x4, 1b, x3, 1356,0) - -inst_349: -// rs1_val==5 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x6, 0x2, 1b, x3, 1360,0) - -inst_350: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555555, 0x400, 3f, x3, 1364,0) - -inst_351: -// rs1_val==5 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555556, 0x6, 1b, x3, 1368,0) - -inst_352: -// rs1_val==5 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x4, 0x6, 3f, x3, 1372,0) - -inst_353: -// rs1_val==5 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x3, 1376,0) - -inst_354: -// rs1_val==5 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x0, 0x400, 3f, x3, 1380,0) - -inst_355: -// rs1_val==5 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666665, 0x400, 3f, x3, 1384,0) - -inst_356: -// rs1_val==5 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333332, 0x0, 3f, x3, 1388,0) - -inst_357: -// rs1_val==5 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555554, 0x2, 1b, x3, 1392,0) - -inst_358: -// rs1_val==5 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x2, 0x20, 3f, x3, 1396,0) - -inst_359: -// rs1_val==5 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb504, 0x40, 3f, x3, 1400,0) - -inst_360: -// rs1_val==5 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb504, 0x4, 1b, x3, 1404,0) - -inst_361: -// rs1_val==5 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666666, 0x0, 3f, x3, 1408,0) - -inst_362: -// rs1_val==5 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333333, 0x556, 1b, x3, 1412,0) - -inst_363: -// rs1_val==5 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x5, 0x200, 3f, x3, 1416,0) - -inst_364: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555556, 0x400, 3f, x3, 1420,0) - -inst_365: -// rs1_val==5 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555555, 0x400, 1b, x3, 1424,0) - -inst_366: -// rs1_val==5 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x3, 0x4, 3f, x3, 1428,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x3, 1432,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb503, 0x8, 1b, x3, 1436,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666667, 0x6, 1b, x3, 1440,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333334, 0xa, 1b, x3, 1444,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x6, 0x4, 3f, x3, 1448,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555555, 0x200, 3f, x3, 1452,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555556, 0x80, 3f, x3, 1456,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x3, 1460,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb503, 0x0, 1b, x3, 1464,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x0, 0xa, 1b, x3, 1468,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666665, 0x556, 1b, x3, 1472,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333332, 0x20, 3f, x3, 1476,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555554, 0x8, 1b, x3, 1480,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x2, 0x6, 3f, x3, 1484,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x3, 1488,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb504, 0x556, 1b, x3, 1492,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666666, 0x556, 1b, x3, 1496,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333333, 0x20, 3f, x3, 1500,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x5, 0x0, 3f, x3, 1504,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555556, 0x6, 3f, x3, 1508,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555555, 0x2, 1b, x3, 1512,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x3, 0xa, 1b, x3, 1516,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb505, 0x4, 3f, x3, 1520,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x3, 1524,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x3, 1528,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x3, 1532,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x6, 0x4, 1b, x3, 1536,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555555, 0x4, 1b, x3, 1540,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555556, 0x8, 1b, x3, 1544,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x4, 0x10, 3f, x3, 1548,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb503, 0x400, 1b, x3, 1552,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x0, 0x556, 1b, x3, 1556,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666665, 0x80, 3f, x3, 1560,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333332, 0x10, 3f, x3, 1564,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555554, 0x200, 3f, x3, 1568,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x2, 0x0, 3f, x3, 1572,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb504, 0x10, 3f, x3, 1576,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb504, 0x8, 3f, x3, 1580,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666666, 0x200, 3f, x3, 1584,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333333, 0x400, 3f, x3, 1588,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x5, 0x8, 1b, x3, 1592,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555556, 0x200, 3f, x3, 1596,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555555, 0x4, 3f, x3, 1600,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x3, 0x400, 3f, x3, 1604,0) - -inst_411: -// rs1_val==3 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb505, 0x6, 3f, x3, 1608,0) - -inst_412: -// rs1_val==3 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb503, 0xa, 1b, x3, 1612,0) - -inst_413: -// rs1_val==3 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666667, 0x20, 3f, x3, 1616,0) - -inst_414: -// rs1_val==3 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333334, 0x8, 1b, x3, 1620,0) - -inst_415: -// rs1_val==3 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x6, 0x100, 3f, x3, 1624,0) - -inst_416: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x3, 1628,0) - -inst_417: -// rs1_val==3 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555556, 0x10, 3f, x3, 1632,0) - -inst_418: -// rs1_val==3 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x4, 0x8, 3f, x3, 1636,0) - -inst_419: -// rs1_val==3 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb503, 0x4, 3f, x3, 1640,0) - -inst_420: -// rs1_val==3 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x0, 0x2, 1b, x3, 1644,0) - -inst_421: -// rs1_val==3 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666665, 0x400, 1b, x3, 1648,0) - -inst_422: -// rs1_val==3 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333332, 0x200, 3f, x3, 1652,0) - -inst_423: -// rs1_val==3 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555554, 0x0, 3f, x3, 1656,0) - -inst_424: -// rs1_val==3 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2, 0x200, 3f, x3, 1660,0) - -inst_425: -// rs1_val==3 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb504, 0x556, 1b, x3, 1664,0) - -inst_426: -// rs1_val==3 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb504, 0x40, 3f, x3, 1668,0) - -inst_427: -// rs1_val==3 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666666, 0x4, 1b, x3, 1672,0) - -inst_428: -// rs1_val==3 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333333, 0x0, 1b, x3, 1676,0) - -inst_429: -// rs1_val==3 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x5, 0x4, 3f, x3, 1680,0) - -inst_430: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555556, 0xa, 1b, x3, 1684,0) - -inst_431: -// rs1_val==3 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555555, 0x556, 1b, x3, 1688,0) - -inst_432: -// rs1_val==3 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x3, 0x6, 3f, x3, 1692,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333332, 0x0, 1b, x3, 1696,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555554, 0x8, 3f, x3, 1700,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x2, 0x8, 1b, x3, 1704,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb504, 0x20, 3f, x3, 1708,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x3, 1712,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666666, 0x400, 1b, x3, 1716,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333333, 0x8, 1b, x3, 1720,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x5, 0x8, 1b, x3, 1724,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555556, 0x20, 3f, x3, 1728,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 1b, x3, 1732,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x3, 0x0, 3f, x3, 1736,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb505, 0x8, 1b, x3, 1740,0) - -inst_445: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb503, 0x20, 3f, x3, 1744,0) - -inst_446: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666667, 0x400, 1b, x3, 1748,0) - -inst_447: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333334, 0x0, 1b, x3, 1752,0) - -inst_448: -// rs1_val==858993458 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x3, 1756,0) - -inst_449: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x3, 1760,0) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x3, 1764,0) - -inst_451: -// rs1_val==858993458 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x4, 0x4, 1b, x3, 1768,0) - -inst_452: -// rs1_val==858993458 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb503, 0x0, 1b, x3, 1772,0) - -inst_453: -// rs1_val==858993458 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x0, 0x8, 3f, x3, 1776,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666665, 0x400, 3f, x3, 1780,0) - -inst_455: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333332, 0x8, 3f, x3, 1784,0) - -inst_456: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x3, 1788,0) - -inst_457: -// rs1_val==858993458 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x2, 0x4, 1b, x3, 1792,0) - -inst_458: -// rs1_val==858993458 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x3, 1796,0) - -inst_459: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb504, 0x10, 3f, x3, 1800,0) - -inst_460: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666666, 0x200, 3f, x3, 1804,0) - -inst_461: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333333, 0x6, 3f, x3, 1808,0) - -inst_462: -// rs1_val==858993458 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x5, 0x400, 1b, x3, 1812,0) - -inst_463: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555556, 0xa, 1b, x3, 1816,0) - -inst_464: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555555, 0x6, 3f, x3, 1820,0) - -inst_465: -// rs1_val==858993458 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x3, 1824,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb505, 0x40, 3f, x3, 1828,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb503, 0x40, 3f, x3, 1832,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666667, 0x200, 3f, x3, 1836,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x3, 1840,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x6, 0x400, 1b, x3, 1844,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x3, 1848,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555556, 0x40, 3f, x3, 1852,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x4, 0x0, 3f, x3, 1856,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb503, 0x0, 1b, x3, 1860,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x0, 0x10, 3f, x3, 1864,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666665, 0x20, 3f, x3, 1868,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x3, 1872,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555554, 0x400, 1b, x3, 1876,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x2, 0x200, 3f, x3, 1880,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x3, 1884,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb504, 0x0, 3f, x3, 1888,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666666, 0x4, 1b, x3, 1892,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 3f, x3, 1896,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x5, 0x100, 3f, x3, 1900,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555556, 0x0, 1b, x3, 1904,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x3, 1908,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x3, 0x20, 3f, x3, 1912,0) - -inst_488: -// rs1_val==2 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb505, 0x2, 3f, x3, 1916,0) - -inst_489: -// rs1_val==2 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb503, 0x2, 3f, x3, 1920,0) - -inst_490: -// rs1_val==2 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666667, 0x4, 1b, x3, 1924,0) - -inst_491: -// rs1_val==2 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333334, 0x10, 3f, x3, 1928,0) - -inst_492: -// rs1_val==2 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x6, 0xa, 1b, x3, 1932,0) - -inst_493: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555555, 0x4, 3f, x3, 1936,0) - -inst_494: -// rs1_val==2 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555556, 0x2, 1b, x3, 1940,0) - -inst_495: -// rs1_val==2 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x4, 0x8, 1b, x3, 1944,0) - -inst_496: -// rs1_val==2 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb503, 0x4, 1b, x3, 1948,0) - -inst_497: -// rs1_val==2 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x0, 0x6, 1b, x3, 1952,0) - -inst_498: -// rs1_val==2 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666665, 0x40, 3f, x3, 1956,0) - -inst_499: -// rs1_val==2 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333332, 0x400, 3f, x3, 1960,0) - -inst_500: -// rs1_val==2 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555554, 0x40, 3f, x3, 1964,0) - -inst_501: -// rs1_val==2 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x2, 0x2, 3f, x3, 1968,0) - -inst_502: -// rs1_val==2 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb504, 0x4, 1b, x3, 1972,0) - -inst_503: -// rs1_val==2 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb504, 0x8, 3f, x3, 1976,0) - -inst_504: -// rs1_val==2 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666666, 0x200, 3f, x3, 1980,0) - -inst_505: -// rs1_val==2 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333333, 0x0, 3f, x3, 1984,0) - -inst_506: -// rs1_val==2 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x5, 0x8, 1b, x3, 1988,0) - -inst_507: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555556, 0x40, 3f, x3, 1992,0) - -inst_508: -// rs1_val==2 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555555, 0x2, 3f, x3, 1996,0) - -inst_509: -// rs1_val==2 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x3, 0x100, 3f, x3, 2000,0) - -inst_510: -// rs1_val==46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb505, 0x4, 3f, x3, 2004,0) - -inst_511: -// rs1_val==46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb503, 0x100, 3f, x3, 2008,0) - -inst_512: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x3, 2012,0) - -inst_513: -// rs1_val==46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333334, 0x6, 1b, x3, 2016,0) - -inst_514: -// rs1_val==46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x6, 0x2, 1b, x3, 2020,0) - -inst_515: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555555, 0x6, 3f, x3, 2024,0) - -inst_516: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555556, 0x8, 1b, x3, 2028,0) - -inst_517: -// rs1_val==46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x3, 2032,0) - -inst_518: -// rs1_val==46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb503, 0x6, 1b, x3, 2036,0) - -inst_519: -// rs1_val==46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x0, 0x40, 3f, x3, 2040,0) - -inst_520: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666665, 0x4, 3f, x3, 2044,0) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_521: -// rs1_val==46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333332, 0xa, 1b, x3, 0,0) - -inst_522: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555554, 0x556, 1b, x3, 4,0) - -inst_523: -// rs1_val==46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x2, 0x8, 1b, x3, 8,0) - -inst_524: -// rs1_val==46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb504, 0xa, 1b, x3, 12,0) - -inst_525: -// rs1_val==46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb504, 0x0, 1b, x3, 16,0) - -inst_526: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666666, 0x6, 1b, x3, 20,0) - -inst_527: -// rs1_val==46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333333, 0xa, 1b, x3, 24,0) - -inst_528: -// rs1_val==46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x5, 0x80, 3f, x3, 28,0) - -inst_529: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555556, 0x80, 3f, x3, 32,0) - -inst_530: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555555, 0x6, 3f, x3, 36,0) - -inst_531: -// rs1_val==46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x3, 0x80, 3f, x3, 40,0) - -inst_532: -// rs1_val==-46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb505, 0x0, 1b, x3, 44,0) - -inst_533: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb503, 0x10, 3f, x3, 48,0) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666667, 0x8, 3f, x3, 52,0) - -inst_535: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333334, 0xa, 1b, x3, 56,0) - -inst_536: -// rs1_val==-46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x6, 0xa, 1b, x3, 60,0) - -inst_537: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x3, 64,0) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555556, 0x400, 3f, x3, 68,0) - -inst_539: -// rs1_val==-46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x4, 0x80, 3f, x3, 72,0) - -inst_540: -// rs1_val==-46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb503, 0x8, 3f, x3, 76,0) - -inst_541: -// rs1_val==-46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x3, 80,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x3, 84,0) - -inst_543: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333332, 0x400, 1b, x3, 88,0) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555554, 0x2, 3f, x3, 92,0) - -inst_545: -// rs1_val==-46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x2, 0x100, 3f, x3, 96,0) - -inst_546: -// rs1_val==-46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb504, 0x2, 1b, x3, 100,0) - -inst_547: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb504, 0x200, 3f, x3, 104,0) - -inst_548: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666666, 0x4, 3f, x3, 108,0) - -inst_549: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333333, 0x556, 1b, x3, 112,0) - -inst_550: -// rs1_val==-46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x5, 0x40, 3f, x3, 116,0) - -inst_551: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555556, 0x0, 1b, x3, 120,0) - -inst_552: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555555, 0x10, 3f, x3, 124,0) - -inst_553: -// rs1_val==-46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x3, 128,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x3, 132,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb503, 0xa, 1b, x3, 136,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666667, 0x400, 1b, x3, 140,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333334, 0x200, 3f, x3, 144,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x6, 0x0, 1b, x3, 148,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555555, 0x40, 3f, x3, 152,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x3, 156,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4, 0x400, 3f, x3, 160,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb503, 0x80, 3f, x3, 164,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x3, 168,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666665, 0x4, 1b, x3, 172,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333332, 0x10, 3f, x3, 176,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x3, 180,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x2, 0x2, 3f, x3, 184,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb504, 0x400, 1b, x3, 188,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb504, 0x400, 1b, x3, 192,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666666, 0x6, 3f, x3, 196,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333333, 0x8, 3f, x3, 200,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x5, 0x556, 1b, x3, 204,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555556, 0x8, 1b, x3, 208,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555555, 0x0, 1b, x3, 212,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x3, 0x8, 1b, x3, 216,0) - -inst_576: -// rs1_val==858993459 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb505, 0x10, 3f, x3, 220,0) - -inst_577: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb503, 0x80, 3f, x3, 224,0) - -inst_578: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666667, 0x556, 1b, x3, 228,0) - -inst_579: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333334, 0x2, 1b, x3, 232,0) - -inst_580: -// rs1_val==858993459 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x6, 0x2, 1b, x3, 236,0) - -inst_581: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555555, 0x400, 1b, x3, 240,0) - -inst_582: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x3, 244,0) - -inst_583: -// rs1_val==858993459 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x4, 0x20, 3f, x3, 248,0) - -inst_584: -// rs1_val==858993459 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb503, 0x80, 3f, x3, 252,0) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:0x100000; op2val:-0x10000001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100000, -0x10000001, 0x200, 3f, x3, 256,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 65*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S deleted file mode 100644 index f8042b147..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S +++ /dev/null @@ -1,3715 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bltu instruction of the RISC-V E extension for the bltu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bltu) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 == rs2, rs1==x4, rs2==x4, rs1_val > 0 and rs2_val > 0, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs2_val == 512 -// opcode: bltu, op1:x4; op2:x4; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x4, x4, 0xdfffffff, 0xdfffffff, 0x6, 3f, x1, 0,0) - -inst_1: -// rs1 != rs2, rs1==x5, rs2==x6, rs2_val == 2147483647, rs1_val == 268435456, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bltu, op1:x5; op2:x6; op1val:0x10000000; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x5, x6, 0x10000000, 0x7fffffff, 0x6, 3f, x1, 4,0) - -inst_2: -// rs1==x15, rs2==x8, rs2_val == 3221225471, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bltu, op1:x15; op2:x8; op1val:0xdfffffff; op2val:0xbfffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x7, x15, x8, 0xdfffffff, 0xbfffffff, 0x8, 1b, x1, 8,0) - -inst_3: -// rs1==x13, rs2==x15, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bltu, op1:x13; op2:x15; op1val:0x3; op2val:0xdfffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x13, x15, 0x3, 0xdfffffff, 0x0, 1b, x1, 12,0) - -inst_4: -// rs1==x2, rs2==x14, rs2_val == 4026531839, rs1_val == 134217728 -// opcode: bltu, op1:x2; op2:x14; op1val:0x8000000; op2val:0xefffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x2, x14, 0x8000000, 0xefffffff, 0x6, 1b, x1, 16,0) - -inst_5: -// rs1==x9, rs2==x11, rs2_val == 4160749567, -// opcode: bltu, op1:x9; op2:x11; op1val:0x66666665; op2val:0xf7ffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x7, x9, x11, 0x66666665, 0xf7ffffff, 0x4, 1b, x1, 20,0) - -inst_6: -// rs1==x3, rs2==x2, rs2_val == 4227858431, -// opcode: bltu, op1:x3; op2:x2; op1val:0xffffffff; op2val:0xfbffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x3, x2, 0xffffffff, 0xfbffffff, 0x0, 1b, x1, 24,0) - -inst_7: -// rs1==x7, rs2==x5, rs2_val == 4261412863, rs1_val == 2 -// opcode: bltu, op1:x7; op2:x5; op1val:0x2; op2val:0xfdffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x7, x5, 0x2, 0xfdffffff, 0x6, 3f, x1, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x6, rs2==x12, rs2_val == 4278190079, -// opcode: bltu, op1:x6; op2:x12; op1val:0x66666665; op2val:0xfeffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x4, x6, x12, 0x66666665, 0xfeffffff, 0x8, 3f, x2, 0,0) - -inst_9: -// rs1==x1, rs2==x9, rs2_val == 4286578687, rs1_val == 4294967167 -// opcode: bltu, op1:x1; op2:x9; op1val:0xffffff7f; op2val:0xff7fffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x4, x1, x9, 0xffffff7f, 0xff7fffff, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x12, rs2==x10, rs2_val == 4290772991, rs1_val == 2147483648 -// opcode: bltu, op1:x12; op2:x10; op1val:0x80000000; op2val:0xffbfffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x4, x12, x10, 0x80000000, 0xffbfffff, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x14, rs2==x13, rs2_val == 4292870143, -// opcode: bltu, op1:x14; op2:x13; op1val:0x0; op2val:0xffdfffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x4, x14, x13, 0x0, 0xffdfffff, 0x0, 3f, x2, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == 4293918719, -// opcode: bltu, op1:x10; op2:x7; op1val:0xffffff7f; op2val:0xffefffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x4, x10, x7, 0xffffff7f, 0xffefffff, 0x100, 3f, x2, 16,0) - -inst_13: -// rs1==x0, rs2==x3, rs2_val == 4294443007, rs1_val == 4290772991 -// opcode: bltu, op1:x0; op2:x3; op1val:0x0; op2val:0xfff7ffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x0, x3, 0x0, 0xfff7ffff, 0x6, 1b, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x0, rs2_val == 4294705151, -// opcode: bltu, op1:x11; op2:x0; op1val:0x9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x4, x11, x0, 0x9, 0x0, 0x40, 3f, x2, 24,0) - -inst_15: -// rs1==x8, rs2==x1, rs2_val == 4294836223, rs1_val == 4294836223 -// opcode: bltu, op1:x8; op2:x1; op1val:0xfffdffff; op2val:0xfffdffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x8, x1, 0xfffdffff, 0xfffdffff, 0x0, 3f, x2, 28,0) - -inst_16: -// rs2_val == 4294901759, rs1_val == 2147483647 -// opcode: bltu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffeffff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7fffffff, 0xfffeffff, 0x556, 1b, x2, 32,0) - -inst_17: -// rs2_val == 4294934527, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff7fff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff7fff, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4026531839 -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0xffffbfff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0xffffbfff, 0x80, 3f, x2, 40,0) - -inst_19: -// rs2_val == 4294959103, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0, rs1_val == 4294959103 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xffffdfff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0xffffdfff, 0x8, 1b, x2, 44,0) - -inst_20: -// rs2_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xffffefff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000000, 0xffffefff, 0x0, 1b, x2, 48,0) - -inst_21: -// rs2_val == 4294965247, rs1_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000; op2val:0xfffff7ff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000, 0xfffff7ff, 0xa, 1b, x2, 52,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 1 -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffffbff, 0x8, 1b, x2, 56,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0x12; op2val:0xfffffdff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x12, 0xfffffdff, 0x10, 3f, x2, 60,0) - -inst_24: -// rs2_val == 4294967039, rs1_val == 16 -// opcode: bltu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10, 0xfffffeff, 0x4, 3f, x2, 64,0) - -inst_25: -// rs2_val == 4294967167, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffffff7f; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffffff7f, 0x40, 3f, x2, 68,0) - -inst_26: -// rs2_val == 4294967231, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffffffbf, 0x6, 1b, x2, 72,0) - -inst_27: -// rs2_val == 4294967263, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffffffdf; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffffffdf, 0x8, 3f, x2, 76,0) - -inst_28: -// rs2_val == 4294967279, rs1_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffffef; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40, 0xffffffef, 0x6, 3f, x2, 80,0) - -inst_29: -// rs2_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xe; op2val:0xfffffff7; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xe, 0xfffffff7, 0x40, 3f, x2, 84,0) - -inst_30: -// rs2_val == 4294967291, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffffffb; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffffffb, 0x2, 3f, x2, 88,0) - -inst_31: -// rs2_val == 4294967293, rs1_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0xfffffffd, 0x80, 3f, x2, 92,0) - -inst_32: -// rs2_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0xfffffffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0xfffffffe, 0x2, 1b, x2, 96,0) - -inst_33: -// rs1_val == 3221225471, rs2_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x2000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x2000, 0x4, 3f, x2, 100,0) - -inst_34: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf7ffffff, 0x4000000, 0x4, 1b, x2, 104,0) - -inst_35: -// rs1_val == 4227858431, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfbffffff, 0x55555556, 0x6, 1b, x2, 108,0) - -inst_36: -// rs1_val == 4261412863, rs2_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfdffffff; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfdffffff, 0x55555555, 0x40, 3f, x2, 112,0) - -inst_37: -// rs1_val == 4278190079, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfeffffff, 0xffff, 0x8, 3f, x2, 116,0) - -inst_38: -// rs1_val == 4286578687, -// opcode: bltu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xff7fffff, 0x200, 0x4, 3f, x2, 120,0) - -inst_39: -// rs1_val == 4292870143, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffdfffff, 0x9, 0x2, 1b, x2, 124,0) - -inst_40: -// rs1_val == 4293918719, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x7fffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x7fffffff, 0xa, 1b, x2, 128,0) - -inst_41: -// rs1_val == 4294443007, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x55555555, 0x0, 3f, x2, 132,0) - -inst_42: -// rs1_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfff7ffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffbffff, 0xfff7ffff, 0x40, 3f, x2, 136,0) - -inst_43: -// rs1_val == 4294901759, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffeffff, 0x5, 0x4, 3f, x2, 140,0) - -inst_44: -// rs1_val == 4294934527, rs2_val == 256 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x100; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff7fff, 0x100, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == 4294950911, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffbfff, 0x33333334, 0x40, 3f, x2, 148,0) - -inst_46: -// rs1_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x55555556, 0x0, 1b, x2, 152,0) - -inst_47: -// rs1_val == 4294965247, rs2_val == 8 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x8; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffff7ff, 0x8, 0x556, 1b, x2, 156,0) - -inst_48: -// rs1_val == 4294966271, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xfffdffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffbff, 0xfffdffff, 0x100, 3f, x2, 160,0) - -inst_49: -// rs1_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x8; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffdff, 0x8, 0x4, 3f, x2, 164,0) - -inst_50: -// rs1_val == 4294967039, rs2_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x20000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffeff, 0x20000, 0x6, 3f, x2, 168,0) - -inst_51: -// rs1_val == 4294967231, rs2_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0x40; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffbf, 0x40, 0x0, 3f, x2, 172,0) - -inst_52: -// rs1_val == 4294967263, rs2_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xaaaaaaaa; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffdf, 0xaaaaaaaa, 0x100, 3f, x2, 176,0) - -inst_53: -// rs1_val == 4294967279, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x11; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffef, 0x11, 0x556, 1b, x2, 180,0) - -inst_54: -// rs1_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffff7, 0x33333332, 0x0, 1b, x2, 184,0) - -inst_55: -// rs1_val == 4294967291, rs2_val == 2 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffb, 0x2, 0x100, 3f, x2, 188,0) - -inst_56: -// rs1_val == 4294967293, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffd, 0xfffe, 0x100, 3f, x2, 192,0) - -inst_57: -// rs1_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffe, 0x66666667, 0x200, 3f, x2, 196,0) - -inst_58: -// rs2_val == 2147483648, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x80000000, 0x6, 3f, x2, 200,0) - -inst_59: -// rs2_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x40000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x40000000, 0x4, 3f, x2, 204,0) - -inst_60: -// rs2_val == 536870912, rs1_val == 4 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x20000000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x20000000, 0x0, 3f, x2, 208,0) - -inst_61: -// rs2_val == 268435456, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0x10000000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0x10000000, 0x80, 3f, x2, 212,0) - -inst_62: -// rs2_val == 134217728, -// opcode: bltu, op1:x10; op2:x11; op1val:0x11; op2val:0x8000000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x11, 0x8000000, 0x8, 1b, x2, 216,0) - -inst_63: -// rs2_val == 33554432, rs1_val == 536870912 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x2000000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000000, 0x2000000, 0x556, 1b, x2, 220,0) - -inst_64: -// rs2_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x1000000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffdffff, 0x1000000, 0x400, 1b, x2, 224,0) - -inst_65: -// rs2_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x800000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x800000, 0x0, 3f, x2, 228,0) - -inst_66: -// rs2_val == 4194304, -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x400000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0x400000, 0x4, 1b, x2, 232,0) - -inst_67: -// rs2_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x200000, 0x20, 3f, x2, 236,0) - -inst_68: -// rs2_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x100000, 0x556, 1b, x2, 240,0) - -inst_69: -// rs2_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x80000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x80000, 0x6, 3f, x2, 244,0) - -inst_70: -// rs2_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0x40000, 0x80, 3f, x2, 248,0) - -inst_71: -// rs2_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x10000, 0x4, 1b, x2, 252,0) - -inst_72: -// rs2_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x8000, 0x4, 3f, x2, 256,0) - -inst_73: -// rs2_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0xf; op2val:0x4000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf, 0x4000, 0x4, 1b, x2, 260,0) - -inst_74: -// rs2_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x1000, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x800; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x800, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 1024, rs1_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x400, 0x6, 1b, x2, 272,0) - -inst_77: -// rs2_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x80, 0x8, 3f, x2, 276,0) - -inst_78: -// rs2_val == 32, rs1_val == 4194304 -// opcode: bltu, op1:x10; op2:x11; op1val:0x400000; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400000, 0x20, 0x2, 3f, x2, 280,0) - -inst_79: -// rs2_val == 16, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0x10; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffbfffff, 0x10, 0x4, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000000; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000000, 0x4, 0x556, 1b, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x1, 0x0, 3f, x2, 292,0) - -inst_82: -// rs1_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000000; op2val:0x2000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000000, 0x2000000, 0x4, 1b, x2, 296,0) - -inst_83: -// rs1_val == 33554432, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000000, 0xaaaaaaab, 0x6, 1b, x2, 300,0) - -inst_84: -// rs1_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000000, 0x40000000, 0x40, 3f, x2, 304,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800000, 0x40000, 0x40, 3f, x2, 308,0) - -inst_86: -// rs1_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200000; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200000, 0x55555556, 0xa, 1b, x2, 312,0) - -inst_87: -// rs1_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100000; op2val:0x80000000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100000, 0x80000000, 0x10, 3f, x2, 316,0) - -inst_88: -// rs1_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80000; op2val:0xb; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80000, 0xb, 0x40, 3f, x2, 320,0) - -inst_89: -// rs1_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000, 0x1, 0x400, 1b, x2, 324,0) - -inst_90: -// rs1_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffff7ff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffff7ff, 0x556, 1b, x2, 328,0) - -inst_91: -// rs1_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffdff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8000, 0xfffffdff, 0x80, 3f, x2, 332,0) - -inst_92: -// rs1_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000; op2val:0xfffffffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000, 0xfffffffe, 0x0, 3f, x2, 336,0) - -inst_93: -// rs1_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffffb; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000, 0xfffffffb, 0x0, 3f, x2, 340,0) - -inst_94: -// rs1_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800; op2val:0x4000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800, 0x4000000, 0x6, 1b, x2, 344,0) - -inst_95: -// rs1_val == 1024, -// opcode: bltu, op1:x10; op2:x11; op1val:0x400; op2val:0x13; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400, 0x13, 0x0, 3f, x2, 348,0) - -inst_96: -// rs1_val == 512, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200; op2val:0x20; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200, 0x20, 0x8, 3f, x2, 352,0) - -inst_97: -// rs1_val == 256, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100; op2val:0xfffff7ff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100, 0xfffff7ff, 0x6, 3f, x2, 356,0) - -inst_98: -// rs1_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80; op2val:0x7; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80, 0x7, 0x10, 3f, x2, 360,0) - -inst_99: -// rs1_val == 32, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20, 0x10000, 0x400, 1b, x2, 364,0) - -inst_100: -// rs1_val == 8, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8, 0x10000, 0x100, 3f, x2, 368,0) - -inst_101: -// rs1_val==65536 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x10000, 0x2, 1b, x2, 372,0) - -inst_102: -// rs1_val==65536 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x1, 0x6, 1b, x2, 376,0) - -inst_103: -// rs1_val==65536 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb505, 0x556, 1b, x2, 380,0) - -inst_104: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666667, 0x2, 1b, x2, 384,0) - -inst_105: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333334, 0x4, 3f, x2, 388,0) - -inst_106: -// rs1_val==65536 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x6, 0x4, 3f, x2, 392,0) - -inst_107: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaab, 0x20, 3f, x2, 396,0) - -inst_108: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555556, 0x100, 3f, x2, 400,0) - -inst_109: -// rs1_val==65536 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x4, 0x20, 3f, x2, 404,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffe, 0x20, 3f, x2, 408,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x0, 0x0, 1b, x2, 412,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb503, 0x0, 1b, x2, 416,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666665, 0x2, 1b, x2, 420,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333332, 0x556, 1b, x2, 424,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaa9, 0x400, 3f, x2, 428,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555554, 0x80, 3f, x2, 432,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x2, 0x556, 1b, x2, 436,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xffff, 0x0, 3f, x2, 440,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb504, 0x10, 3f, x2, 444,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666666, 0x400, 1b, x2, 448,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x2, 452,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x5, 0x400, 1b, x2, 456,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaaa, 0x0, 1b, x2, 460,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555555, 0x2, 1b, x2, 464,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x3, 0x80, 3f, x2, 468,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x10000, 0x6, 1b, x2, 472,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x1, 0x0, 1b, x2, 476,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb505, 0x2, 1b, x2, 480,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666667, 0x200, 3f, x2, 484,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333334, 0x4, 3f, x2, 488,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x6, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaab, 0x80, 3f, x2, 496,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555556, 0x400, 3f, x2, 500,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x4, 0x4, 1b, x2, 504,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffe, 0x40, 3f, x2, 508,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x0, 0x0, 3f, x2, 512,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb503, 0x10, 3f, x2, 516,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666665, 0x400, 3f, x2, 520,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333332, 0x8, 3f, x2, 524,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaa9, 0x10, 3f, x2, 528,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555554, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x2, 0x200, 3f, x2, 536,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xffff, 0x400, 3f, x2, 540,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb504, 0x6, 1b, x2, 544,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666666, 0x556, 1b, x2, 548,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333333, 0x0, 1b, x2, 552,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x5, 0x200, 3f, x2, 556,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaaa, 0x8, 1b, x2, 560,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555555, 0x556, 1b, x2, 564,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x3, 0x80, 3f, x2, 568,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x10000, 0x0, 3f, x2, 572,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x1, 0x2, 3f, x2, 576,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb505, 0x4, 1b, x2, 580,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666667, 0x6, 3f, x2, 584,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333334, 0x100, 3f, x2, 588,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x6, 0x80, 3f, x2, 592,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaab, 0x100, 3f, x2, 596,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555556, 0x556, 1b, x2, 600,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x4, 0x8, 1b, x2, 604,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xfffe, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x0, 0x0, 3f, x2, 612,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb503, 0x20, 3f, x2, 616,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666665, 0x10, 3f, x2, 620,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333332, 0x20, 3f, x2, 624,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaa9, 0xa, 1b, x2, 628,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555554, 0x10, 3f, x2, 632,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x2, 0x8, 1b, x2, 636,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xffff, 0x6, 1b, x2, 640,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb504, 0x2, 1b, x2, 644,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x2, 648,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333333, 0x4, 3f, x2, 652,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x5, 0x2, 3f, x2, 656,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaaa, 0x556, 1b, x2, 660,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555555, 0x100, 3f, x2, 664,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x3, 0x6, 3f, x2, 668,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x10000, 0x10, 3f, x2, 672,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x1, 0x4, 3f, x2, 676,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb505, 0x556, 1b, x2, 680,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666667, 0x80, 3f, x2, 684,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x2, 688,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x6, 0x0, 3f, x2, 692,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaab, 0x6, 3f, x2, 696,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555556, 0x556, 1b, x2, 700,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x4, 0x4, 1b, x2, 704,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xfffe, 0x20, 3f, x2, 708,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x0, 0x0, 3f, x2, 712,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb503, 0x6, 3f, x2, 716,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666665, 0x400, 1b, x2, 720,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x2, 724,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaa9, 0x2, 1b, x2, 728,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555554, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x2, 0xa, 1b, x2, 736,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xffff, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb504, 0x8, 3f, x2, 744,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x2, 748,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333333, 0x40, 3f, x2, 752,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x5, 0x40, 3f, x2, 756,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaaa, 0x8, 3f, x2, 760,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555555, 0x4, 1b, x2, 764,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x3, 0x8, 3f, x2, 768,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x10000, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x1, 0x4, 3f, x2, 776,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb505, 0x4, 1b, x2, 780,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666667, 0x10, 3f, x2, 784,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x6, 0x6, 1b, x2, 792,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaab, 0x6, 1b, x2, 796,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555556, 0x4, 1b, x2, 800,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x4, 0x400, 3f, x2, 804,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xfffe, 0x4, 1b, x2, 808,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x0, 0x2, 1b, x2, 812,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb503, 0x80, 3f, x2, 816,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666665, 0x20, 3f, x2, 820,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333332, 0xa, 1b, x2, 824,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaa9, 0x4, 3f, x2, 828,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555554, 0x4, 1b, x2, 832,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x2, 0x2, 1b, x2, 836,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffff, 0x0, 1b, x2, 840,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 844,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666666, 0x200, 3f, x2, 848,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333333, 0x10, 3f, x2, 852,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x5, 0x100, 3f, x2, 856,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaaa, 0x6, 1b, x2, 860,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555555, 0x6, 3f, x2, 864,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x3, 0x400, 1b, x2, 868,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x10000, 0x40, 3f, x2, 872,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x1, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb505, 0xa, 1b, x2, 880,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666667, 0x4, 1b, x2, 884,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333334, 0x10, 3f, x2, 888,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x6, 0x100, 3f, x2, 892,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaab, 0x10, 3f, x2, 896,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555556, 0x4, 1b, x2, 900,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x4, 0x6, 3f, x2, 904,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xfffe, 0x2, 3f, x2, 908,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x0, 0x6, 3f, x2, 912,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb503, 0x8, 3f, x2, 916,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666665, 0x4, 3f, x2, 920,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333332, 0x10, 3f, x2, 924,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaa9, 0x400, 3f, x2, 928,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555554, 0x0, 3f, x2, 932,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x2, 0x0, 3f, x2, 936,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xffff, 0x2, 1b, x2, 940,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb504, 0x10, 3f, x2, 944,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666666, 0x0, 3f, x2, 948,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333333, 0x8, 1b, x2, 952,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x5, 0x6, 1b, x2, 956,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaaa, 0x40, 3f, x2, 960,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x2, 964,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x3, 0x400, 3f, x2, 968,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x10000, 0x0, 3f, x2, 972,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x1, 0x0, 1b, x2, 976,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb505, 0x8, 3f, x2, 980,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666667, 0x8, 3f, x2, 984,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 3f, x2, 988,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x2, 992,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 3f, x2, 996,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555556, 0xa, 1b, x2, 1000,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x4, 0x0, 1b, x2, 1004,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xfffe, 0x100, 3f, x2, 1008,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x0, 0x2, 3f, x2, 1012,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb503, 0x6, 3f, x2, 1016,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666665, 0x556, 1b, x2, 1020,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333332, 0x4, 1b, x2, 1024,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x6, 3f, x2, 1028,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555554, 0x8, 3f, x2, 1032,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x2, 0x6, 3f, x2, 1036,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffff, 0x100, 3f, x2, 1040,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb504, 0x0, 1b, x2, 1044,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666666, 0x20, 3f, x2, 1048,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333333, 0x4, 3f, x2, 1052,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x5, 0x100, 3f, x2, 1056,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0x2, 1b, x2, 1060,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555555, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x3, 0x4, 3f, x2, 1068,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x10000, 0x4, 3f, x2, 1072,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x1, 0xa, 1b, x2, 1076,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x2, 1080,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x2, 1084,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x2, 1088,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x6, 0x6, 1b, x2, 1092,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaab, 0x6, 1b, x2, 1096,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555556, 0x556, 1b, x2, 1100,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x4, 0x6, 3f, x2, 1104,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xfffe, 0x0, 1b, x2, 1108,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x0, 0x6, 1b, x2, 1112,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x2, 1116,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666665, 0xa, 1b, x2, 1120,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333332, 0x20, 3f, x2, 1124,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaa9, 0x8, 3f, x2, 1128,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555554, 0x6, 3f, x2, 1132,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x2, 0x100, 3f, x2, 1136,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xffff, 0x6, 1b, x2, 1140,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x2, 1144,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666666, 0x0, 3f, x2, 1148,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333333, 0x2, 1b, x2, 1152,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x5, 0x4, 3f, x2, 1156,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaaa, 0x0, 3f, x2, 1160,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555555, 0x8, 3f, x2, 1164,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x3, 0x0, 3f, x2, 1168,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x10000, 0x6, 1b, x2, 1172,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x1, 0x6, 1b, x2, 1176,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb505, 0x8, 3f, x2, 1180,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666667, 0x0, 1b, x2, 1184,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x2, 1188,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x6, 0x200, 3f, x2, 1192,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaab, 0x400, 1b, x2, 1196,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555556, 0x0, 1b, x2, 1200,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x4, 0x40, 3f, x2, 1204,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xfffe, 0x6, 1b, x2, 1208,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb503, 0x8, 1b, x2, 1216,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666665, 0x556, 1b, x2, 1220,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333332, 0x8, 1b, x2, 1224,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaa9, 0x0, 1b, x2, 1228,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555554, 0x6, 1b, x2, 1232,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x2, 0x100, 3f, x2, 1236,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xffff, 0x6, 3f, x2, 1240,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb504, 0x400, 3f, x2, 1244,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666666, 0x100, 3f, x2, 1248,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333333, 0x8, 1b, x2, 1252,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x5, 0x10, 3f, x2, 1256,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaaa, 0x400, 1b, x2, 1260,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555555, 0xa, 1b, x2, 1264,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x3, 0x2, 3f, x2, 1268,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x2, 1272,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x1, 0x6, 1b, x2, 1276,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb505, 0x2, 1b, x2, 1280,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666667, 0x4, 1b, x2, 1284,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x2, 1288,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x6, 0x200, 3f, x2, 1292,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 3f, x2, 1296,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555556, 0x0, 3f, x2, 1300,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x4, 0x8, 1b, x2, 1304,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xfffe, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x0, 0x80, 3f, x2, 1312,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb503, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666665, 0x6, 3f, x2, 1320,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333332, 0x100, 3f, x2, 1324,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaa9, 0xa, 1b, x2, 1328,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555554, 0x0, 1b, x2, 1332,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x2, 0x40, 3f, x2, 1336,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xffff, 0x6, 3f, x2, 1340,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb504, 0x6, 1b, x2, 1344,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x2, 1348,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333333, 0x6, 3f, x2, 1352,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x5, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaaa, 0x8, 3f, x2, 1360,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555555, 0x0, 3f, x2, 1364,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x3, 0x0, 1b, x2, 1368,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x10000, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x1, 0x100, 3f, x2, 1376,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb505, 0x40, 3f, x2, 1380,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666667, 0x400, 3f, x2, 1384,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333334, 0x10, 3f, x2, 1388,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x6, 0x20, 3f, x2, 1392,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaab, 0x2, 3f, x2, 1396,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555556, 0x8, 1b, x2, 1400,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x4, 0x400, 3f, x2, 1404,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xfffe, 0x400, 3f, x2, 1408,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x0, 0x0, 3f, x2, 1412,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb503, 0x400, 3f, x2, 1416,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666665, 0x20, 3f, x2, 1420,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333332, 0x2, 1b, x2, 1424,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaa9, 0x80, 3f, x2, 1428,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555554, 0xa, 1b, x2, 1432,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x2, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xffff, 0x2, 3f, x2, 1440,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb504, 0x8, 1b, x2, 1444,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666666, 0x8, 1b, x2, 1448,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333333, 0x400, 3f, x2, 1452,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x5, 0x8, 3f, x2, 1456,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaaa, 0x400, 1b, x2, 1460,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555555, 0x2, 1b, x2, 1464,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x3, 0x20, 3f, x2, 1468,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x10000, 0x200, 3f, x2, 1472,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x1, 0x8, 3f, x2, 1476,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb505, 0x400, 3f, x2, 1480,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666667, 0x20, 3f, x2, 1484,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333334, 0x2, 1b, x2, 1488,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x6, 0x20, 3f, x2, 1492,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaab, 0x8, 3f, x2, 1496,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1500,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x4, 0x0, 1b, x2, 1504,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xfffe, 0x556, 1b, x2, 1508,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x0, 0x6, 3f, x2, 1512,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb503, 0x20, 3f, x2, 1516,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666665, 0x400, 1b, x2, 1520,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333332, 0x100, 3f, x2, 1524,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaa9, 0x400, 1b, x2, 1528,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x2, 1532,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1536,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff, 0x4, 1b, x2, 1540,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb504, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666666, 0x8, 1b, x2, 1548,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1552,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x5, 0x8, 1b, x2, 1556,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaaa, 0x200, 3f, x2, 1560,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555555, 0x80, 3f, x2, 1564,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x2, 1568,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x10000, 0x10, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x1, 0x8, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb505, 0x80, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666667, 0x4, 3f, x2, 1584,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1588,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x6, 0x10, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaab, 0x556, 1b, x2, 1596,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555556, 0x2, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffe, 0x4, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x0, 0x6, 1b, x2, 1612,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb503, 0x10, 3f, x2, 1616,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x2, 1620,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333332, 0x8, 1b, x2, 1624,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaa9, 0x0, 1b, x2, 1628,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x2, 1632,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x2, 0x400, 3f, x2, 1636,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xffff, 0x0, 3f, x2, 1640,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb504, 0x10, 3f, x2, 1644,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 3f, x2, 1648,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333333, 0x400, 3f, x2, 1652,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x5, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaaa, 0x4, 3f, x2, 1660,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x2, 1664,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x3, 0x40, 3f, x2, 1668,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x10000, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x1, 0x40, 3f, x2, 1676,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb505, 0x40, 3f, x2, 1680,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666667, 0x80, 3f, x2, 1684,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1688,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x6, 0x4, 1b, x2, 1692,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaab, 0xa, 1b, x2, 1696,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555556, 0x10, 3f, x2, 1700,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x4, 0x2, 1b, x2, 1704,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xfffe, 0x100, 3f, x2, 1708,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x0, 0x556, 1b, x2, 1712,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb503, 0x2, 1b, x2, 1716,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1720,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1724,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaa9, 0x200, 3f, x2, 1728,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x2, 1732,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x2, 0x4, 3f, x2, 1736,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xffff, 0x10, 3f, x2, 1740,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb504, 0x4, 3f, x2, 1744,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666666, 0x4, 1b, x2, 1748,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaaa, 0x4, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555555, 0x100, 3f, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x3, 0x80, 3f, x2, 1768,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x10000, 0x100, 3f, x2, 1772,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x1, 0x6, 3f, x2, 1776,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb505, 0x2, 3f, x2, 1780,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666667, 0x4, 3f, x2, 1784,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333334, 0x4, 1b, x2, 1788,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x6, 0x200, 3f, x2, 1792,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x8, 3f, x2, 1796,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555556, 0x556, 1b, x2, 1800,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x4, 0x8, 3f, x2, 1804,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xfffe, 0x4, 3f, x2, 1808,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x0, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb503, 0x400, 1b, x2, 1816,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666665, 0x8, 1b, x2, 1820,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333332, 0x0, 1b, x2, 1824,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x400, 1b, x2, 1828,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 3f, x2, 1832,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x2, 0x8, 1b, x2, 1836,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xffff, 0x10, 3f, x2, 1840,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb504, 0x8, 3f, x2, 1844,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666666, 0x2, 1b, x2, 1848,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333333, 0x80, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x4, 0x4, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x0, 0x40, 3f, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb503, 0x6, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666665, 0x8, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaa9, 0x80, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x2, 0x0, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xffff, 0x100, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb504, 0x0, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666666, 0x8, 1b, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333333, 0x6, 3f, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x5, 0x4, 1b, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaaa, 0x400, 3f, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x3, 0x20, 3f, x2, 1920,0) - -inst_489: -// rs1_val==2 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x10000, 0x2, 3f, x2, 1924,0) - -inst_490: -// rs1_val==2 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x1, 0x6, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb505, 0x10, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666667, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333334, 0x400, 1b, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x6, 0x6, 3f, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaab, 0x6, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555556, 0x10, 3f, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x4, 0x8, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xfffe, 0x0, 3f, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x0, 0x400, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb503, 0x0, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666665, 0x4, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333332, 0x400, 3f, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaa9, 0x2, 1b, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555554, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x2, 0x4, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xffff, 0x80, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb504, 0x8, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666666, 0x40, 3f, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x5, 0x40, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaaa, 0x200, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555555, 0x2, 3f, x2, 2016,0) - -inst_513: -// rs1_val==2 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x2, 2020,0) - -inst_514: -// rs1_val==65535 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x10000, 0x400, 1b, x2, 2024,0) - -inst_515: -// rs1_val==65535 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x1, 0x400, 1b, x2, 2028,0) - -inst_516: -// rs1_val==65535 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb505, 0x80, 3f, x2, 2032,0) - -inst_517: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666667, 0x556, 1b, x2, 2036,0) - -inst_518: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333334, 0x200, 3f, x2, 2040,0) - -inst_519: -// rs1_val==65535 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x6, 0x4, 1b, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaab, 0x20, 3f, x2, 0,0) - -inst_521: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555556, 0x100, 3f, x2, 4,0) - -inst_522: -// rs1_val==65535 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x4, 0x6, 1b, x2, 8,0) - -inst_523: -// rs1_val==65535 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xfffe, 0x6, 3f, x2, 12,0) - -inst_524: -// rs1_val==65535 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x0, 0x40, 3f, x2, 16,0) - -inst_525: -// rs1_val==65535 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb503, 0x0, 3f, x2, 20,0) - -inst_526: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666665, 0x200, 3f, x2, 24,0) - -inst_527: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x2, 28,0) - -inst_528: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaa9, 0xa, 1b, x2, 32,0) - -inst_529: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555554, 0x200, 3f, x2, 36,0) - -inst_530: -// rs1_val==65535 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x2, 0x0, 3f, x2, 40,0) - -inst_531: -// rs1_val==65535 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xffff, 0x20, 3f, x2, 44,0) - -inst_532: -// rs1_val==65535 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb504, 0x8, 3f, x2, 48,0) - -inst_533: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x2, 52,0) - -inst_534: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333333, 0x40, 3f, x2, 56,0) - -inst_535: -// rs1_val==65535 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x5, 0x2, 1b, x2, 60,0) - -inst_536: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaaa, 0x4, 1b, x2, 64,0) - -inst_537: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555555, 0x0, 1b, x2, 68,0) - -inst_538: -// rs1_val==65535 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x3, 0x400, 3f, x2, 72,0) - -inst_539: -// rs1_val==46340 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x10000, 0x8, 1b, x2, 76,0) - -inst_540: -// rs1_val==46340 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x1, 0x100, 3f, x2, 80,0) - -inst_541: -// rs1_val==46340 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb505, 0x8, 1b, x2, 84,0) - -inst_542: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666667, 0x2, 1b, x2, 88,0) - -inst_543: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333334, 0x6, 3f, x2, 92,0) - -inst_544: -// rs1_val==46340 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x6, 0x6, 1b, x2, 96,0) - -inst_545: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaab, 0x80, 3f, x2, 100,0) - -inst_546: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x2, 104,0) - -inst_547: -// rs1_val==46340 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x4, 0x400, 3f, x2, 108,0) - -inst_548: -// rs1_val==46340 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xfffe, 0x8, 3f, x2, 112,0) - -inst_549: -// rs1_val==46340 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x2, 116,0) - -inst_550: -// rs1_val==46340 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x2, 120,0) - -inst_551: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666665, 0xa, 1b, x2, 124,0) - -inst_552: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x2, 128,0) - -inst_553: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaa9, 0x4, 1b, x2, 132,0) - -inst_554: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555554, 0x10, 3f, x2, 136,0) - -inst_555: -// rs1_val==46340 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x2, 0x10, 3f, x2, 140,0) - -inst_556: -// rs1_val==46340 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xffff, 0x2, 1b, x2, 144,0) - -inst_557: -// rs1_val==46340 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb504, 0x2, 3f, x2, 148,0) - -inst_558: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x2, 152,0) - -inst_559: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333333, 0x4, 1b, x2, 156,0) - -inst_560: -// rs1_val==46340 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x5, 0x6, 1b, x2, 160,0) - -inst_561: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x2, 164,0) - -inst_562: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x2, 168,0) - -inst_563: -// rs1_val==46340 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x3, 0x0, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x10000, 0x100, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x1, 0x80, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb505, 0x0, 1b, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666667, 0x40, 3f, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333334, 0x6, 1b, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x6, 0x4, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaab, 0x4, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555556, 0x20, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xfffe, 0x40, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x0, 0x10, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb503, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666665, 0x8, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaa9, 0x100, 3f, x2, 232,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555554, 0x10, 3f, x2, 236,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x2, 0x40, 3f, x2, 240,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffff, 0x4, 1b, x2, 244,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb504, 0x80, 3f, x2, 248,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666666, 0x4, 3f, x2, 252,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333333, 0x2, 3f, x2, 256,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x2, 260,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaaa, 0x6, 3f, x2, 264,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x2, 268,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x3, 0x4, 3f, x2, 272,0) - -inst_589: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x10000, 0x0, 1b, x2, 276,0) - -inst_590: -// rs1_val==858993459 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x1, 0x2, 3f, x2, 280,0) - -inst_591: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb505, 0xa, 1b, x2, 284,0) - -inst_592: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666667, 0x40, 3f, x2, 288,0) - -inst_593: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333334, 0x400, 3f, x2, 292,0) - -inst_594: -// rs1_val==858993459 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x6, 0x6, 3f, x2, 296,0) - -inst_595: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaab, 0xa, 1b, x2, 300,0) - -inst_596: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555556, 0x8, 3f, x2, 304,0) - -inst_597: -// rs1_val==858993459 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x4, 0x10, 3f, x2, 308,0) - -inst_598: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xfffe, 0x200, 3f, x2, 312,0) - -inst_599: -// rs1_val==858993459 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x0, 0x20, 3f, x2, 316,0) - -inst_600: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x3, 0x2, 1b, x2, 320,0) - -inst_601: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb503, 0x40, 3f, x2, 324,0) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666665, 0x6, 1b, x2, 328,0) - -inst_603: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333332, 0x2, 1b, x2, 332,0) - -inst_604: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaa9, 0x2, 3f, x2, 336,0) - -inst_605: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555554, 0x400, 1b, x2, 340,0) - -inst_606: -// rs1_val==858993459 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x2, 0x80, 3f, x2, 344,0) - -inst_607: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xffff, 0x2, 3f, x2, 348,0) - -inst_608: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb504, 0x8, 3f, x2, 352,0) - -inst_609: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666666, 0x0, 1b, x2, 356,0) - -inst_610: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333333, 0x100, 3f, x2, 360,0) - -inst_611: -// rs1_val==858993459 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x5, 0x4, 1b, x2, 364,0) - -inst_612: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaaa, 0x80, 3f, x2, 368,0) - -inst_613: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555555, 0x4, 3f, x2, 372,0) - -inst_614: -// rs1_val==858993459 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x3, 0x400, 1b, x2, 376,0) - -inst_615: -// rs1_val==5 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x10000, 0x400, 1b, x2, 380,0) - -inst_616: -// rs1_val==5 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x1, 0x8, 1b, x2, 384,0) - -inst_617: -// rs1_val==5 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb505, 0x2, 1b, x2, 388,0) - -inst_618: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666667, 0x400, 1b, x2, 392,0) - -inst_619: -// rs1_val==5 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333334, 0x10, 3f, x2, 396,0) - -inst_620: -// rs1_val==5 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x6, 0x40, 3f, x2, 400,0) - -inst_621: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaab, 0x6, 1b, x2, 404,0) - -inst_622: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555556, 0xa, 1b, x2, 408,0) - -inst_623: -// rs1_val==5 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x2, 412,0) - -inst_624: -// rs1_val==5 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xfffe, 0x10, 3f, x2, 416,0) - -inst_625: -// rs1_val==5 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x0, 0x0, 1b, x2, 420,0) - -inst_626: -// rs1_val==5 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb503, 0x400, 3f, x2, 424,0) - -inst_627: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666665, 0x20, 3f, x2, 428,0) - -inst_628: -// rs1_val==5 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333332, 0x10, 3f, x2, 432,0) - -inst_629: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaa9, 0x80, 3f, x2, 436,0) - -inst_630: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555554, 0x200, 3f, x2, 440,0) - -inst_631: -// rs1_val==5 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x2, 0x100, 3f, x2, 444,0) - -inst_632: -// rs1_val==5 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xffff, 0x0, 3f, x2, 448,0) - -inst_633: -// rs1_val==5 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb504, 0x40, 3f, x2, 452,0) - -inst_634: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x2, 456,0) - -inst_635: -// rs1_val==5 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333333, 0x8, 3f, x2, 460,0) - -inst_636: -// rs1_val==5 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x2, 464,0) - -inst_637: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaaa, 0x4, 3f, x2, 468,0) - -inst_638: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555555, 0x80, 3f, x2, 472,0) - -inst_639: -// rs1_val==5 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x3, 0x0, 1b, x2, 476,0) - -inst_640: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x10000, 0x20, 3f, x2, 480,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x1, 0x8, 3f, x2, 484,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb505, 0x0, 1b, x2, 488,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666667, 0x2, 1b, x2, 492,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333334, 0x400, 1b, x2, 496,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x6, 0x200, 3f, x2, 500,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x4, 3f, x2, 504,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555556, 0x556, 1b, x2, 508,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x4, 0x0, 1b, x2, 512,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xfffe, 0x40, 3f, x2, 516,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x0, 0x4, 3f, x2, 520,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb503, 0x0, 3f, x2, 524,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666665, 0x200, 3f, x2, 528,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333332, 0x20, 3f, x2, 532,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x2, 1b, x2, 536,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555554, 0x10, 3f, x2, 540,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x2, 0x2, 1b, x2, 544,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xffff, 0x100, 3f, x2, 548,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb504, 0x200, 3f, x2, 552,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666666, 0x10, 3f, x2, 556,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333333, 0xa, 1b, x2, 560,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x5, 0x200, 3f, x2, 564,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x4, 1b, x2, 568,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555555, 0x4, 3f, x2, 572,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x3, 0x200, 3f, x2, 576,0) - -inst_665: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x10000, 0x4, 1b, x2, 580,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x1, 0x4, 3f, x2, 584,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb505, 0x2, 1b, x2, 588,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666667, 0xa, 1b, x2, 592,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333334, 0x8, 3f, x2, 596,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 600,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaab, 0x4, 3f, x2, 604,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x2, 608,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x4, 0x6, 1b, x2, 612,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xfffe, 0x2, 3f, x2, 616,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x0, 0x20, 3f, x2, 620,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb503, 0xa, 1b, x2, 624,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x2, 628,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x2, 632,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaa9, 0x20, 3f, x2, 636,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555554, 0xa, 1b, x2, 640,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x2, 0x0, 1b, x2, 644,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xffff, 0x2, 3f, x2, 648,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb504, 0x2, 3f, x2, 652,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 656,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333333, 0x2, 3f, x2, 660,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x5, 0x100, 3f, x2, 664,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaaa, 0x556, 1b, x2, 668,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555555, 0x400, 3f, x2, 672,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x3, 0x400, 1b, x2, 676,0) - -inst_690: -// rs1_val==3 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x10000, 0x2, 1b, x2, 680,0) - -inst_691: -// rs1_val==3 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x1, 0x400, 3f, x2, 684,0) - -inst_692: -// rs1_val==3 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb505, 0x10, 3f, x2, 688,0) - -inst_693: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666667, 0x0, 3f, x2, 692,0) - -inst_694: -// rs1_val==3 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 696,0) - -inst_695: -// rs1_val==3 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x6, 0x80, 3f, x2, 700,0) - -inst_696: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaab, 0x4, 1b, x2, 704,0) - -inst_697: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555556, 0x10, 3f, x2, 708,0) - -inst_698: -// rs1_val==3 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x4, 0x8, 1b, x2, 712,0) - -inst_699: -// rs1_val==3 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xfffe, 0xa, 1b, x2, 716,0) - -inst_700: -// rs1_val==3 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x0, 0x400, 1b, x2, 720,0) - -inst_701: -// rs1_val==3 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 724,0) - -inst_702: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666665, 0x4, 1b, x2, 728,0) - -inst_703: -// rs1_val==3 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333332, 0x556, 1b, x2, 732,0) - -inst_704: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaa9, 0x10, 3f, x2, 736,0) - -inst_705: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555554, 0x400, 3f, x2, 740,0) - -inst_706: -// rs1_val==3 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x2, 0x4, 3f, x2, 744,0) - -inst_707: -// rs1_val==3 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xffff, 0x200, 3f, x2, 748,0) - -inst_708: -// rs1_val==3 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb504, 0x40, 3f, x2, 752,0) - -inst_709: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x2, 756,0) - -inst_710: -// rs1_val==3 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333333, 0x80, 3f, x2, 760,0) - -inst_711: -// rs1_val==3 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 764,0) - -inst_712: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaaa, 0x6, 3f, x2, 768,0) - -inst_713: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555555, 0x8, 1b, x2, 772,0) - -inst_714: -// rs1_val==3 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x3, 0x4, 3f, x2, 776,0) - -inst_715: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x5, 0x8, 1b, x2, 780,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x6, 1b, x2, 784,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555555, 0x400, 3f, x2, 788,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x10000, 0x2, 1b, x2, 792,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x1, 0x556, 1b, x2, 796,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb505, 0x0, 1b, x2, 800,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666667, 0x100, 3f, x2, 804,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333334, 0x6, 3f, x2, 808,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x6, 0x2, 1b, x2, 812,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaab, 0x556, 1b, x2, 816,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555556, 0x80, 3f, x2, 820,0) - -inst_726: -// rs2_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0x9; op2val:0xfffbffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x9, 0xfffbffff, 0x40, 3f, x2, 824,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 207*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S deleted file mode 100644 index 58a333c0e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S +++ /dev/null @@ -1,3010 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bne instruction of the RISC-V E extension for the bne covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bne) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x14, rs2==x14, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x14; op2:x14; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x3, x14, x14, -0x2000001, -0x2000001, 0x8, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x10, rs2_val == 2147483647, -// opcode: bne, op1:x9; op2:x10; op1val:0x9; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x9, x10, 0x9, 0x7fffffff, 0x6, 1b, x2, 4,0) - -inst_2: -// rs1==x12, rs2==x4, rs2_val == -1073741825, rs1_val == -257, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x12; op2:x4; op1val:-0x101; op2val:-0x40000001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x3, x12, x4, -0x101, -0x40000001, 0x10, 3f, x2, 8,0) - -inst_3: -// rs1==x6, rs2==x5, rs2_val == -536870913, rs1_val == -2097153 -// opcode: bne, op1:x6; op2:x5; op1val:-0x200001; op2val:-0x20000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x6, x5, -0x200001, -0x20000001, 0x2, 1b, x2, 12,0) - -inst_4: -// rs1==x13, rs2==x0, rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x13; op2:x0; op1val:-0x1000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x13, x0, -0x1000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_5: -// rs1==x7, rs2==x8, rs2_val == -67108865, rs1_val == 64, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x7; op2:x8; op1val:0x40; op2val:-0x4000001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x3, x7, x8, 0x40, -0x4000001, 0x100, 3f, x2, 20,0) - -inst_6: -// rs1==x1, rs2==x13, rs2_val == -33554433, rs1_val == 4194304 -// opcode: bne, op1:x1; op2:x13; op1val:0x400000; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x1, x13, 0x400000, -0x2000001, 0x2, 1b, x2, 24,0) - -inst_7: -// rs1==x5, rs2==x11, rs2_val == -16777217, rs1_val == 2147483647 -// opcode: bne, op1:x5; op2:x11; op1val:0x7fffffff; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x13, x5, x11, 0x7fffffff, -0x1000001, 0x2, 3f, x2, 28,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_8: -// rs1==x0, rs2==x1, rs2_val == -8388609, rs1_val == 8192 -// opcode: bne, op1:x0; op2:x1; op1val:0x0; op2val:-0x800001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x13, x0, x1, 0x0, -0x800001, 0x4, 1b, x5, 0,0) - -inst_9: -// rs1==x4, rs2==x9, rs2_val == -4194305, rs1_val == -16385 -// opcode: bne, op1:x4; op2:x9; op1val:-0x4001; op2val:-0x400001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x13, x4, x9, -0x4001, -0x400001, 0x10, 3f, x5, 4,0) - -inst_10: -// rs1==x11, rs2==x15, rs2_val == -2097153, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -67108865 -// opcode: bne, op1:x11; op2:x15; op1val:-0x4000001; op2val:-0x200001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x13, x11, x15, -0x4000001, -0x200001, 0x80, 3f, x5, 8,0) - -inst_11: -// rs1==x15, rs2==x3, rs2_val == -1048577, -// opcode: bne, op1:x15; op2:x3; op1val:0x6; op2val:-0x100001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x13, x15, x3, 0x6, -0x100001, 0x6, 1b, x5, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == -524289, rs1_val == -4097 -// opcode: bne, op1:x10; op2:x7; op1val:-0x1001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x13, x10, x7, -0x1001, -0x80001, 0x556, 1b, x5, 16,0) - -inst_13: -// rs1==x3, rs2==x2, rs2_val == -262145, -// opcode: bne, op1:x3; op2:x2; op1val:0xb505; op2val:-0x40001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x13, x3, x2, 0xb505, -0x40001, 0x0, 3f, x5, 20,0) - -inst_14: -// rs1==x2, rs2==x12, rs2_val == -131073, -// opcode: bne, op1:x2; op2:x12; op1val:-0x6; op2val:-0x20001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x13, x2, x12, -0x6, -0x20001, 0x100, 3f, x5, 24,0) - -inst_15: -// rs1==x8, rs2==x6, rs2_val == -65537, -// opcode: bne, op1:x8; op2:x6; op1val:0x66666666; op2val:-0x10001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x13, x8, x6, 0x66666666, -0x10001, 0x200, 3f, x5, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs2_val == -32769, rs1_val == -2147483648 -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x8001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, -0x8001, 0x400, 3f, x1, 0,0) - -inst_17: -// rs2_val == -16385, rs1_val == 32 -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:-0x4001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, -0x4001, 0x6, 1b, x1, 4,0) - -inst_18: -// rs2_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x2001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x2001, 0x8, 3f, x1, 8,0) - -inst_19: -// rs2_val == -4097, rs1_val == 2048 -// opcode: bne, op1:x10; op2:x11; op1val:0x800; op2val:-0x1001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800, -0x1001, 0x2, 3f, x1, 12,0) - -inst_20: -// rs2_val == -2049, rs1_val == -65537 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x801; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10001, -0x801, 0x0, 3f, x1, 16,0) - -inst_21: -// rs2_val == -1025, rs1_val == -262145 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40001, -0x401, 0x0, 3f, x1, 20,0) - -inst_22: -// rs2_val == -513, rs1_val == -268435457 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10000001, -0x201, 0x2, 3f, x1, 24,0) - -inst_23: -// rs2_val == -257, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x101; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, -0x101, 0x8, 3f, x1, 28,0) - -inst_24: -// rs2_val == -129, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x81, 0x4, 1b, x1, 32,0) - -inst_25: -// rs2_val == -65, rs1_val == -2049 -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:-0x41; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, -0x41, 0x8, 3f, x1, 36,0) - -inst_26: -// rs2_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000000, -0x21, 0x20, 3f, x1, 40,0) - -inst_27: -// rs2_val == -17, rs1_val == -1073741825 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x11; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000001, -0x11, 0x400, 3f, x1, 44,0) - -inst_28: -// rs2_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x9; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x9, 0x100, 3f, x1, 48,0) - -inst_29: -// rs2_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1001, -0x5, 0x4, 1b, x1, 52,0) - -inst_30: -// rs2_val == -3, rs1_val == 134217728 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000000, -0x3, 0x556, 1b, x1, 56,0) - -inst_31: -// rs2_val == -2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x101; op2val:-0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x101, -0x2, 0x2, 3f, x1, 60,0) - -inst_32: -// rs1_val == -536870913, -// opcode: bne, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20000001, -0x1001, 0x80, 3f, x1, 64,0) - -inst_33: -// rs1_val == -134217729, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8000001, 0x2, 0x0, 1b, x1, 68,0) - -inst_34: -// rs1_val == -8388609, -// opcode: bne, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x11; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x800001, -0x11, 0x200, 3f, x1, 72,0) - -inst_35: -// rs1_val == -4194305, -// opcode: bne, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x20000001; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x400001, -0x20000001, 0x40, 3f, x1, 76,0) - -inst_36: -// rs1_val == -1048577, -// opcode: bne, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x800001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x100001, -0x800001, 0x2, 1b, x1, 80,0) - -inst_37: -// rs1_val == -524289, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80001, -0x200001, 0x10, 3f, x1, 84,0) - -inst_38: -// rs1_val == -131073, rs2_val == 1024 -// opcode: bne, op1:x10; op2:x11; op1val:-0x20001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20001, 0x400, 0x10, 3f, x1, 88,0) - -inst_39: -// rs1_val == -32769, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x80001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, -0x80001, 0x4, 3f, x1, 92,0) - -inst_40: -// rs1_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2001, -0x100001, 0x2, 1b, x1, 96,0) - -inst_41: -// rs1_val == -1025, rs2_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x401; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x401, -0x55555556, 0x556, 1b, x1, 100,0) - -inst_42: -// rs1_val == -513, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x6, 0x20, 3f, x1, 104,0) - -inst_43: -// rs1_val == -129, -// opcode: bne, op1:x10; op2:x11; op1val:-0x81; op2val:-0x400001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x81, -0x400001, 0x6, 1b, x1, 108,0) - -inst_44: -// rs1_val == -65, -// opcode: bne, op1:x10; op2:x11; op1val:-0x41; op2val:-0x1001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x41, -0x1001, 0x200, 3f, x1, 112,0) - -inst_45: -// rs1_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x21; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x21, -0x55555556, 0x80, 3f, x1, 116,0) - -inst_46: -// rs1_val == -17, -// opcode: bne, op1:x10; op2:x11; op1val:-0x11; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x11, -0x101, 0x6, 1b, x1, 120,0) - -inst_47: -// rs1_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:-0x40001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, -0x40001, 0x400, 1b, x1, 124,0) - -inst_48: -// rs1_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x5, 0x6, 0x80, 3f, x1, 128,0) - -inst_49: -// rs1_val == -3, rs2_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x3, 0x55555555, 0xa, 1b, x1, 132,0) - -inst_50: -// rs1_val == -2, rs2_val == 524288 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2, 0x80000, 0x4, 3f, x1, 136,0) - -inst_51: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x80000000, 0x2, 1b, x1, 140,0) - -inst_52: -// rs2_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:0x40000000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, 0x40000000, 0x80, 3f, x1, 144,0) - -inst_53: -// rs2_val == 536870912, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20000000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x20000000, 0x8, 1b, x1, 148,0) - -inst_54: -// rs2_val == 268435456, rs1_val == 16384 -// opcode: bne, op1:x10; op2:x11; op1val:0x4000; op2val:0x10000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000, 0x10000000, 0x2, 3f, x1, 152,0) - -inst_55: -// rs2_val == 134217728, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x8000000, 0x2, 3f, x1, 156,0) - -inst_56: -// rs2_val == 67108864, rs1_val == 8 -// opcode: bne, op1:x10; op2:x11; op1val:0x8; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8, 0x4000000, 0xa, 1b, x1, 160,0) - -inst_57: -// rs2_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2000000, 0xa, 1b, x1, 164,0) - -inst_58: -// rs2_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x1000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x4000001, 0x1000000, 0x2, 1b, x1, 168,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, 0x800000, 0x8, 3f, x1, 172,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bne, op1:x10; op2:x11; op1val:-0x6; op2val:0x400000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x6, 0x400000, 0x200, 3f, x1, 176,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x200000, 0x20, 3f, x1, 180,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x100000, 0x0, 3f, x1, 184,0) - -inst_63: -// rs2_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000, 0x40000, 0x80, 3f, x1, 188,0) - -inst_64: -// rs2_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x20000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x20000, 0xa, 1b, x1, 192,0) - -inst_65: -// rs2_val == 65536, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x10000, 0xa, 1b, x1, 196,0) - -inst_66: -// rs2_val == 32768, -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, 0x8000, 0x0, 1b, x1, 200,0) - -inst_67: -// rs2_val == 16384, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x4000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, 0x4000, 0xa, 1b, x1, 204,0) - -inst_68: -// rs2_val == 8192, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x2000, 0xa, 1b, x1, 208,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32768 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000, 0x1000, 0x6, 3f, x1, 212,0) - -inst_70: -// rs2_val == 2048, -// opcode: bne, op1:x10; op2:x11; op1val:0x40; op2val:0x800; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40, 0x800, 0x6, 3f, x1, 216,0) - -inst_71: -// rs2_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x200, 0x400, 1b, x1, 220,0) - -inst_72: -// rs2_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x100; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x100, 0x200, 3f, x1, 224,0) - -inst_73: -// rs2_val == 128, rs1_val == 65536 -// opcode: bne, op1:x10; op2:x11; op1val:0x10000; op2val:0x80; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000, 0x80, 0x80, 3f, x1, 228,0) - -inst_74: -// rs2_val == 64, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x40, 0x8, 3f, x1, 232,0) - -inst_75: -// rs2_val == 32, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x20; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x20, 0x40, 3f, x1, 236,0) - -inst_76: -// rs2_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x10; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x10, 0x400, 3f, x1, 240,0) - -inst_77: -// rs2_val == 8, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x8, 0x80, 3f, x1, 244,0) - -inst_78: -// rs2_val == 4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, 0x4, 0x2, 1b, x1, 248,0) - -inst_79: -// rs2_val == 1, rs1_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x1, 0x4, 3f, x1, 252,0) - -inst_80: -// rs1_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000000, 0x33333333, 0x2, 1b, x1, 256,0) - -inst_81: -// rs1_val == 536870912, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000000, -0x9, 0x4, 3f, x1, 260,0) - -inst_82: -// rs1_val == 268435456, -// opcode: bne, op1:x10; op2:x11; op1val:0x10000000; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000000, 0x4, 0x10, 3f, x1, 264,0) - -inst_83: -// rs1_val == 67108864, -// opcode: bne, op1:x10; op2:x11; op1val:0x4000000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000000, 0x800000, 0x200, 3f, x1, 268,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000000; op2val:0x3fffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000000, 0x3fffffff, 0x2, 3f, x1, 272,0) - -inst_85: -// rs1_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000000; op2val:-0x100001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000000, -0x100001, 0x0, 3f, x1, 276,0) - -inst_86: -// rs1_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x800000; op2val:0x1000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800000, 0x1000, 0x8, 1b, x1, 280,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:0x200000; op2val:-0x401; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200000, -0x401, 0x200, 3f, x1, 284,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:0x100000; op2val:-0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100000, -0x1, 0x8, 3f, x1, 288,0) - -inst_89: -// rs1_val == 524288, -// opcode: bne, op1:x10; op2:x11; op1val:0x80000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80000, 0x0, 0x2, 3f, x1, 292,0) - -inst_90: -// rs1_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000, 0x33333333, 0x0, 3f, x1, 296,0) - -inst_91: -// rs1_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000; op2val:-0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000, -0x5, 0x100, 3f, x1, 300,0) - -inst_92: -// rs1_val == 4096, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000; op2val:0x100000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000, 0x100000, 0x20, 3f, x1, 304,0) - -inst_93: -// rs1_val == 1024, -// opcode: bne, op1:x10; op2:x11; op1val:0x400; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x400, 0x80, 0x400, 1b, x1, 308,0) - -inst_94: -// rs1_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x200; op2val:-0x9; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200, -0x9, 0x0, 3f, x1, 312,0) - -inst_95: -// rs1_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:0x100; op2val:0x8000; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100, 0x8000, 0x556, 1b, x1, 316,0) - -inst_96: -// rs1_val == 128, -// opcode: bne, op1:x10; op2:x11; op1val:0x80; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80, 0x55555556, 0x20, 3f, x1, 320,0) - -inst_97: -// rs1_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:0x10; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10, -0x81, 0x4, 1b, x1, 324,0) - -inst_98: -// rs1_val == 4, rs1_val==4 and rs2_val==0 -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x0, 0x556, 1b, x1, 328,0) - -inst_99: -// rs1_val == 1, -// opcode: bne, op1:x10; op2:x11; op1val:0x1; op2val:-0x4000001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1, -0x4000001, 0x200, 3f, x1, 332,0) - -inst_100: -// rs1_val==46341 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb505, 0xa, 1b, x1, 336,0) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb503, 0x4, 1b, x1, 340,0) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666667, 0x200, 3f, x1, 344,0) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x1, 348,0) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x6, 0x4, 3f, x1, 352,0) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555555, 0x2, 3f, x1, 356,0) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555556, 0x2, 3f, x1, 360,0) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x4, 0x6, 3f, x1, 364,0) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb503, 0x556, 1b, x1, 368,0) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x0, 0x6, 1b, x1, 372,0) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666665, 0x6, 3f, x1, 376,0) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333332, 0x400, 3f, x1, 380,0) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x1, 384,0) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x2, 0x20, 3f, x1, 388,0) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb504, 0x200, 3f, x1, 392,0) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb504, 0x6, 3f, x1, 396,0) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666666, 0x100, 3f, x1, 400,0) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333333, 0x10, 3f, x1, 404,0) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x5, 0x2, 3f, x1, 408,0) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555556, 0x6, 1b, x1, 412,0) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 416,0) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x3, 0x6, 3f, x1, 420,0) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb505, 0x4, 1b, x1, 424,0) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb503, 0x400, 3f, x1, 428,0) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666667, 0x100, 3f, x1, 432,0) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333334, 0x4, 3f, x1, 436,0) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x6, 0x10, 3f, x1, 440,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555555, 0x556, 1b, x1, 444,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x1, 448,0) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x4, 0x400, 3f, x1, 452,0) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb503, 0x400, 3f, x1, 456,0) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x0, 0x4, 3f, x1, 460,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666665, 0x6, 1b, x1, 464,0) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333332, 0x6, 1b, x1, 468,0) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555554, 0x2, 1b, x1, 472,0) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x2, 0x400, 3f, x1, 476,0) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb504, 0x2, 1b, x1, 480,0) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb504, 0x400, 3f, x1, 484,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666666, 0x4, 1b, x1, 488,0) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333333, 0x2, 3f, x1, 492,0) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x5, 0x400, 3f, x1, 496,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555556, 0x6, 1b, x1, 500,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555555, 0x20, 3f, x1, 504,0) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x3, 0x556, 1b, x1, 508,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x1, 512,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb503, 0x6, 1b, x1, 516,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666667, 0x2, 1b, x1, 520,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x1, 524,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x6, 0x0, 3f, x1, 528,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555555, 0x10, 3f, x1, 532,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555556, 0x8, 1b, x1, 536,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x4, 0x4, 3f, x1, 540,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb503, 0x4, 1b, x1, 544,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x0, 0x556, 1b, x1, 548,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666665, 0x2, 3f, x1, 552,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333332, 0x20, 3f, x1, 556,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x1, 560,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x2, 0x6, 3f, x1, 564,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb504, 0x20, 3f, x1, 568,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb504, 0x2, 1b, x1, 572,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x1, 576,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x1, 580,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x5, 0x400, 3f, x1, 584,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555556, 0x40, 3f, x1, 588,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555555, 0x4, 3f, x1, 592,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x3, 0x2, 1b, x1, 596,0) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb505, 0x556, 1b, x1, 600,0) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb503, 0x100, 3f, x1, 604,0) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666667, 0x200, 3f, x1, 608,0) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333334, 0x100, 3f, x1, 612,0) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x6, 0x0, 1b, x1, 616,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555555, 0x2, 3f, x1, 620,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x1, 624,0) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x4, 0x556, 1b, x1, 628,0) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb503, 0x40, 3f, x1, 632,0) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x0, 0x2, 1b, x1, 636,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666665, 0x40, 3f, x1, 640,0) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x1, 644,0) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555554, 0x6, 1b, x1, 648,0) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x2, 0x556, 1b, x1, 652,0) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb504, 0x2, 1b, x1, 656,0) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb504, 0x8, 3f, x1, 660,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666666, 0x0, 3f, x1, 664,0) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333333, 0x4, 3f, x1, 668,0) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x5, 0x6, 3f, x1, 672,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555556, 0x200, 3f, x1, 676,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555555, 0x0, 3f, x1, 680,0) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x3, 0x80, 3f, x1, 684,0) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 688,0) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb503, 0x20, 3f, x1, 692,0) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666667, 0x2, 3f, x1, 696,0) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333334, 0x40, 3f, x1, 700,0) - -inst_192: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x6, 0x4, 1b, x1, 704,0) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555555, 0x0, 1b, x1, 708,0) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555556, 0x2, 1b, x1, 712,0) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x4, 0x20, 3f, x1, 716,0) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb503, 0x400, 1b, x1, 720,0) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x0, 0xa, 1b, x1, 724,0) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666665, 0x4, 1b, x1, 728,0) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333332, 0x0, 3f, x1, 732,0) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555554, 0x4, 1b, x1, 736,0) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x2, 0x80, 3f, x1, 740,0) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb504, 0x4, 1b, x1, 744,0) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb504, 0x6, 3f, x1, 748,0) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666666, 0x2, 1b, x1, 752,0) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333333, 0x8, 3f, x1, 756,0) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 760,0) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555556, 0x80, 3f, x1, 764,0) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555555, 0x556, 1b, x1, 768,0) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x3, 0x6, 3f, x1, 772,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x1, 776,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb503, 0x40, 3f, x1, 780,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666667, 0xa, 1b, x1, 784,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333334, 0x400, 1b, x1, 788,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 792,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555555, 0x556, 1b, x1, 796,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555556, 0x0, 3f, x1, 800,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x4, 0x40, 3f, x1, 804,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb503, 0x556, 1b, x1, 808,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x0, 0x4, 3f, x1, 812,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666665, 0x80, 3f, x1, 816,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333332, 0x80, 3f, x1, 820,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555554, 0x0, 3f, x1, 824,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x2, 0x10, 3f, x1, 828,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb504, 0x8, 3f, x1, 832,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb504, 0x2, 3f, x1, 836,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666666, 0x20, 3f, x1, 840,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333333, 0x6, 1b, x1, 844,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x5, 0x2, 3f, x1, 848,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555556, 0x8, 3f, x1, 852,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555555, 0x10, 3f, x1, 856,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x3, 0x20, 3f, x1, 860,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x1, 864,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb503, 0x2, 1b, x1, 868,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x1, 872,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333334, 0x556, 1b, x1, 876,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x6, 0x556, 1b, x1, 880,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555555, 0xa, 1b, x1, 884,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555556, 0x6, 3f, x1, 888,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x4, 0x40, 3f, x1, 892,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb503, 0x4, 1b, x1, 896,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x0, 0x400, 1b, x1, 900,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x1, 904,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333332, 0x8, 3f, x1, 908,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555554, 0x0, 1b, x1, 912,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x2, 0x8, 1b, x1, 916,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x1, 920,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb504, 0x10, 3f, x1, 924,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666666, 0x8, 1b, x1, 928,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333333, 0x20, 3f, x1, 932,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x5, 0x4, 3f, x1, 936,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555556, 0x400, 3f, x1, 940,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x1, 944,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 948,0) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb505, 0x2, 1b, x1, 952,0) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb503, 0x0, 3f, x1, 956,0) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666667, 0x2, 1b, x1, 960,0) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333334, 0x0, 3f, x1, 964,0) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x6, 0x4, 3f, x1, 968,0) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555555, 0x0, 1b, x1, 972,0) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555556, 0x0, 3f, x1, 976,0) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x4, 0x0, 3f, x1, 980,0) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb503, 0x8, 3f, x1, 984,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666665, 0x8, 1b, x1, 988,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333332, 0x40, 3f, x1, 992,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555554, 0x556, 1b, x1, 996,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x2, 0x8, 1b, x1, 1000,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb504, 0x20, 3f, x1, 1004,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb504, 0x80, 3f, x1, 1008,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666666, 0x556, 1b, x1, 1012,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1016,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x5, 0x100, 3f, x1, 1020,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555556, 0x20, 3f, x1, 1024,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555555, 0x8, 3f, x1, 1028,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x3, 0x10, 3f, x1, 1032,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb505, 0x80, 3f, x1, 1036,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb503, 0x8, 1b, x1, 1040,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666667, 0x400, 3f, x1, 1044,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333334, 0x2, 3f, x1, 1048,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x6, 0x10, 3f, x1, 1052,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1056,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555556, 0x80, 3f, x1, 1060,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x4, 0x400, 3f, x1, 1064,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb503, 0xa, 1b, x1, 1068,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x0, 0x40, 3f, x1, 1072,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666665, 0x6, 3f, x1, 1076,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333332, 0x0, 3f, x1, 1080,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555554, 0x556, 1b, x1, 1084,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x2, 0x10, 3f, x1, 1088,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb504, 0x20, 3f, x1, 1092,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb504, 0x2, 1b, x1, 1096,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666666, 0x556, 1b, x1, 1100,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333333, 0x4, 1b, x1, 1104,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x5, 0x20, 3f, x1, 1108,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555556, 0x8, 3f, x1, 1112,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555555, 0x2, 3f, x1, 1116,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x3, 0x0, 3f, x1, 1120,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb505, 0x0, 3f, x1, 1124,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb503, 0x80, 3f, x1, 1128,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666667, 0x10, 3f, x1, 1132,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333334, 0x80, 3f, x1, 1136,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x6, 0x4, 1b, x1, 1140,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555555, 0x0, 1b, x1, 1144,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555556, 0x0, 3f, x1, 1148,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x4, 0x556, 1b, x1, 1152,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb503, 0x400, 3f, x1, 1156,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x0, 0x8, 3f, x1, 1160,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666665, 0x2, 1b, x1, 1164,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333332, 0x400, 3f, x1, 1168,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555554, 0x4, 3f, x1, 1172,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x2, 0x8, 3f, x1, 1176,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb504, 0x2, 1b, x1, 1180,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb504, 0x400, 1b, x1, 1184,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666666, 0x8, 1b, x1, 1188,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333333, 0x200, 3f, x1, 1192,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x5, 0x2, 3f, x1, 1196,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1200,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555555, 0x0, 1b, x1, 1204,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x3, 0xa, 1b, x1, 1208,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb505, 0x2, 3f, x1, 1212,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb503, 0x20, 3f, x1, 1216,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666667, 0x400, 3f, x1, 1220,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x1, 1224,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x6, 0x4, 3f, x1, 1228,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555555, 0x0, 3f, x1, 1232,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555556, 0x6, 3f, x1, 1236,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x4, 0x8, 3f, x1, 1240,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x1, 1244,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x0, 0x0, 3f, x1, 1248,0) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x0, 0x0, 3f, x1, 1252,0) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666665, 0x400, 3f, x1, 1256,0) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x1, 1260,0) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555554, 0xa, 1b, x1, 1264,0) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x2, 0x2, 3f, x1, 1268,0) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x1, 1272,0) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb504, 0x0, 1b, x1, 1276,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x1, 1280,0) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x1, 1284,0) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x5, 0x2, 1b, x1, 1288,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1292,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555555, 0x4, 1b, x1, 1296,0) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x3, 0x4, 1b, x1, 1300,0) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb505, 0x20, 3f, x1, 1304,0) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb503, 0x400, 3f, x1, 1308,0) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666667, 0x6, 1b, x1, 1312,0) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333334, 0x100, 3f, x1, 1316,0) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x6, 0x80, 3f, x1, 1320,0) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555555, 0x8, 3f, x1, 1324,0) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555556, 0x10, 3f, x1, 1328,0) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x4, 0x400, 1b, x1, 1332,0) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb503, 0x8, 3f, x1, 1336,0) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x0, 0x8, 1b, x1, 1340,0) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666665, 0x2, 1b, x1, 1344,0) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333332, 0x6, 3f, x1, 1348,0) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555554, 0x100, 3f, x1, 1352,0) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x2, 0x6, 3f, x1, 1356,0) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb504, 0x2, 1b, x1, 1360,0) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb504, 0x6, 1b, x1, 1364,0) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666666, 0x556, 1b, x1, 1368,0) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333333, 0x4, 1b, x1, 1372,0) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x5, 0x20, 3f, x1, 1376,0) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1380,0) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555555, 0x4, 3f, x1, 1384,0) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x3, 0x8, 1b, x1, 1388,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb505, 0x80, 3f, x1, 1392,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb503, 0x0, 3f, x1, 1396,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666667, 0x40, 3f, x1, 1400,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333334, 0x4, 1b, x1, 1404,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x6, 0x80, 3f, x1, 1408,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555555, 0x20, 3f, x1, 1412,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555556, 0x400, 1b, x1, 1416,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x4, 0x6, 1b, x1, 1420,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb503, 0x400, 3f, x1, 1424,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x0, 0x4, 3f, x1, 1428,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666665, 0x2, 1b, x1, 1432,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333332, 0x2, 1b, x1, 1436,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555554, 0x200, 3f, x1, 1440,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x2, 0x400, 3f, x1, 1444,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb504, 0x556, 1b, x1, 1448,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb504, 0x0, 1b, x1, 1452,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666666, 0x6, 1b, x1, 1456,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333333, 0x2, 3f, x1, 1460,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x5, 0x4, 1b, x1, 1464,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555556, 0x6, 1b, x1, 1468,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555555, 0x4, 3f, x1, 1472,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x3, 0x40, 3f, x1, 1476,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb505, 0x6, 1b, x1, 1480,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb503, 0x10, 3f, x1, 1484,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666667, 0x8, 3f, x1, 1488,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x1, 1492,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x6, 0x556, 1b, x1, 1496,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555555, 0xa, 1b, x1, 1500,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x1, 1504,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x4, 0x0, 1b, x1, 1508,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb503, 0x10, 3f, x1, 1512,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1516,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666665, 0x20, 3f, x1, 1520,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x1, 1524,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555554, 0x400, 3f, x1, 1528,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x2, 0x4, 3f, x1, 1532,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x1, 1536,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb504, 0x6, 3f, x1, 1540,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x1, 1544,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x1, 1548,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x5, 0x200, 3f, x1, 1552,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555556, 0x100, 3f, x1, 1556,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555555, 0xa, 1b, x1, 1560,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x3, 0x8, 1b, x1, 1564,0) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb505, 0x200, 3f, x1, 1568,0) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb503, 0x4, 1b, x1, 1572,0) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666667, 0x40, 3f, x1, 1576,0) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333334, 0x2, 3f, x1, 1580,0) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x6, 0x0, 3f, x1, 1584,0) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555555, 0x4, 1b, x1, 1588,0) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555556, 0x8, 3f, x1, 1592,0) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x4, 0x4, 1b, x1, 1596,0) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb503, 0x0, 1b, x1, 1600,0) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x0, 0x200, 3f, x1, 1604,0) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666665, 0x6, 3f, x1, 1608,0) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333332, 0x4, 3f, x1, 1612,0) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555554, 0x4, 1b, x1, 1616,0) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x2, 0x100, 3f, x1, 1620,0) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb504, 0x400, 1b, x1, 1624,0) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb504, 0x10, 3f, x1, 1628,0) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666666, 0x6, 3f, x1, 1632,0) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333333, 0x6, 3f, x1, 1636,0) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x5, 0x4, 1b, x1, 1640,0) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555556, 0x8, 1b, x1, 1644,0) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555555, 0x2, 1b, x1, 1648,0) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x3, 0x400, 3f, x1, 1652,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666665, 0x200, 3f, x1, 1656,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333332, 0x6, 3f, x1, 1660,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x1, 1664,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x2, 0x6, 1b, x1, 1668,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb504, 0x8, 1b, x1, 1672,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb504, 0xa, 1b, x1, 1676,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666666, 0x100, 3f, x1, 1680,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333333, 0x2, 1b, x1, 1684,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x5, 0x20, 3f, x1, 1688,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555556, 0xa, 1b, x1, 1692,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555555, 0xa, 1b, x1, 1696,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x3, 0x200, 3f, x1, 1700,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb505, 0xa, 1b, x1, 1704,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb503, 0x400, 3f, x1, 1708,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x1, 1712,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333334, 0x100, 3f, x1, 1716,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x6, 0x0, 1b, x1, 1720,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555555, 0x40, 3f, x1, 1724,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x1, 1728,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x4, 0x2, 3f, x1, 1732,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb503, 0x20, 3f, x1, 1736,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x0, 0x4, 1b, x1, 1740,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666665, 0x20, 3f, x1, 1744,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333332, 0x20, 3f, x1, 1748,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x1, 1752,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x2, 0x400, 1b, x1, 1756,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x1, 1760,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb504, 0x6, 1b, x1, 1764,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x1, 1768,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333333, 0x400, 3f, x1, 1772,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x5, 0x200, 3f, x1, 1776,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555556, 0x0, 3f, x1, 1780,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555555, 0x4, 3f, x1, 1784,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x3, 0x400, 1b, x1, 1788,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb505, 0x8, 1b, x1, 1792,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb503, 0x8, 3f, x1, 1796,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666667, 0x400, 1b, x1, 1800,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1804,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x6, 0x10, 3f, x1, 1808,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555555, 0x0, 3f, x1, 1812,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1816,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1820,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb503, 0x4, 3f, x1, 1824,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x0, 0x200, 3f, x1, 1828,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666665, 0x4, 1b, x1, 1832,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333332, 0x6, 1b, x1, 1836,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555554, 0x4, 3f, x1, 1840,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x2, 0x0, 1b, x1, 1844,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb504, 0x80, 3f, x1, 1848,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb504, 0x10, 3f, x1, 1852,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666666, 0x80, 3f, x1, 1856,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333333, 0x10, 3f, x1, 1860,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x5, 0xa, 1b, x1, 1864,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555556, 0x2, 1b, x1, 1868,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x1, 1872,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x3, 0x10, 3f, x1, 1876,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb505, 0x100, 3f, x1, 1880,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb503, 0x400, 1b, x1, 1884,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666667, 0x4, 1b, x1, 1888,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333334, 0x6, 1b, x1, 1892,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x6, 0x2, 3f, x1, 1896,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555555, 0x400, 1b, x1, 1900,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555556, 0x10, 3f, x1, 1904,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x4, 0x4, 3f, x1, 1908,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb503, 0x10, 3f, x1, 1912,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x0, 0x40, 3f, x1, 1916,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666665, 0x2, 1b, x1, 1920,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333332, 0x40, 3f, x1, 1924,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555554, 0x0, 3f, x1, 1928,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x2, 0x80, 3f, x1, 1932,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb504, 0x4, 1b, x1, 1936,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb504, 0x2, 1b, x1, 1940,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666666, 0x0, 1b, x1, 1944,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333333, 0x200, 3f, x1, 1948,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x5, 0x556, 1b, x1, 1952,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555556, 0x200, 3f, x1, 1956,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555555, 0xa, 1b, x1, 1960,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x3, 0x6, 1b, x1, 1964,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb505, 0x10, 3f, x1, 1968,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb503, 0x10, 3f, x1, 1972,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666667, 0x4, 3f, x1, 1976,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333334, 0x556, 1b, x1, 1980,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x6, 0x80, 3f, x1, 1984,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555555, 0x400, 3f, x1, 1988,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555556, 0x4, 1b, x1, 1992,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x4, 0x40, 3f, x1, 1996,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb503, 0x20, 3f, x1, 2000,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2004,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666665, 0x4, 1b, x1, 2008,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333332, 0x80, 3f, x1, 2012,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555554, 0x400, 1b, x1, 2016,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x2, 0xa, 1b, x1, 2020,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb504, 0x200, 3f, x1, 2024,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb504, 0x80, 3f, x1, 2028,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x1, 2032,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333333, 0x0, 1b, x1, 2036,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x5, 0x20, 3f, x1, 2040,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555556, 0x10, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555555, 0x20, 3f, x1, 0,0) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x3, 0x4, 3f, x1, 4,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb505, 0x6, 3f, x1, 8,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb503, 0x6, 1b, x1, 12,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666667, 0x400, 1b, x1, 16,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333334, 0x400, 3f, x1, 20,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x6, 0x2, 1b, x1, 24,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555555, 0x20, 3f, x1, 28,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555556, 0x400, 1b, x1, 32,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x4, 0x6, 1b, x1, 36,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 40,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x0, 0x100, 3f, x1, 44,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666665, 0x8, 1b, x1, 48,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333332, 0x6, 3f, x1, 52,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555554, 0x200, 3f, x1, 56,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x2, 0x20, 3f, x1, 60,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb504, 0x400, 1b, x1, 64,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb504, 0x80, 3f, x1, 68,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666666, 0x8, 1b, x1, 72,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333333, 0x8, 3f, x1, 76,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x5, 0x100, 3f, x1, 80,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555556, 0x100, 3f, x1, 84,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555555, 0xa, 1b, x1, 88,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 92,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb505, 0x100, 3f, x1, 96,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb503, 0x400, 3f, x1, 100,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666667, 0x200, 3f, x1, 104,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 108,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x6, 0x0, 3f, x1, 112,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555555, 0x80, 3f, x1, 116,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555556, 0x6, 3f, x1, 120,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x4, 0x400, 1b, x1, 124,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb503, 0x8, 3f, x1, 128,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x0, 0x6, 1b, x1, 132,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x1, 136,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333332, 0x8, 3f, x1, 140,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555554, 0x400, 3f, x1, 144,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2, 0x0, 1b, x1, 148,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb504, 0x6, 1b, x1, 152,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb504, 0x556, 1b, x1, 156,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666666, 0x6, 1b, x1, 160,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x1, 164,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x5, 0xa, 1b, x1, 168,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 172,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555555, 0x40, 3f, x1, 176,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x3, 0x8, 1b, x1, 180,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb505, 0x2, 1b, x1, 184,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb503, 0x0, 1b, x1, 188,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666667, 0x0, 1b, x1, 192,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333334, 0x4, 1b, x1, 196,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 200,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555555, 0x40, 3f, x1, 204,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x1, 208,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x4, 0x100, 3f, x1, 212,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb503, 0x4, 3f, x1, 216,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, -0x10000001, 0x8, 1b, x1, 220,0) - -inst_584: -// rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x8000001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1000001, -0x8000001, 0x6, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S deleted file mode 100644 index b3870318b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S +++ /dev/null @@ -1,155 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jal instruction of the RISC-V E extension for the jal covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jal) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rd==x8, imm_val < 0, -// opcode: jal; dest:x8; immval:0x4; align:0 -TEST_JAL_OP(x2, x8, 0x4, 1b, x7, 0,0) - -inst_1: -// rd==x14, imm_val == ((2**(18))), imm_val > 0 -// opcode: jal; dest:x14; immval:0x40000; align:0 -TEST_JAL_OP(x2, x14, 0x40000, 3f, x7, 4,0) - -inst_2: -// rd==x9, imm_val == (-(2**(18))), -// opcode: jal; dest:x9; immval:0x40000; align:0 -TEST_JAL_OP(x2, x9, 0x40000, 1b, x7, 8,0) - -inst_3: -// rd==x12, -// opcode: jal; dest:x12; immval:0x80000; align:0 -TEST_JAL_OP(x2, x12, 0x80000, 1b, x7, 12,0) - -inst_4: -// rd==x0, -// opcode: jal; dest:x0; immval:0x80000; align:0 -TEST_JAL_OP(x2, x0, 0x80000, 1b, x7, 16,0) - -inst_5: -// rd==x3, -// opcode: jal; dest:x3; immval:0x80000; align:0 -TEST_JAL_OP(x2, x3, 0x80000, 1b, x7, 20,0) - -inst_6: -// rd==x4, -// opcode: jal; dest:x4; immval:0x80000; align:0 -TEST_JAL_OP(x2, x4, 0x80000, 1b, x7, 24,0) - -inst_7: -// rd==x5, -// opcode: jal; dest:x5; immval:0x80000; align:0 -TEST_JAL_OP(x2, x5, 0x80000, 1b, x7, 28,0) - -inst_8: -// rd==x13, -// opcode: jal; dest:x13; immval:0x80000; align:0 -TEST_JAL_OP(x2, x13, 0x80000, 1b, x7, 32,0) - -inst_9: -// rd==x6, -// opcode: jal; dest:x6; immval:0x80000; align:0 -TEST_JAL_OP(x2, x6, 0x80000, 1b, x7, 36,0) - -inst_10: -// rd==x15, -// opcode: jal; dest:x15; immval:0x80000; align:0 -TEST_JAL_OP(x2, x15, 0x80000, 1b, x7, 40,0) - -inst_11: -// rd==x1, -// opcode: jal; dest:x1; immval:0x80000; align:0 -TEST_JAL_OP(x2, x1, 0x80000, 1b, x7, 44,0) - -inst_12: -// rd==x2, -// opcode: jal; dest:x2; immval:0x80000; align:0 -TEST_JAL_OP(x3, x2, 0x80000, 1b, x7, 48,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x7, -// opcode: jal; dest:x7; immval:0x80000; align:0 -TEST_JAL_OP(x3, x7, 0x80000, 1b, x1, 0,0) - -inst_14: -// rd==x10, -// opcode: jal; dest:x10; immval:0x80000; align:0 -TEST_JAL_OP(x3, x10, 0x80000, 1b, x1, 4,0) - -inst_15: -// rd==x11, -// opcode: jal; dest:x11; immval:0x80000; align:0 -TEST_JAL_OP(x3, x11, 0x80000, 1b, x1, 8,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 3*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S deleted file mode 100644 index 2b58baf13..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S +++ /dev/null @@ -1,215 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jalr instruction of the RISC-V E extension for the jalr covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jalr) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x12, imm_val < 0, imm_val == -129 -// opcode: jalr; op1:x8; dest:x12; immval:-0x81; align:0 -TEST_JALR_OP(x6, x12, x8, -0x81, x1, 0,0) - -inst_1: -// rs1 == rd, rs1==x5, rd==x5, imm_val == 2047, imm_val > 0 -// opcode: jalr; op1:x5; dest:x5; immval:0x7ff; align:0 -TEST_JALR_OP(x6, x5, x5, 0x7ff, x1, 4,0) - -inst_2: -// rs1==x3, rd==x15, imm_val == -1025, -// opcode: jalr; op1:x3; dest:x15; immval:-0x401; align:0 -TEST_JALR_OP(x6, x15, x3, -0x401, x1, 8,0) - -inst_3: -// rs1==x2, rd==x3, imm_val == -513, -// opcode: jalr; op1:x2; dest:x3; immval:-0x201; align:0 -TEST_JALR_OP(x6, x3, x2, -0x201, x1, 12,0) - -inst_4: -// rs1==x4, rd==x9, imm_val == -257, -// opcode: jalr; op1:x4; dest:x9; immval:-0x101; align:0 -TEST_JALR_OP(x6, x9, x4, -0x101, x1, 16,0) - -inst_5: -// rs1==x9, rd==x7, imm_val == -65, -// opcode: jalr; op1:x9; dest:x7; immval:-0x41; align:0 -TEST_JALR_OP(x6, x7, x9, -0x41, x1, 20,0) - -inst_6: -// rs1==x10, rd==x13, imm_val == -33, -// opcode: jalr; op1:x10; dest:x13; immval:-0x21; align:0 -TEST_JALR_OP(x6, x13, x10, -0x21, x1, 24,0) - -inst_7: -// rs1==x14, rd==x0, imm_val == -17, -// opcode: jalr; op1:x14; dest:x0; immval:-0x11; align:0 -TEST_JALR_OP(x5, x0, x14, -0x11, x1, 28,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rd==x4, imm_val == -9, -// opcode: jalr; op1:x15; dest:x4; immval:-0x9; align:0 -TEST_JALR_OP(x5, x4, x15, -0x9, x3, 0,0) - -inst_9: -// rs1==x7, rd==x14, imm_val == -5, -// opcode: jalr; op1:x7; dest:x14; immval:-0x5; align:0 -TEST_JALR_OP(x5, x14, x7, -0x5, x3, 4,0) - -inst_10: -// rs1==x11, rd==x2, imm_val == -3, -// opcode: jalr; op1:x11; dest:x2; immval:-0x3; align:0 -TEST_JALR_OP(x5, x2, x11, -0x3, x3, 8,0) - -inst_11: -// rs1==x6, rd==x11, imm_val == -2, -// opcode: jalr; op1:x6; dest:x11; immval:-0x2; align:0 -TEST_JALR_OP(x5, x11, x6, -0x2, x3, 12,0) - -inst_12: -// rs1==x12, rd==x8, imm_val == -2048, -// opcode: jalr; op1:x12; dest:x8; immval:-0x800; align:0 -TEST_JALR_OP(x5, x8, x12, -0x800, x3, 16,0) - -inst_13: -// rs1==x13, rd==x1, imm_val == 1024, -// opcode: jalr; op1:x13; dest:x1; immval:0x400; align:0 -TEST_JALR_OP(x5, x1, x13, 0x400, x3, 20,0) - -inst_14: -// rs1==x1, rd==x10, imm_val == 512, -// opcode: jalr; op1:x1; dest:x10; immval:0x200; align:0 -TEST_JALR_OP(x2, x10, x1, 0x200, x3, 24,0) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x6, imm_val == 256, -// opcode: jalr; op1:x13; dest:x6; immval:0x100; align:0 -TEST_JALR_OP(x2, x6, x13, 0x100, x1, 0,0) - -inst_16: -// imm_val == 128, -// opcode: jalr; op1:x10; dest:x11; immval:0x80; align:0 -TEST_JALR_OP(x2, x11, x10, 0x80, x1, 4,0) - -inst_17: -// imm_val == 64, -// opcode: jalr; op1:x10; dest:x11; immval:0x40; align:0 -TEST_JALR_OP(x2, x11, x10, 0x40, x1, 8,0) - -inst_18: -// imm_val == 32, -// opcode: jalr; op1:x10; dest:x11; immval:0x20; align:0 -TEST_JALR_OP(x2, x11, x10, 0x20, x1, 12,0) - -inst_19: -// imm_val == 16, -// opcode: jalr; op1:x10; dest:x11; immval:0x10; align:0 -TEST_JALR_OP(x2, x11, x10, 0x10, x1, 16,0) - -inst_20: -// imm_val == 8, -// opcode: jalr; op1:x10; dest:x11; immval:0x8; align:0 -TEST_JALR_OP(x2, x11, x10, 0x8, x1, 20,0) - -inst_21: -// imm_val == 4, -// opcode: jalr; op1:x10; dest:x11; immval:0x4; align:0 -TEST_JALR_OP(x2, x11, x10, 0x4, x1, 24,0) - -inst_22: -// imm_val == 1, -// opcode: jalr; op1:x10; dest:x11; immval:0x1; align:0 -TEST_JALR_OP(x2, x11, x10, 0x1, x1, 28,0) - -inst_23: -// imm_val == -1366, -// opcode: jalr; op1:x10; dest:x11; immval:-0x556; align:0 -TEST_JALR_OP(x2, x11, x10, -0x556, x1, 32,0) - -inst_24: -// imm_val == 1365, -// opcode: jalr; op1:x10; dest:x11; immval:0x555; align:0 -TEST_JALR_OP(x2, x11, x10, 0x555, x1, 36,0) - -inst_25: -// imm_val == 2, -// opcode: jalr; op1:x10; dest:x11; immval:0x2; align:0 -TEST_JALR_OP(x2, x11, x10, 0x2, x1, 40,0) - -inst_26: -// imm_val == -17, -// opcode: jalr; op1:x10; dest:x11; immval:-0x11; align:0 -TEST_JALR_OP(x2, x11, x10, -0x11, x1, 44,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 12*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S deleted file mode 100644 index 2b50abf21..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S +++ /dev/null @@ -1,165 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lb instruction of the RISC-V E extension for the lb-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lb-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lb op1:x8; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x1,x4,0,x8,x9,-0x4,0,lb,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lb op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x1,x4,0,x15,x15,0x5,4,lb,0) - -inst_2: -// rs1==x2, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lb op1:x2; dest:x8; immval:0x2; align:0 -TEST_LOAD(x1,x4,0,x2,x8,0x2,8,lb,0) - -inst_3: -// rs1==x11, rd==x7, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lb op1:x11; dest:x7; immval:-0x101; align:0 -TEST_LOAD(x1,x4,0,x11,x7,-0x101,12,lb,0) - -inst_4: -// rs1==x6, rd==x5, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lb op1:x6; dest:x5; immval:0x4; align:1 -TEST_LOAD(x1,x4,0,x6,x5,0x4,16,lb,1) - -inst_5: -// rs1==x12, rd==x10, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lb op1:x12; dest:x10; immval:-0x3; align:1 -TEST_LOAD(x1,x4,0,x12,x10,-0x3,20,lb,1) - -inst_6: -// rs1==x10, rd==x12, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lb op1:x10; dest:x12; immval:-0x2; align:1 -TEST_LOAD(x1,x4,0,x10,x12,-0x2,24,lb,1) - -inst_7: -// rs1==x9, rd==x6, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lb op1:x9; dest:x6; immval:-0x401; align:1 -TEST_LOAD(x1,x4,0,x9,x6,-0x401,28,lb,1) - -inst_8: -// rs1==x13, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lb op1:x13; dest:x3; immval:0x40; align:2 -TEST_LOAD(x1,x4,0,x13,x3,0x40,32,lb,2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_9: -// rs1==x4, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lb op1:x4; dest:x1; immval:0x5; align:2 -TEST_LOAD(x6,x8,0,x4,x1,0x5,0,lb,2) - -inst_10: -// rs1==x1, rd==x11, imm_val == 0, -// opcode:lb op1:x1; dest:x11; immval:0x0; align:0 -TEST_LOAD(x6,x8,0,x1,x11,0x0,4,lb,0) - -inst_11: -// rs1==x3, rd==x14, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lb op1:x3; dest:x14; immval:0x2; align:2 -TEST_LOAD(x6,x8,0,x3,x14,0x2,8,lb,2) - -inst_12: -// rs1==x7, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lb op1:x7; dest:x4; immval:-0x1; align:2 -TEST_LOAD(x6,x8,0,x7,x4,-0x1,12,lb,2) - -inst_13: -// rs1==x14, rd==x0, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x14; dest:x0; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x14,x0,-0x8,16,lb,3) - -inst_14: -// rs1==x5, rd==x13, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lb op1:x5; dest:x13; immval:-0x3; align:3 -TEST_LOAD(x6,x8,0,x5,x13,-0x3,20,lb,3) - -inst_15: -// rd==x2, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lb op1:x5; dest:x2; immval:-0x556; align:3 -TEST_LOAD(x6,x8,0,x5,x2,-0x556,24,lb,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lb op1:x10; dest:x11; immval:-0x401; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x401,28,lb,3) - -inst_17: -// ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x10; dest:x11; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x8,32,lb,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 9*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S deleted file mode 100644 index 0e73a6815..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S +++ /dev/null @@ -1,165 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lbu instruction of the RISC-V E extension for the lbu-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lbu-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode:lbu op1:x14; dest:x0; immval:0x100; align:0 -TEST_LOAD(x1,x8,0,x14,x0,0x100,0,lbu,0) - -inst_1: -// rs1 == rd, rs1==x13, rd==x13, ea_align == 0 and (imm_val % 4) == 1, imm_val < 0 -// opcode:lbu op1:x13; dest:x13; immval:-0x7; align:0 -TEST_LOAD(x1,x8,0,x13,x13,-0x7,4,lbu,0) - -inst_2: -// rs1==x2, rd==x3, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lbu op1:x2; dest:x3; immval:0x6; align:0 -TEST_LOAD(x1,x8,0,x2,x3,0x6,8,lbu,0) - -inst_3: -// rs1==x5, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lbu op1:x5; dest:x11; immval:-0x9; align:0 -TEST_LOAD(x1,x8,0,x5,x11,-0x9,12,lbu,0) - -inst_4: -// rs1==x4, rd==x15, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lbu op1:x4; dest:x15; immval:-0x4; align:1 -TEST_LOAD(x1,x8,0,x4,x15,-0x4,16,lbu,1) - -inst_5: -// rs1==x6, rd==x9, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lbu op1:x6; dest:x9; immval:0x1; align:1 -TEST_LOAD(x1,x8,0,x6,x9,0x1,20,lbu,1) - -inst_6: -// rs1==x9, rd==x7, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lbu op1:x9; dest:x7; immval:0x6; align:1 -TEST_LOAD(x1,x8,0,x9,x7,0x6,24,lbu,1) - -inst_7: -// rs1==x10, rd==x8, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x8; immval:-0x201; align:1 -TEST_LOAD(x1,x13,0,x10,x8,-0x201,28,lbu,1) -RVTEST_SIGBASE( x9,signature_x9_0) - -inst_8: -// rs1==x11, rd==x1, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lbu op1:x11; dest:x1; immval:0x4; align:2 -TEST_LOAD(x9,x13,0,x11,x1,0x4,0,lbu,2) - -inst_9: -// rs1==x3, rd==x4, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lbu op1:x3; dest:x4; immval:-0x7; align:2 -TEST_LOAD(x9,x13,0,x3,x4,-0x7,4,lbu,2) - -inst_10: -// rs1==x1, rd==x6, imm_val == 0, -// opcode:lbu op1:x1; dest:x6; immval:0x0; align:0 -TEST_LOAD(x9,x13,0,x1,x6,0x0,8,lbu,0) - -inst_11: -// rs1==x8, rd==x10, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lbu op1:x8; dest:x10; immval:-0x2; align:2 -TEST_LOAD(x9,x13,0,x8,x10,-0x2,12,lbu,2) - -inst_12: -// rs1==x12, rd==x2, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lbu op1:x12; dest:x2; immval:-0x401; align:2 -TEST_LOAD(x9,x13,0,x12,x2,-0x401,16,lbu,2) - -inst_13: -// rs1==x7, rd==x5, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lbu op1:x7; dest:x5; immval:0x4; align:3 -TEST_LOAD(x9,x13,0,x7,x5,0x4,20,lbu,3) - -inst_14: -// rs1==x15, rd==x14, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lbu op1:x15; dest:x14; immval:0x9; align:3 -TEST_LOAD(x9,x2,0,x15,x14,0x9,24,lbu,3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x12, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lbu op1:x14; dest:x12; immval:-0x2; align:3 -TEST_LOAD(x1,x2,0,x14,x12,-0x2,0,lbu,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x11; immval:-0x5; align:3 -TEST_LOAD(x1,x2,0,x10,x11,-0x5,4,lbu,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x9_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S deleted file mode 100644 index 516273335..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S +++ /dev/null @@ -1,155 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lh instruction of the RISC-V E extension for the lh-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lh-align) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x2, ea_align == 0 and (imm_val % 4) == 0, imm_val == 0 -// opcode:lh op1:x3; dest:x2; immval:0x0; align:0 -TEST_LOAD(x4,x5,0,x3,x2,0x0,0,lh,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lh op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x4,x5,0,x15,x15,0x5,4,lh,0) - -inst_2: -// rs1==x12, rd==x14, ea_align == 0 and (imm_val % 4) == 2, imm_val < 0 -// opcode:lh op1:x12; dest:x14; immval:-0x556; align:0 -TEST_LOAD(x4,x5,0,x12,x14,-0x556,8,lh,0) - -inst_3: -// rs1==x14, rd==x8, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lh op1:x14; dest:x8; immval:0x3ff; align:0 -TEST_LOAD(x4,x5,0,x14,x8,0x3ff,12,lh,0) - -inst_4: -// rs1==x10, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lh op1:x10; dest:x3; immval:-0x8; align:2 -TEST_LOAD(x4,x5,0,x10,x3,-0x8,16,lh,2) - -inst_5: -// rs1==x6, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lh op1:x6; dest:x1; immval:0x555; align:2 -TEST_LOAD(x4,x5,0,x6,x1,0x555,20,lh,2) - -inst_6: -// rs1==x13, rd==x6, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lh op1:x13; dest:x6; immval:-0x6; align:2 -TEST_LOAD(x4,x5,0,x13,x6,-0x6,24,lh,2) - -inst_7: -// rs1==x1, rd==x9, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lh op1:x1; dest:x9; immval:0x7ff; align:2 -TEST_LOAD(x4,x5,0,x1,x9,0x7ff,28,lh,2) - -inst_8: -// rs1==x9, rd==x0, -// opcode:lh op1:x9; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x9,x0,-0x800,32,lh,0) - -inst_9: -// rs1==x5, rd==x12, -// opcode:lh op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x5,x12,-0x800,36,lh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x8, rd==x11, -// opcode:lh op1:x8; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x8,x11,-0x800,0,lh,0) - -inst_11: -// rs1==x11, rd==x4, -// opcode:lh op1:x11; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x11,x4,-0x800,4,lh,0) - -inst_12: -// rs1==x2, rd==x7, -// opcode:lh op1:x2; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x7,-0x800,8,lh,0) - -inst_13: -// rs1==x4, rd==x10, -// opcode:lh op1:x4; dest:x10; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x4,x10,-0x800,12,lh,0) - -inst_14: -// rs1==x7, rd==x5, -// opcode:lh op1:x7; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x7,x5,-0x800,16,lh,0) - -inst_15: -// rd==x13, -// opcode:lh op1:x12; dest:x13; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x12,x13,-0x800,20,lh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 6*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S deleted file mode 100644 index 8d4c28e77..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lhu instruction of the RISC-V E extension for the lhu-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lhu-align) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rd, rs1==x15, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lhu op1:x15; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x7,x1,0,x15,x9,-0x4,0,lhu,0) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lhu op1:x3; dest:x3; immval:0x5; align:0 -TEST_LOAD(x7,x1,0,x3,x3,0x5,4,lhu,0) - -inst_2: -// rs1==x13, rd==x2, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lhu op1:x13; dest:x2; immval:-0x556; align:0 -TEST_LOAD(x7,x1,0,x13,x2,-0x556,8,lhu,0) - -inst_3: -// rs1==x4, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lhu op1:x4; dest:x11; immval:-0x5; align:0 -TEST_LOAD(x7,x1,0,x4,x11,-0x5,12,lhu,0) - -inst_4: -// rs1==x8, rd==x5, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lhu op1:x8; dest:x5; immval:0x80; align:2 -TEST_LOAD(x7,x1,0,x8,x5,0x80,16,lhu,2) - -inst_5: -// rs1==x6, rd==x10, imm_val == 0, -// opcode:lhu op1:x6; dest:x10; immval:0x0; align:0 -TEST_LOAD(x7,x1,0,x6,x10,0x0,20,lhu,0) - -inst_6: -// rs1==x10, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lhu op1:x10; dest:x1; immval:0x9; align:2 -TEST_LOAD(x7,x2,0,x10,x1,0x9,24,lhu,2) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x1, rd==x13, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lhu op1:x1; dest:x13; immval:-0xa; align:2 -TEST_LOAD(x3,x2,0,x1,x13,-0xa,0,lhu,2) - -inst_8: -// rs1==x14, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lhu op1:x14; dest:x4; immval:-0x11; align:2 -TEST_LOAD(x3,x2,0,x14,x4,-0x11,4,lhu,2) - -inst_9: -// rs1==x11, rd==x8, -// opcode:lhu op1:x11; dest:x8; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x11,x8,-0x800,8,lhu,0) - -inst_10: -// rs1==x5, rd==x12, -// opcode:lhu op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x5,x12,-0x800,12,lhu,0) - -inst_11: -// rs1==x9, rd==x7, -// opcode:lhu op1:x9; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x9,x7,-0x800,16,lhu,0) - -inst_12: -// rs1==x12, rd==x15, -// opcode:lhu op1:x12; dest:x15; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x12,x15,-0x800,20,lhu,0) - -inst_13: -// rs1==x7, rd==x0, -// opcode:lhu op1:x7; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x7,x0,-0x800,24,lhu,0) - -inst_14: -// rs1==x2, rd==x14, -// opcode:lhu op1:x2; dest:x14; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x2,x14,-0x800,28,lhu,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_15: -// rd==x6, -// opcode:lhu op1:x14; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x4,0,x14,x6,-0x800,0,lhu,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 1*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S deleted file mode 100644 index 81fbc741d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S +++ /dev/null @@ -1,390 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lui instruction of the RISC-V E extension for the lui covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lui) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rd==x3, imm_val == ((2**20)-1), imm_val > 0 -// opcode: lui ; dest:x3; immval:0xfffff -TEST_CASE(x5, x3, -0x1000, x4, 0, lui x3,0xfffff) - -inst_1: -// rd==x2, imm_val == 524287, -// opcode: lui ; dest:x2; immval:0x7ffff -TEST_CASE(x5, x2, 0x7ffff000, x4, 4, lui x2,0x7ffff) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: lui ; dest:x1; immval:0xbffff -TEST_CASE(x5, x1, -0x40001000, x4, 8, lui x1,0xbffff) - -inst_3: -// rd==x8, imm_val == 917503, -// opcode: lui ; dest:x8; immval:0xdffff -TEST_CASE(x5, x8, -0x20001000, x4, 12, lui x8,0xdffff) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: lui ; dest:x14; immval:0xeffff -TEST_CASE(x5, x14, -0x10001000, x4, 16, lui x14,0xeffff) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: lui ; dest:x7; immval:0xf7fff -TEST_CASE(x5, x7, -0x8001000, x4, 20, lui x7,0xf7fff) - -inst_6: -// rd==x13, imm_val == 1032191, -// opcode: lui ; dest:x13; immval:0xfbfff -TEST_CASE(x5, x13, -0x4001000, x4, 24, lui x13,0xfbfff) - -inst_7: -// rd==x12, imm_val == 1040383, -// opcode: lui ; dest:x12; immval:0xfdfff -TEST_CASE(x5, x12, -0x2001000, x4, 28, lui x12,0xfdfff) - -inst_8: -// rd==x15, imm_val == 1044479, -// opcode: lui ; dest:x15; immval:0xfefff -TEST_CASE(x5, x15, -0x1001000, x4, 32, lui x15,0xfefff) - -inst_9: -// rd==x10, imm_val == 1046527, -// opcode: lui ; dest:x10; immval:0xff7ff -TEST_CASE(x5, x10, -0x801000, x4, 36, lui x10,0xff7ff) - -inst_10: -// rd==x6, imm_val == 1047551, -// opcode: lui ; dest:x6; immval:0xffbff -TEST_CASE(x5, x6, -0x401000, x4, 40, lui x6,0xffbff) - -inst_11: -// rd==x9, imm_val == 1048063, -// opcode: lui ; dest:x9; immval:0xffdff -TEST_CASE(x2, x9, -0x201000, x4, 44, lui x9,0xffdff) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_12: -// rd==x4, imm_val == 1048319, -// opcode: lui ; dest:x4; immval:0xffeff -TEST_CASE(x2, x4, -0x101000, x1, 0, lui x4,0xffeff) - -inst_13: -// rd==x0, imm_val == 1048447, -// opcode: lui ; dest:x0; immval:0xfff7f -TEST_CASE(x2, x0, 0, x1, 4, lui x0,0xfff7f) - -inst_14: -// rd==x5, imm_val == 1048511, -// opcode: lui ; dest:x5; immval:0xfffbf -TEST_CASE(x2, x5, -0x41000, x1, 8, lui x5,0xfffbf) - -inst_15: -// rd==x11, imm_val == 1048543, -// opcode: lui ; dest:x11; immval:0xfffdf -TEST_CASE(x2, x11, -0x21000, x1, 12, lui x11,0xfffdf) - -inst_16: -// imm_val == 1048559, -// opcode: lui ; dest:x10; immval:0xfffef -TEST_CASE(x2, x10, -0x11000, x1, 16, lui x10,0xfffef) - -inst_17: -// imm_val == 1048567, -// opcode: lui ; dest:x10; immval:0xffff7 -TEST_CASE(x2, x10, -0x9000, x1, 20, lui x10,0xffff7) - -inst_18: -// imm_val == 1048571, -// opcode: lui ; dest:x10; immval:0xffffb -TEST_CASE(x2, x10, -0x5000, x1, 24, lui x10,0xffffb) - -inst_19: -// imm_val == 1048573, -// opcode: lui ; dest:x10; immval:0xffffd -TEST_CASE(x2, x10, -0x3000, x1, 28, lui x10,0xffffd) - -inst_20: -// imm_val == 1048574, -// opcode: lui ; dest:x10; immval:0xffffe -TEST_CASE(x2, x10, -0x2000, x1, 32, lui x10,0xffffe) - -inst_21: -// imm_val == 524288, -// opcode: lui ; dest:x10; immval:0x80000 -TEST_CASE(x2, x10, -0x80000000, x1, 36, lui x10,0x80000) - -inst_22: -// imm_val == 262144, -// opcode: lui ; dest:x10; immval:0x40000 -TEST_CASE(x2, x10, 0x40000000, x1, 40, lui x10,0x40000) - -inst_23: -// imm_val == 131072, -// opcode: lui ; dest:x10; immval:0x20000 -TEST_CASE(x2, x10, 0x20000000, x1, 44, lui x10,0x20000) - -inst_24: -// imm_val == 65536, -// opcode: lui ; dest:x10; immval:0x10000 -TEST_CASE(x2, x10, 0x10000000, x1, 48, lui x10,0x10000) - -inst_25: -// imm_val == 32768, -// opcode: lui ; dest:x10; immval:0x8000 -TEST_CASE(x2, x10, 0x8000000, x1, 52, lui x10,0x8000) - -inst_26: -// imm_val == 16384, -// opcode: lui ; dest:x10; immval:0x4000 -TEST_CASE(x2, x10, 0x4000000, x1, 56, lui x10,0x4000) - -inst_27: -// imm_val == 8192, -// opcode: lui ; dest:x10; immval:0x2000 -TEST_CASE(x2, x10, 0x2000000, x1, 60, lui x10,0x2000) - -inst_28: -// imm_val == 4096, -// opcode: lui ; dest:x10; immval:0x1000 -TEST_CASE(x2, x10, 0x1000000, x1, 64, lui x10,0x1000) - -inst_29: -// imm_val == 2048, -// opcode: lui ; dest:x10; immval:0x800 -TEST_CASE(x2, x10, 0x800000, x1, 68, lui x10,0x800) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: lui ; dest:x10; immval:0x400 -TEST_CASE(x2, x10, 0x400000, x1, 72, lui x10,0x400) - -inst_31: -// imm_val == 512, -// opcode: lui ; dest:x10; immval:0x200 -TEST_CASE(x2, x10, 0x200000, x1, 76, lui x10,0x200) - -inst_32: -// imm_val == 256, -// opcode: lui ; dest:x10; immval:0x100 -TEST_CASE(x2, x10, 0x100000, x1, 80, lui x10,0x100) - -inst_33: -// imm_val == 128, -// opcode: lui ; dest:x10; immval:0x80 -TEST_CASE(x2, x10, 0x80000, x1, 84, lui x10,0x80) - -inst_34: -// imm_val == 64, -// opcode: lui ; dest:x10; immval:0x40 -TEST_CASE(x2, x10, 0x40000, x1, 88, lui x10,0x40) - -inst_35: -// imm_val == 32, -// opcode: lui ; dest:x10; immval:0x20 -TEST_CASE(x2, x10, 0x20000, x1, 92, lui x10,0x20) - -inst_36: -// imm_val == 16, -// opcode: lui ; dest:x10; immval:0x10 -TEST_CASE(x2, x10, 0x10000, x1, 96, lui x10,0x10) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: lui ; dest:x10; immval:0x55555 -TEST_CASE(x2, x10, 0x55555000, x1, 100, lui x10,0x55555) - -inst_38: -// imm_val==3, -// opcode: lui ; dest:x10; immval:0x3 -TEST_CASE(x2, x10, 0x3000, x1, 104, lui x10,0x3) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: lui ; dest:x10; immval:0xaaaaa -TEST_CASE(x2, x10, -0x55556000, x1, 108, lui x10,0xaaaaa) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: lui ; dest:x10; immval:0x0 -TEST_CASE(x2, x10, 0x0, x1, 112, lui x10,0x0) - -inst_41: -// imm_val == 8, -// opcode: lui ; dest:x10; immval:0x8 -TEST_CASE(x2, x10, 0x8000, x1, 116, lui x10,0x8) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: lui ; dest:x10; immval:0x4 -TEST_CASE(x2, x10, 0x4000, x1, 120, lui x10,0x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: lui ; dest:x10; immval:0x2 -TEST_CASE(x2, x10, 0x2000, x1, 124, lui x10,0x2) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: lui ; dest:x10; immval:0x1 -TEST_CASE(x2, x10, 0x1000, x1, 128, lui x10,0x1) - -inst_45: -// imm_val==725, -// opcode: lui ; dest:x10; immval:0x2d5 -TEST_CASE(x2, x10, 0x2d5000, x1, 132, lui x10,0x2d5) - -inst_46: -// imm_val==419431, -// opcode: lui ; dest:x10; immval:0x66667 -TEST_CASE(x2, x10, 0x66667000, x1, 136, lui x10,0x66667) - -inst_47: -// imm_val==209716, -// opcode: lui ; dest:x10; immval:0x33334 -TEST_CASE(x2, x10, 0x33334000, x1, 140, lui x10,0x33334) - -inst_48: -// imm_val==6, -// opcode: lui ; dest:x10; immval:0x6 -TEST_CASE(x2, x10, 0x6000, x1, 144, lui x10,0x6) - -inst_49: -// imm_val==699051, -// opcode: lui ; dest:x10; immval:0xaaaab -TEST_CASE(x2, x10, -0x55555000, x1, 148, lui x10,0xaaaab) - -inst_50: -// imm_val==349526, -// opcode: lui ; dest:x10; immval:0x55556 -TEST_CASE(x2, x10, 0x55556000, x1, 152, lui x10,0x55556) - -inst_51: -// imm_val==1022, -// opcode: lui ; dest:x10; immval:0x3fe -TEST_CASE(x2, x10, 0x3fe000, x1, 156, lui x10,0x3fe) - -inst_52: -// imm_val==723, -// opcode: lui ; dest:x10; immval:0x2d3 -TEST_CASE(x2, x10, 0x2d3000, x1, 160, lui x10,0x2d3) - -inst_53: -// imm_val==419429, -// opcode: lui ; dest:x10; immval:0x66665 -TEST_CASE(x2, x10, 0x66665000, x1, 164, lui x10,0x66665) - -inst_54: -// imm_val==209714, -// opcode: lui ; dest:x10; immval:0x33332 -TEST_CASE(x2, x10, 0x33332000, x1, 168, lui x10,0x33332) - -inst_55: -// imm_val==699049, -// opcode: lui ; dest:x10; immval:0xaaaa9 -TEST_CASE(x2, x10, -0x55557000, x1, 172, lui x10,0xaaaa9) - -inst_56: -// imm_val==349524, -// opcode: lui ; dest:x10; immval:0x55554 -TEST_CASE(x2, x10, 0x55554000, x1, 176, lui x10,0x55554) - -inst_57: -// imm_val==1023, -// opcode: lui ; dest:x10; immval:0x3ff -TEST_CASE(x2, x10, 0x3ff000, x1, 180, lui x10,0x3ff) - -inst_58: -// imm_val==724, -// opcode: lui ; dest:x10; immval:0x2d4 -TEST_CASE(x2, x10, 0x2d4000, x1, 184, lui x10,0x2d4) - -inst_59: -// imm_val==419430, -// opcode: lui ; dest:x10; immval:0x66666 -TEST_CASE(x2, x10, 0x66666000, x1, 188, lui x10,0x66666) - -inst_60: -// imm_val==209715, -// opcode: lui ; dest:x10; immval:0x33333 -TEST_CASE(x2, x10, 0x33333000, x1, 192, lui x10,0x33333) - -inst_61: -// imm_val==5, -// opcode: lui ; dest:x10; immval:0x5 -TEST_CASE(x2, x10, 0x5000, x1, 196, lui x10,0x5) - -inst_62: -// imm_val == 1048447, -// opcode: lui ; dest:x10; immval:0xfff7f -TEST_CASE(x2, x10, -0x81000, x1, 200, lui x10,0xfff7f) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 12*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 51*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S deleted file mode 100644 index a8dafa825..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lw instruction of the RISC-V E extension for the lw-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rd, rs1==x11, rd==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lw op1:x11; dest:x1; immval:-0x400; align:0 -TEST_LOAD(x2,x6,0,x11,x1,-0x400,0,lw,0) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, ea_align == 0 and (imm_val % 4) == 1, -// opcode:lw op1:x14; dest:x14; immval:-0x3; align:0 -TEST_LOAD(x2,x6,0,x14,x14,-0x3,4,lw,0) - -inst_2: -// rs1==x4, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lw op1:x4; dest:x8; immval:-0x556; align:0 -TEST_LOAD(x2,x6,0,x4,x8,-0x556,8,lw,0) - -inst_3: -// rs1==x1, rd==x10, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lw op1:x1; dest:x10; immval:-0x5; align:0 -TEST_LOAD(x2,x6,0,x1,x10,-0x5,12,lw,0) - -inst_4: -// rs1==x12, rd==x15, imm_val == 0, -// opcode:lw op1:x12; dest:x15; immval:0x0; align:0 -TEST_LOAD(x2,x6,0,x12,x15,0x0,16,lw,0) - -inst_5: -// rs1==x7, rd==x13, imm_val > 0, -// opcode:lw op1:x7; dest:x13; immval:0x20; align:0 -TEST_LOAD(x2,x6,0,x7,x13,0x20,20,lw,0) - -inst_6: -// rs1==x5, rd==x3, -// opcode:lw op1:x5; dest:x3; immval:-0x800; align:0 -TEST_LOAD(x2,x6,0,x5,x3,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x8, rd==x0, -// opcode:lw op1:x8; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x8,x0,-0x800,0,lw,0) - -inst_8: -// rs1==x3, rd==x12, -// opcode:lw op1:x3; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x3,x12,-0x800,4,lw,0) - -inst_9: -// rs1==x13, rd==x4, -// opcode:lw op1:x13; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x13,x4,-0x800,8,lw,0) - -inst_10: -// rs1==x15, rd==x7, -// opcode:lw op1:x15; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x15,x7,-0x800,12,lw,0) - -inst_11: -// rs1==x9, rd==x5, -// opcode:lw op1:x9; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x9,x5,-0x800,16,lw,0) - -inst_12: -// rs1==x6, rd==x9, -// opcode:lw op1:x6; dest:x9; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x6,x9,-0x800,20,lw,0) - -inst_13: -// rs1==x10, rd==x2, -// opcode:lw op1:x10; dest:x2; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x10,x2,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_14: -// rs1==x2, rd==x6, -// opcode:lw op1:x2; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x6,-0x800,0,lw,0) - -inst_15: -// rd==x11, -// opcode:lw op1:x5; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x5,x11,-0x800,4,lw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S deleted file mode 100644 index e5032b059..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S +++ /dev/null @@ -1,3035 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the or instruction of the RISC-V E extension for the or covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",or) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x3, rd==x2, rs1_val != rs2_val, rs2_val == 536870912, rs1_val == -2097153, rs1_val < 0 and rs2_val > 0 -// opcode: or ; op1:x10; op2:x3; dest:x2; op1val:-0x200001; op2val:0x20000000 -TEST_RR_OP(or, x2, x10, x3, 0xffdfffff, -0x200001, 0x20000000, x5, 0, x4) - -inst_1: -// rs1 == rd != rs2, rs1==x14, rs2==x10, rd==x14, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: or ; op1:x14; op2:x10; dest:x14; op1val:0x5; op2val:0x7fffffff -TEST_RR_OP(or, x14, x14, x10, 0x7fffffff, 0x5, 0x7fffffff, x5, 4, x4) - -inst_2: -// rs2 == rd != rs1, rs1==x1, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: or ; op1:x1; op2:x6; dest:x6; op1val:-0xb504; op2val:-0x40000001 -TEST_RR_OP(or, x6, x1, x6, 0xffffffff, -0xb504, -0x40000001, x5, 8, x4) - -inst_3: -// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x9, rs2_val == -536870913, -// opcode: or ; op1:x11; op2:x11; dest:x9; op1val:-0x40000000; op2val:-0x40000000 -TEST_RR_OP(or, x9, x11, x11, 0xc0000000, -0x40000000, -0x40000000, x5, 12, x4) - -inst_4: -// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x8; op2:x8; dest:x8; op1val:0x4000; op2val:0x4000 -TEST_RR_OP(or, x8, x8, x8, 0x4000, 0x4000, 0x4000, x5, 16, x4) - -inst_5: -// rs1==x7, rs2==x9, rd==x12, rs2_val == -134217729, rs1_val == -8193 -// opcode: or ; op1:x7; op2:x9; dest:x12; op1val:-0x2001; op2val:-0x8000001 -TEST_RR_OP(or, x12, x7, x9, 0xffffffff, -0x2001, -0x8000001, x5, 20, x4) - -inst_6: -// rs1==x4, rs2==x1, rd==x15, rs2_val == -67108865, rs1_val == -3 -// opcode: or ; op1:x4; op2:x1; dest:x15; op1val:-0x3; op2val:-0x4000001 -TEST_RR_OP(or, x15, x4, x1, 0xffffffff, -0x3, -0x4000001, x5, 24, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x6, rs2==x13, rd==x10, rs2_val == -33554433, rs1_val == 1024 -// opcode: or ; op1:x6; op2:x13; dest:x10; op1val:0x400; op2val:-0x2000001 -TEST_RR_OP(or, x10, x6, x13, 0xfdffffff, 0x400, -0x2000001, x4, 0, x3) - -inst_8: -// rs1==x5, rs2==x14, rd==x0, rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x5; op2:x14; dest:x0; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x0, x5, x14, 0, 0x0, -0x1000001, x4, 4, x3) - -inst_9: -// rs1==x9, rs2==x0, rd==x1, rs2_val == -8388609, -// opcode: or ; op1:x9; op2:x0; dest:x1; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x1, x9, x0, 0x6, 0x6, 0x0, x4, 8, x3) - -inst_10: -// rs1==x13, rs2==x5, rd==x11, rs2_val == -4194305, -// opcode: or ; op1:x13; op2:x5; dest:x11; op1val:0x6; op2val:-0x400001 -TEST_RR_OP(or, x11, x13, x5, 0xffbfffff, 0x6, -0x400001, x4, 12, x3) - -inst_11: -// rs1==x12, rs2==x2, rd==x5, rs2_val == -2097153, rs1_val == 134217728 -// opcode: or ; op1:x12; op2:x2; dest:x5; op1val:0x8000000; op2val:-0x200001 -TEST_RR_OP(or, x5, x12, x2, 0xffdfffff, 0x8000000, -0x200001, x4, 16, x3) - -inst_12: -// rs1==x3, rs2==x12, rd==x7, rs2_val == -1048577, -// opcode: or ; op1:x3; op2:x12; dest:x7; op1val:0xb504; op2val:-0x100001 -TEST_RR_OP(or, x7, x3, x12, 0xffefffff, 0xb504, -0x100001, x4, 20, x6) -RVTEST_SIGBASE( x5,signature_x5_2) - -inst_13: -// rs1==x0, rs2==x15, rd==x13, rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x0; op2:x15; dest:x13; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(or, x13, x0, x15, 0xfff7ffff, 0x0, -0x80001, x5, 0, x6) - -inst_14: -// rs1==x15, rs2==x7, rd==x3, rs2_val == -262145, rs1_val == -1431655766 -// opcode: or ; op1:x15; op2:x7; dest:x3; op1val:-0x55555556; op2val:-0x40001 -TEST_RR_OP(or, x3, x15, x7, 0xfffbffff, -0x55555556, -0x40001, x5, 4, x6) - -inst_15: -// rs1==x2, rs2_val == -131073, -// opcode: or ; op1:x2; op2:x12; dest:x1; op1val:-0x55555555; op2val:-0x20001 -TEST_RR_OP(or, x1, x2, x12, 0xffffffff, -0x55555555, -0x20001, x5, 8, x6) - -inst_16: -// rs2==x4, rs2_val == -65537, -// opcode: or ; op1:x9; op2:x4; dest:x10; op1val:0x9; op2val:-0x10001 -TEST_RR_OP(or, x10, x9, x4, 0xfffeffff, 0x9, -0x10001, x5, 12, x6) - -inst_17: -// rd==x4, rs2_val == -32769, -// opcode: or ; op1:x3; op2:x8; dest:x4; op1val:-0xb504; op2val:-0x8001 -TEST_RR_OP(or, x4, x3, x8, 0xffff7fff, -0xb504, -0x8001, x5, 16, x6) - -inst_18: -// rs2_val == -16385, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x4001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x4001, x5, 20, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_19: -// rs2_val == -8193, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x80000000, -0x2001, x1, 0, x2) - -inst_20: -// rs2_val == -4097, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1001 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, 0x0, -0x1001, x1, 4, x2) - -inst_21: -// rs2_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, 0x66666665, -0x801, x1, 8, x2) - -inst_22: -// rs2_val == -1025, rs1_val == -513 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x201, -0x401, x1, 12, x2) - -inst_23: -// rs2_val == -513, rs1_val == 64 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, 0x40, -0x201, x1, 16, x2) - -inst_24: -// rs2_val == -257, rs1_val == 128 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, 0x80, -0x101, x1, 20, x2) - -inst_25: -// rs2_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, -0x81, x1, 24, x2) - -inst_26: -// rs2_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffbf, -0x55555555, -0x41, x1, 28, x2) - -inst_27: -// rs2_val == -33, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x21 -TEST_RR_OP(or, x12, x10, x11, 0xffffffdf, 0x6, -0x21, x1, 32, x2) - -inst_28: -// rs2_val == -17, rs1_val == -134217729 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x11 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x8000001, -0x11, x1, 36, x2) - -inst_29: -// rs2_val == -9, rs1_val == -2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x2, -0x9, x1, 40, x2) - -inst_30: -// rs2_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x5 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x8, -0x5, x1, 44, x2) - -inst_31: -// rs2_val == -3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x3, x1, 48, x2) - -inst_32: -// rs2_val == -2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x6, -0x2, x1, 52, x2) - -inst_33: -// rs1_val == -1073741825, rs2_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0xbfffffff, -0x40000001, 0x20, x1, 56, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20000001, -0x41, x1, 60, x2) - -inst_35: -// rs1_val == -268435457, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x100001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10000001, -0x100001, x1, 64, x2) - -inst_36: -// rs1_val == -67108865, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x4000001, -0x201, x1, 68, x2) - -inst_37: -// rs1_val == -33554433, rs2_val == 65536 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0xfdffffff, -0x2000001, 0x10000, x1, 72, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x1000001, -0x801, x1, 76, x2) - -inst_39: -// rs1_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, -0x800001, 0x33333332, x1, 80, x2) - -inst_40: -// rs1_val == -4194305, rs2_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x400001, 0x55555555, x1, 84, x2) - -inst_41: -// rs1_val == -1048577, rs2_val == 1024 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffefffff, -0x100001, 0x400, x1, 88, x2) - -inst_42: -// rs1_val == -524289, rs2_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x80000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x80001, 0x80000, x1, 92, x2) - -inst_43: -// rs1_val == -262145, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x40001, 0x55555555, x1, 96, x2) - -inst_44: -// rs1_val == -131073, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20001, -0x2001, x1, 100, x2) - -inst_45: -// rs1_val == -65537, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x400001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10001, -0x400001, x1, 104, x2) - -inst_46: -// rs1_val == -32769, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff7fff, -0x8001, 0x5, x1, 108, x2) - -inst_47: -// rs1_val == -16385, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffffbfff, -0x4001, 0x400, x1, 112, x2) - -inst_48: -// rs1_val == -4097, rs2_val == 256 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x100 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, -0x1001, 0x100, x1, 116, x2) - -inst_49: -// rs1_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x801, -0x6, x1, 120, x2) - -inst_50: -// rs1_val == -1025, rs2_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0xfffffbff, -0x401, 0x8, x1, 124, x2) - -inst_51: -// rs1_val == -257, rs2_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x8000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, -0x101, 0x8000, x1, 128, x2) - -inst_52: -// rs1_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x81, -0x1, x1, 132, x2) - -inst_53: -// rs1_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x41, -0x1, x1, 136, x2) - -inst_54: -// rs1_val == -17, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x11, -0x101, x1, 140, x2) - -inst_55: -// rs1_val == -9, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x9, -0x6, x1, 144, x2) - -inst_56: -// rs1_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x5, 0x20000000, x1, 148, x2) - -inst_57: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x80000000 -TEST_RR_OP(or, x12, x10, x11, 0x80000005, 0x5, -0x80000000, x1, 152, x2) - -inst_58: -// rs2_val == 1073741824, rs1_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x40000000 -TEST_RR_OP(or, x12, x10, x11, 0x40008000, 0x8000, 0x40000000, x1, 156, x2) - -inst_59: -// rs2_val == 268435456, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10000000, x1, 160, x2) - -inst_60: -// rs2_val == 134217728, rs1_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000 -TEST_RR_OP(or, x12, x10, x11, 0x8000008, 0x8, 0x8000000, x1, 164, x2) - -inst_61: -// rs2_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4000000 -TEST_RR_OP(or, x12, x10, x11, 0xaeaaaaaa, -0x55555556, 0x4000000, x1, 168, x2) - -inst_62: -// rs2_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x200b504, 0xb504, 0x2000000, x1, 172, x2) - -inst_63: -// rs2_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1000000 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x2001, 0x1000000, x1, 176, x2) - -inst_64: -// rs2_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x800000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffff9, -0x7, 0x800000, x1, 180, x2) - -inst_65: -// rs2_val == 4194304, rs1_val == 16 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_66: -// rs2_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x200000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, 0x200000, x1, 188, x2) - -inst_67: -// rs2_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100000 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x100000, x1, 192, x2) - -inst_68: -// rs2_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x40000 -TEST_RR_OP(or, x12, x10, x11, 0x4b503, 0xb503, 0x40000, x1, 196, x2) - -inst_69: -// rs2_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x20000 -TEST_RR_OP(or, x12, x10, x11, 0x20008, 0x8, 0x20000, x1, 200, x2) - -inst_70: -// rs2_val == 16384, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x4000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x2, 0x4000, x1, 204, x2) - -inst_71: -// rs2_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2000 -TEST_RR_OP(or, x12, x10, x11, 0x55557556, 0x55555556, 0x2000, x1, 208, x2) - -inst_72: -// rs2_val == 4096, rs1_val == 2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x1002, 0x2, 0x1000, x1, 212, x2) - -inst_73: -// rs2_val == 2048, rs1_val == 4 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 -TEST_RR_OP(or, x12, x10, x11, 0x804, 0x4, 0x800, x1, 216, x2) - -inst_74: -// rs2_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x200 -TEST_RR_OP(or, x12, x10, x11, 0x80000200, -0x80000000, 0x200, x1, 220, x2) - -inst_75: -// rs2_val == 128, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x3fffffff, 0x3fffffff, 0x80, x1, 224, x2) - -inst_76: -// rs2_val == 64, rs1_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40 -TEST_RR_OP(or, x12, x10, x11, 0x60, 0x20, 0x40, x1, 228, x2) - -inst_77: -// rs2_val == 16, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10, x1, 232, x2) - -inst_78: -// rs2_val == 4, rs1_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x80004, 0x80000, 0x4, x1, 236, x2) - -inst_79: -// rs2_val == 2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, -0x201, 0x2, x1, 240, x2) - -inst_80: -// rs2_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(or, x12, x10, x11, 0x1, 0x0, 0x1, x1, 244, x2) - -inst_81: -// rs1_val == 1073741824, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x40000000, -0x81, x1, 248, x2) - -inst_82: -// rs1_val == 536870912, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x22000000, 0x20000000, 0x2000000, x1, 252, x2) - -inst_83: -// rs1_val == 268435456, rs2_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x10000000, 0x10000000, 0x0, x1, 256, x2) - -inst_84: -// rs1_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0x24000000, 0x4000000, 0x20000000, x1, 260, x2) - -inst_85: -// rs1_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffa, 0x2000000, -0x6, x1, 264, x2) - -inst_86: -// rs1_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x1400000, 0x1000000, 0x400000, x1, 268, x2) - -inst_87: -// rs1_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55d55555, 0x800000, 0x55555555, x1, 272, x2) - -inst_88: -// rs1_val == 4194304, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0xa -TEST_RR_OP(or, x12, x10, x11, 0xfffffff6, 0x400000, -0xa, x1, 276, x2) - -inst_89: -// rs1_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x200000, 0x33333334, x1, 280, x2) - -inst_90: -// rs1_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x100080, 0x100000, 0x80, x1, 284, x2) - -inst_91: -// rs1_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0x50000, 0x40000, 0x10000, x1, 288, x2) - -inst_92: -// rs1_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x21000, 0x20000, 0x1000, x1, 292, x2) - -inst_93: -// rs1_val == 65536, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x10006, 0x10000, 0x6, x1, 296, x2) - -inst_94: -// rs1_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0x2008, 0x2000, 0x8, x1, 300, x2) - -inst_95: -// rs1_val == 4096, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0x1000, -0x3, x1, 304, x2) - -inst_96: -// rs1_val == 2048, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555d55, 0x800, 0x55555555, x1, 308, x2) - -inst_97: -// rs1_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0x220, 0x200, 0x20, x1, 312, x2) - -inst_98: -// rs1_val == 256, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x100, -0x81, x1, 316, x2) - -inst_99: -// rs1_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x2000001, 0x1, 0x2000000, x1, 320, x2) - -inst_100: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 324, x2) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 328, x2) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 332, x2) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 336, x2) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x6, x1, 340, x2) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 344, x2) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 348, x2) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x4, x1, 352, x2) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0xb503, x1, 356, x2) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 360, x2) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 364, x2) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 368, x2) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 372, x2) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 376, x2) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb504, x1, 380, x2) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 384, x2) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 388, x2) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 392, x2) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x5, x1, 396, x2) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 400, x2) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 404, x2) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x3, x1, 408, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 412, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 416, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 420, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 424, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 428, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 432, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 436, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 440, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 444, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 448, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 452, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 456, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 460, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 464, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 468, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 472, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 476, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 480, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 484, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 488, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 492, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 496, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 500, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 504, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 508, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 512, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 516, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 520, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 524, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 528, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 532, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 536, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 540, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 544, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 548, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 552, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 556, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 560, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 564, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 568, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 572, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 576, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 580, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 584, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 588, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 592, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 596, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 600, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 604, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 608, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 612, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 616, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 620, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 624, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 628, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 632, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 636, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 640, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 644, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 648, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 652, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 656, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 660, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 664, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 668, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 672, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb505, x1, 676, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 680, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 684, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 688, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x6, x1, 692, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 696, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 700, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x4, x1, 704, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb503, x1, 708, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x0, x1, 712, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 716, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 720, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 724, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x2, x1, 728, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x6, 0xb504, x1, 732, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 736, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 740, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 744, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x5, x1, 748, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 752, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 756, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x3, x1, 760, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 764, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 768, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 772, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 776, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 780, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 784, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 788, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 792, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 796, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 800, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 804, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 808, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 812, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 816, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 820, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 824, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 828, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 832, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 836, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 840, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 844, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 848, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 852, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 856, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 860, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 864, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 868, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 872, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 876, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 880, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 884, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 888, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 892, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 896, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 900, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 904, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 908, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 912, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 916, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 920, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 924, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 928, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 932, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 936, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x4, 0xb505, x1, 940, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 944, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 948, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 952, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x6, x1, 956, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 960, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 964, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x4, x1, 968, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 972, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x0, x1, 976, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 980, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 984, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 988, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x2, x1, 992, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x4, 0xb504, x1, 996, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1000, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1004, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1008, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x4, 0x5, x1, 1012, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1016, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1020, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1024, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1028, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1032, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1036, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1040, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x6, x1, 1044, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1048, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1052, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1056, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1060, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1064, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1068, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1072, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1076, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x2, x1, 1080, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1084, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1088, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1092, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1096, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x5, x1, 1100, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1104, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1108, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x3, x1, 1112, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1116, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1120, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1124, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1128, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1132, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1136, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1140, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1144, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1148, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1152, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1156, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1160, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1164, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1168, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1172, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1176, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1180, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1184, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1188, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1192, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1196, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1200, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1204, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1208, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1212, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1216, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1220, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1224, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1228, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1232, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1236, x2) - -inst_329: -// rs1_val==1717986917 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1240, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1244, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1248, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1252, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1256, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1260, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1264, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1268, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1272, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1276, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1280, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1284, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1288, x2) - -inst_342: -// rs1_val==858993459 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1292, x2) - -inst_343: -// rs1_val==5 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb505, x1, 1296, x2) - -inst_344: -// rs1_val==5 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1300, x2) - -inst_345: -// rs1_val==5 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1304, x2) - -inst_346: -// rs1_val==5 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1308, x2) - -inst_347: -// rs1_val==5 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x6, x1, 1312, x2) - -inst_348: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1316, x2) - -inst_349: -// rs1_val==5 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1320, x2) - -inst_350: -// rs1_val==5 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x4, x1, 1324, x2) - -inst_351: -// rs1_val==5 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x5, 0xb503, x1, 1328, x2) - -inst_352: -// rs1_val==5 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1332, x2) - -inst_353: -// rs1_val==5 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1336, x2) - -inst_354: -// rs1_val==5 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1340, x2) - -inst_355: -// rs1_val==5 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1344, x2) - -inst_356: -// rs1_val==5 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1348, x2) - -inst_357: -// rs1_val==5 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb504, x1, 1352, x2) - -inst_358: -// rs1_val==5 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1356, x2) - -inst_359: -// rs1_val==5 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1360, x2) - -inst_360: -// rs1_val==5 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1364, x2) - -inst_361: -// rs1_val==5 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1368, x2) - -inst_362: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1372, x2) - -inst_363: -// rs1_val==5 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1376, x2) - -inst_364: -// rs1_val==5 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x3, x1, 1380, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1384, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1388, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1392, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1396, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1400, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1404, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1408, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1412, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1416, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1420, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1424, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1428, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1432, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1436, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1440, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1444, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1448, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1452, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1456, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1460, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1464, x2) - -inst_386: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1468, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1472, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1476, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1480, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1484, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1488, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1492, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1496, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1500, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1504, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1508, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1512, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1516, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1520, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1524, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1528, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1532, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1536, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1540, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1544, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1548, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1552, x2) - -inst_408: -// rs1_val==1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1556, x2) - -inst_409: -// rs1_val==3 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb505, x1, 1560, x2) - -inst_410: -// rs1_val==3 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1564, x2) - -inst_411: -// rs1_val==3 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1568, x2) - -inst_412: -// rs1_val==3 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1572, x2) - -inst_413: -// rs1_val==3 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x6, x1, 1576, x2) - -inst_414: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1580, x2) - -inst_415: -// rs1_val==3 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1584, x2) - -inst_416: -// rs1_val==3 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1588, x2) - -inst_417: -// rs1_val==3 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x3, 0xb503, x1, 1592, x2) - -inst_418: -// rs1_val==3 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1596, x2) - -inst_419: -// rs1_val==3 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1600, x2) - -inst_420: -// rs1_val==3 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1604, x2) - -inst_421: -// rs1_val==3 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1608, x2) - -inst_422: -// rs1_val==3 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x2, x1, 1612, x2) - -inst_423: -// rs1_val==3 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1616, x2) - -inst_424: -// rs1_val==3 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1620, x2) - -inst_425: -// rs1_val==3 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1624, x2) - -inst_426: -// rs1_val==3 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1628, x2) - -inst_427: -// rs1_val==3 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x5, x1, 1632, x2) - -inst_428: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1636, x2) - -inst_429: -// rs1_val==3 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1640, x2) - -inst_430: -// rs1_val==3 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1644, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1648, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1652, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1656, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1660, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1664, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1668, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1672, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1676, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1680, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1684, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1688, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1692, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1696, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1700, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1704, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1708, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1712, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1716, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1720, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1724, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1728, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1732, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1736, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1740, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1744, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1748, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1752, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1756, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1760, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1764, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1768, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1772, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1776, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1780, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1784, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1788, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1792, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1796, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1800, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1804, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1808, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1812, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1816, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1820, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1824, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1828, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1832, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1836, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1840, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1844, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1848, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1852, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1856, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1860, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1864, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1868, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1872, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1876, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1880, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1884, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x6, x1, 1888, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1892, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1896, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1900, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x2, 0xb503, x1, 1904, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1908, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1912, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1916, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1920, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1924, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1928, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1932, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 1936, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 1940, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1944, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 1948, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1952, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x2, 0x3, x1, 1956, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0xb505, x1, 1960, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 1964, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 1968, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 1972, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x6, x1, 1976, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 1980, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 1984, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x4, x1, 1988, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0xb503, x1, 1992, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 2000, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 2004, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 2008, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2012, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2016, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 2020, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 2024, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 2028, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0x5, x1, 2032, x2) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 2036, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 2040, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 0, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 4, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 8, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 12, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 16, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 20, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 24, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 28, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 32, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 40, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 44, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 48, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 52, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 56, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 60, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 64, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 68, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 72, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 76, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 80, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 84, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 88, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 92, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 96, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 100, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 104, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 108, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 112, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 116, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 120, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 128, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 132, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 136, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 140, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 144, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 148, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 152, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 156, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 160, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 164, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 168, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 172, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 176, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 180, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 184, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 188, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 192, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 196, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 200, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 204, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 208, x2) - -inst_584: -// rs2_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x20000001 -TEST_RR_OP(or, x12, x10, x11, 0xdfffffff, -0x40000000, -0x20000001, x1, 212, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x10000001 -TEST_RR_OP(or, x12, x10, x11, 0xefffffff, 0x4000, -0x10000001, x1, 216, x2) - -inst_586: -// rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x12, x10, x11, 0xfeffffff, 0x0, -0x1000001, x1, 220, x2) - -inst_587: -// rs2_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x800001 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, 0x6, -0x800001, x1, 224, x2) - -inst_588: -// rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x21, -0x80001, x1, 228, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x5_2: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 58*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S deleted file mode 100644 index fa55b6bf3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S +++ /dev/null @@ -1,2865 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the ori instruction of the RISC-V E extension for the ori covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",ori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x9, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 2097152 -// opcode: ori ; op1:x12; dest:x9; op1val:0x200000; immval:-0x800 -TEST_IMM_OP( ori, x9, x12, 0xfffff800, 0x200000, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x0, rd==x0, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x0; dest:x0; op1val:0x0; immval:0x3ff -TEST_IMM_OP( ori, x0, x0, 0, 0x0, 0x3ff, x1, 4, x2) - -inst_2: -// rs1==x6, rd==x7, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 1024 -// opcode: ori ; op1:x6; dest:x7; op1val:-0x40000001; immval:0x400 -TEST_IMM_OP( ori, x7, x6, 0xbfffffff, -0x40000001, 0x400, x1, 8, x2) - -inst_3: -// rs1==x9, rd==x3, rs1_val == -536870913, -// opcode: ori ; op1:x9; dest:x3; op1val:-0x20000001; immval:0x2d -TEST_IMM_OP( ori, x3, x9, 0xdfffffff, -0x20000001, 0x2d, x1, 12, x2) - -inst_4: -// rs1==x5, rd==x14, rs1_val == -268435457, -// opcode: ori ; op1:x5; dest:x14; op1val:-0x10000001; immval:0x666 -TEST_IMM_OP( ori, x14, x5, 0xefffffff, -0x10000001, 0x666, x1, 16, x2) - -inst_5: -// rs1==x13, rd==x11, rs1_val == -134217729, imm_val == 2 -// opcode: ori ; op1:x13; dest:x11; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( ori, x11, x13, 0xf7ffffff, -0x8000001, 0x2, x1, 20, x2) - -inst_6: -// rs1==x3, rd==x5, rs1_val == -67108865, -// opcode: ori ; op1:x3; dest:x5; op1val:-0x4000001; immval:0x2 -TEST_IMM_OP( ori, x5, x3, 0xfbffffff, -0x4000001, 0x2, x1, 24, x2) - -inst_7: -// rs1==x11, rd==x12, rs1_val == -33554433, -// opcode: ori ; op1:x11; dest:x12; op1val:-0x2000001; immval:0x3 -TEST_IMM_OP( ori, x12, x11, 0xfdffffff, -0x2000001, 0x3, x1, 28, x2) - -inst_8: -// rs1==x10, rd==x15, rs1_val == -16777217, imm_val == 1 -// opcode: ori ; op1:x10; dest:x15; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( ori, x15, x10, 0xfeffffff, -0x1000001, 0x1, x1, 32, x2) - -inst_9: -// rs1==x4, rd==x13, rs1_val == -8388609, rs1_val < 0 and imm_val < 0 -// opcode: ori ; op1:x4; dest:x13; op1val:-0x800001; immval:-0x555 -TEST_IMM_OP( ori, x13, x4, 0xffffffff, -0x800001, -0x555, x1, 36, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_10: -// rs1==x15, rd==x10, rs1_val == -4194305, imm_val == -3 -// opcode: ori ; op1:x15; dest:x10; op1val:-0x400001; immval:-0x3 -TEST_IMM_OP( ori, x10, x15, 0xffffffff, -0x400001, -0x3, x3, 0, x5) - -inst_11: -// rs1==x7, rd==x4, rs1_val == -2097153, imm_val == 1365 -// opcode: ori ; op1:x7; dest:x4; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( ori, x4, x7, 0xffdfffff, -0x200001, 0x555, x3, 4, x5) - -inst_12: -// rs1==x2, rd==x6, rs1_val == -1048577, imm_val == -5 -// opcode: ori ; op1:x2; dest:x6; op1val:-0x100001; immval:-0x5 -TEST_IMM_OP( ori, x6, x2, 0xffffffff, -0x100001, -0x5, x3, 8, x5) - -inst_13: -// rs1==x8, rd==x1, rs1_val == -524289, -// opcode: ori ; op1:x8; dest:x1; op1val:-0x80001; immval:-0x3 -TEST_IMM_OP( ori, x1, x8, 0xffffffff, -0x80001, -0x3, x3, 12, x5) - -inst_14: -// rs1==x1, rd==x2, rs1_val == -262145, -// opcode: ori ; op1:x1; dest:x2; op1val:-0x40001; immval:0x333 -TEST_IMM_OP( ori, x2, x1, 0xfffbffff, -0x40001, 0x333, x3, 16, x5) - -inst_15: -// rs1==x14, rd==x8, rs1_val == -131073, -// opcode: ori ; op1:x14; dest:x8; op1val:-0x20001; immval:0x332 -TEST_IMM_OP( ori, x8, x14, 0xfffdffff, -0x20001, 0x332, x3, 20, x5) - -inst_16: -// rs1_val == -65537, imm_val == 4 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffeffff, -0x10001, 0x4, x3, 24, x5) - -inst_17: -// rs1_val == -32769, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff7fff, -0x8001, 0x555, x3, 28, x1) - -inst_18: -// rs1_val == -16385, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x4001; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffffbfff, -0x4001, 0x666, x3, 32, x1) - -inst_19: -// rs1_val == -8193, imm_val == -9 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2001, -0x9, x3, 36, x1) - -inst_20: -// rs1_val == -4097, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x1001, -0x2d, x3, 40, x1) - -inst_21: -// rs1_val == -2049, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x801; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xfffff7ff, -0x801, 0x666, x3, 44, x1) - -inst_22: -// rs1_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x401; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x401, -0x2c, x3, 48, x1) - -inst_23: -// rs1_val == -513, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x201; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, -0x201, 0x555, x3, 52, x1) - -inst_24: -// rs1_val == -257, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x3 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x101, -0x3, x3, 56, x1) - -inst_25: -// rs1_val == -129, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x81, -0x9, x3, 60, x1) - -inst_26: -// rs1_val == -65, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x41; immval:-0x4 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x41, -0x4, x3, 64, x1) - -inst_27: -// rs1_val == -33, imm_val == -17 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x21; immval:-0x11 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x21, -0x11, x3, 68, x1) - -inst_28: -// rs1_val == -17, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x5 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x11, -0x5, x3, 72, x1) - -inst_29: -// rs1_val == -9, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, -0x9, 0x4, x3, 76, x1) - -inst_30: -// rs1_val == -5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x5; immval:-0x7 -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x5, -0x7, x3, 80, x1) - -inst_31: -// rs1_val == -3, imm_val == -65 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x3; immval:-0x41 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x3, -0x41, x3, 84, x1) - -inst_32: -// rs1_val == -2, imm_val == -129 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x81 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2, -0x81, x3, 88, x1) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val == 8192 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( ori, x11, x10, 0x27ff, 0x2000, 0x7ff, x3, 92, x1) - -inst_34: -// imm_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x6, -0x401, x3, 96, x1) - -inst_35: -// imm_val == -513, rs1_val == 131072 -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x201 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, 0x20000, -0x201, x3, 100, x1) - -inst_36: -// imm_val == -257, rs1_val == 1431655765 -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x101, x3, 104, x1) - -inst_37: -// imm_val == -33, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x21, x3, 108, x1) - -inst_38: -// imm_val == -2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x200001; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x200001, -0x2, x3, 112, x1) - -inst_39: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: ori ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x80000000, -0x80000000, 0x0, x3, 116, x1) - -inst_40: -// rs1_val == 1073741824, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x40000000, -0x2, x3, 120, x1) - -inst_41: -// rs1_val == 536870912, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x20000555, 0x20000000, 0x555, x3, 124, x1) - -inst_42: -// rs1_val == 268435456, imm_val == -1366 -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000000; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x10000000, -0x556, x3, 128, x1) - -inst_43: -// rs1_val == 134217728, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x1 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x8000000, -0x1, x3, 132, x1) - -inst_44: -// rs1_val == 67108864, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x4000332, 0x4000000, 0x332, x3, 136, x1) - -inst_45: -// rs1_val == 33554432, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x2000556, 0x2000000, 0x556, x3, 140, x1) - -inst_46: -// rs1_val == 16777216, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x1000000, -0x401, x3, 144, x1) - -inst_47: -// rs1_val == 8388608, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800000; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xfffffeff, 0x800000, -0x101, x3, 148, x1) - -inst_48: -// rs1_val == 4194304, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x400000, 0x400000, 0x0, x3, 152, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100000; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffdf, 0x100000, -0x21, x3, 156, x1) - -inst_50: -// rs1_val == 524288, -// opcode: ori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x80000, -0x401, x3, 160, x1) - -inst_51: -// rs1_val == 262144, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x40556, 0x40000, 0x556, x3, 164, x1) - -inst_52: -// rs1_val == 65536, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( ori, x11, x10, 0x10001, 0x10000, 0x1, x3, 168, x1) - -inst_53: -// rs1_val == 32768, imm_val == 512 -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000; immval:0x200 -TEST_IMM_OP( ori, x11, x10, 0x8200, 0x8000, 0x200, x3, 172, x1) - -inst_54: -// rs1_val == 16384, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4000, -0x2c, x3, 176, x1) - -inst_55: -// rs1_val == 4096, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x1000, -0x8, x3, 180, x1) - -inst_56: -// rs1_val == 2048, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x800, -0x8, x3, 184, x1) - -inst_57: -// rs1_val == 1024, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x400, -0x2d, x3, 188, x1) - -inst_58: -// rs1_val == 512, -// opcode: ori ; op1:x10; dest:x11; op1val:0x200; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x200, -0x2c, x3, 192, x1) - -inst_59: -// rs1_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x102, 0x100, 0x2, x3, 196, x1) - -inst_60: -// rs1_val == 128, rs1_val == imm_val, imm_val == 128 -// opcode: ori ; op1:x10; dest:x11; op1val:0x80; immval:0x80 -TEST_IMM_OP( ori, x11, x10, 0x80, 0x80, 0x80, x3, 200, x1) - -inst_61: -// rs1_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x46, 0x40, 0x6, x3, 204, x1) - -inst_62: -// rs1_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x20, -0x2c, x3, 208, x1) - -inst_63: -// rs1_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x10, 0x555, x3, 212, x1) - -inst_64: -// rs1_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8; immval:-0xa -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x8, -0xa, x3, 216, x1) - -inst_65: -// rs1_val == 4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x3ff, 0x4, 0x3ff, x3, 220, x1) - -inst_66: -// rs1_val == 2, rs1_val==2 and imm_val==2 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x2, x3, 224, x1) - -inst_67: -// rs1_val == 1, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x1, 0x1, 0x0, x3, 228, x1) - -inst_68: -// imm_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x100 -TEST_IMM_OP( ori, x11, x10, 0x20000100, 0x20000000, 0x100, x3, 232, x1) - -inst_69: -// imm_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( ori, x11, x10, 0x44, 0x4, 0x40, x3, 236, x1) - -inst_70: -// imm_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x20 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x20, x3, 240, x1) - -inst_71: -// imm_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x10 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x10, x3, 244, x1) - -inst_72: -// imm_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, -0x8, 0x8, x3, 248, x1) - -inst_73: -// rs1_val==46341 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb505, 0x2e, x3, 252, x1) - -inst_74: -// rs1_val==46341 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0xb505, -0x2c, x3, 256, x1) - -inst_75: -// rs1_val==46341 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x667, x3, 260, x1) - -inst_76: -// rs1_val==46341 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb735, 0xb505, 0x334, x3, 264, x1) - -inst_77: -// rs1_val==46341 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x6, x3, 268, x1) - -inst_78: -// rs1_val==46341 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x555, x3, 272, x1) - -inst_79: -// rs1_val==46341 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb505, 0x556, x3, 276, x1) - -inst_80: -// rs1_val==46341 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x4, x3, 280, x1) - -inst_81: -// rs1_val==46341 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2c, x3, 284, x1) - -inst_82: -// rs1_val==46341 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x0, x3, 288, x1) - -inst_83: -// rs1_val==46341 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb505, 0x665, x3, 292, x1) - -inst_84: -// rs1_val==46341 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x332, x3, 296, x1) - -inst_85: -// rs1_val==46341 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x554, x3, 300, x1) - -inst_86: -// rs1_val==46341 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x2, x3, 304, x1) - -inst_87: -// rs1_val==46341 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2d, x3, 308, x1) - -inst_88: -// rs1_val==46341 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb505, -0x2d, x3, 312, x1) - -inst_89: -// rs1_val==46341 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x666, x3, 316, x1) - -inst_90: -// rs1_val==46341 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x333, x3, 320, x1) - -inst_91: -// rs1_val==46341 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x5, x3, 324, x1) - -inst_92: -// rs1_val==46341 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x556, x3, 328, x1) - -inst_93: -// rs1_val==46341 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x555, x3, 332, x1) - -inst_94: -// rs1_val==46341 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x3, x3, 336, x1) - -inst_95: -// rs1_val==-46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2e, x3, 340, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffd, -0xb503, -0x2c, x3, 344, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x667, x3, 348, x1) - -inst_98: -// rs1_val==-46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfd, -0xb503, 0x334, x3, 352, x1) - -inst_99: -// rs1_val==-46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x6, x3, 356, x1) - -inst_100: -// rs1_val==-46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x555, x3, 360, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4fff, -0xb503, 0x556, x3, 364, x1) - -inst_102: -// rs1_val==-46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x4, x3, 368, x1) - -inst_103: -// rs1_val==-46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2c, x3, 372, x1) - -inst_104: -// rs1_val==-46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 376, x1) - -inst_105: -// rs1_val==-46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb503, 0x665, x3, 380, x1) - -inst_106: -// rs1_val==-46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x332, x3, 384, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x554, x3, 388, x1) - -inst_108: -// rs1_val==-46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 392, x1) - -inst_109: -// rs1_val==-46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2d, x3, 396, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb503, -0x2d, x3, 400, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x666, x3, 404, x1) - -inst_112: -// rs1_val==-46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x333, x3, 408, x1) - -inst_113: -// rs1_val==-46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x5, x3, 412, x1) - -inst_114: -// rs1_val==-46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x556, x3, 416, x1) - -inst_115: -// rs1_val==-46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x555, x3, 420, x1) - -inst_116: -// rs1_val==-46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x3, x3, 424, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2e, x3, 428, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2c, x3, 432, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x667, x3, 436, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x334, x3, 440, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x6, x3, 444, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x555, x3, 448, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x556, x3, 452, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x4, x3, 456, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2c, x3, 460, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 464, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x665, x3, 468, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x332, x3, 472, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x554, x3, 476, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x2, x3, 480, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2d, x3, 484, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2d, x3, 488, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x666, x3, 492, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x333, x3, 496, x1) - -inst_135: -// rs1_val==1717986919 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x5, x3, 500, x1) - -inst_136: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x556, x3, 504, x1) - -inst_137: -// rs1_val==1717986919 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x555, x3, 508, x1) - -inst_138: -// rs1_val==1717986919 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x3, x3, 512, x1) - -inst_139: -// rs1_val==858993460 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333334, 0x2e, x3, 516, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x33333334, -0x2c, x3, 520, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333334, 0x667, x3, 524, x1) - -inst_142: -// rs1_val==858993460 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x334, x3, 528, x1) - -inst_143: -// rs1_val==858993460 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x6, x3, 532, x1) - -inst_144: -// rs1_val==858993460 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbf, 0x33333334, -0x555, x3, 536, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x556, x3, 540, x1) - -inst_146: -// rs1_val==858993460 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x4, x3, 544, x1) - -inst_147: -// rs1_val==858993460 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333c, 0x33333334, 0x2c, x3, 548, x1) - -inst_148: -// rs1_val==858993460 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 552, x1) - -inst_149: -// rs1_val==858993460 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x665, x3, 556, x1) - -inst_150: -// rs1_val==858993460 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x332, x3, 560, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333774, 0x33333334, 0x554, x3, 564, x1) - -inst_152: -// rs1_val==858993460 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 568, x1) - -inst_153: -// rs1_val==858993460 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333d, 0x33333334, 0x2d, x3, 572, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333334, -0x2d, x3, 576, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x666, x3, 580, x1) - -inst_156: -// rs1_val==858993460 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x333, x3, 584, x1) - -inst_157: -// rs1_val==858993460 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333335, 0x33333334, 0x5, x3, 588, x1) - -inst_158: -// rs1_val==858993460 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbe, 0x33333334, -0x556, x3, 592, x1) - -inst_159: -// rs1_val==858993460 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x555, x3, 596, x1) - -inst_160: -// rs1_val==858993460 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 600, x1) - -inst_161: -// rs1_val==6 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2e, x3, 604, x1) - -inst_162: -// rs1_val==6 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x6, -0x2c, x3, 608, x1) - -inst_163: -// rs1_val==6 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x667, x3, 612, x1) - -inst_164: -// rs1_val==6 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x334, x3, 616, x1) - -inst_165: -// rs1_val==6 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x6, x3, 620, x1) - -inst_166: -// rs1_val==6 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x6, -0x555, x3, 624, x1) - -inst_167: -// rs1_val==6 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x556, x3, 628, x1) - -inst_168: -// rs1_val==6 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x4, x3, 632, x1) - -inst_169: -// rs1_val==6 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2c, x3, 636, x1) - -inst_170: -// rs1_val==6 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x0, x3, 640, x1) - -inst_171: -// rs1_val==6 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x665, x3, 644, x1) - -inst_172: -// rs1_val==6 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x332, x3, 648, x1) - -inst_173: -// rs1_val==6 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x554, x3, 652, x1) - -inst_174: -// rs1_val==6 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x2, x3, 656, x1) - -inst_175: -// rs1_val==6 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x6, 0x2d, x3, 660, x1) - -inst_176: -// rs1_val==6 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x6, -0x2d, x3, 664, x1) - -inst_177: -// rs1_val==6 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x6, 0x666, x3, 668, x1) - -inst_178: -// rs1_val==6 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x6, 0x333, x3, 672, x1) - -inst_179: -// rs1_val==6 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x5, x3, 676, x1) - -inst_180: -// rs1_val==6 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x6, -0x556, x3, 680, x1) - -inst_181: -// rs1_val==6 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x6, 0x555, x3, 684, x1) - -inst_182: -// rs1_val==6 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x3, x3, 688, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2e, x3, 692, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x2c, x3, 696, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x667, x3, 700, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbf, -0x55555555, 0x334, x3, 704, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x6, x3, 708, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x555, x3, 712, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x556, x3, 716, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 720, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2c, x3, 724, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 728, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x665, x3, 732, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x332, x3, 736, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 740, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x2, x3, 744, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2d, x3, 748, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555555, -0x2d, x3, 752, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x666, x3, 756, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x333, x3, 760, x1) - -inst_201: -// rs1_val==-1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x5, x3, 764, x1) - -inst_202: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x556, x3, 768, x1) - -inst_203: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x555, x3, 772, x1) - -inst_204: -// rs1_val==-1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x3, x3, 776, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2e, x3, 780, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x55555556, -0x2c, x3, 784, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x667, x3, 788, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x334, x3, 792, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x6, x3, 796, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555556, -0x555, x3, 800, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x556, x3, 804, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x4, x3, 808, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2c, x3, 812, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 816, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x665, x3, 820, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x332, x3, 824, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x554, x3, 828, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x2, x3, 832, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555556, 0x2d, x3, 836, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555556, -0x2d, x3, 840, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x666, x3, 844, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x333, x3, 848, x1) - -inst_223: -// rs1_val==1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x5, x3, 852, x1) - -inst_224: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555556, -0x556, x3, 856, x1) - -inst_225: -// rs1_val==1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x555, x3, 860, x1) - -inst_226: -// rs1_val==1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x3, x3, 864, x1) - -inst_227: -// rs1_val==4 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x4, 0x2e, x3, 868, x1) - -inst_228: -// rs1_val==4 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4, -0x2c, x3, 872, x1) - -inst_229: -// rs1_val==4 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x4, 0x667, x3, 876, x1) - -inst_230: -// rs1_val==4 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x4, 0x334, x3, 880, x1) - -inst_231: -// rs1_val==4 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x6, x3, 884, x1) - -inst_232: -// rs1_val==4 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 888, x1) - -inst_233: -// rs1_val==4 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x4, 0x556, x3, 892, x1) - -inst_234: -// rs1_val==4 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x4, x3, 896, x1) - -inst_235: -// rs1_val==4 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x4, 0x2c, x3, 900, x1) - -inst_236: -// rs1_val==4 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x0, x3, 904, x1) - -inst_237: -// rs1_val==4 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x4, 0x665, x3, 908, x1) - -inst_238: -// rs1_val==4 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x4, 0x332, x3, 912, x1) - -inst_239: -// rs1_val==4 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x4, 0x554, x3, 916, x1) - -inst_240: -// rs1_val==4 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x2, x3, 920, x1) - -inst_241: -// rs1_val==4 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x4, 0x2d, x3, 924, x1) - -inst_242: -// rs1_val==4 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 928, x1) - -inst_243: -// rs1_val==4 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x4, 0x666, x3, 932, x1) - -inst_244: -// rs1_val==4 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x4, 0x333, x3, 936, x1) - -inst_245: -// rs1_val==4 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x4, 0x5, x3, 940, x1) - -inst_246: -// rs1_val==4 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 944, x1) - -inst_247: -// rs1_val==4 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x4, 0x555, x3, 948, x1) - -inst_248: -// rs1_val==4 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x4, 0x3, x3, 952, x1) - -inst_249: -// rs1_val==46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2e, x3, 956, x1) - -inst_250: -// rs1_val==46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb503, -0x2c, x3, 960, x1) - -inst_251: -// rs1_val==46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x667, x3, 964, x1) - -inst_252: -// rs1_val==46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb503, 0x334, x3, 968, x1) - -inst_253: -// rs1_val==46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x6, x3, 972, x1) - -inst_254: -// rs1_val==46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x555, x3, 976, x1) - -inst_255: -// rs1_val==46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x556, x3, 980, x1) - -inst_256: -// rs1_val==46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x4, x3, 984, x1) - -inst_257: -// rs1_val==46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 988, x1) - -inst_258: -// rs1_val==46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x0, x3, 992, x1) - -inst_259: -// rs1_val==46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x665, x3, 996, x1) - -inst_260: -// rs1_val==46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x332, x3, 1000, x1) - -inst_261: -// rs1_val==46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x554, x3, 1004, x1) - -inst_262: -// rs1_val==46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x2, x3, 1008, x1) - -inst_263: -// rs1_val==46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2d, x3, 1012, x1) - -inst_264: -// rs1_val==46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0xb503, -0x2d, x3, 1016, x1) - -inst_265: -// rs1_val==46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x666, x3, 1020, x1) - -inst_266: -// rs1_val==46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x333, x3, 1024, x1) - -inst_267: -// rs1_val==46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x5, x3, 1028, x1) - -inst_268: -// rs1_val==46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x556, x3, 1032, x1) - -inst_269: -// rs1_val==46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x555, x3, 1036, x1) - -inst_270: -// rs1_val==46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x3, x3, 1040, x1) - -inst_271: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1044, x1) - -inst_272: -// rs1_val==0 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1048, x1) - -inst_273: -// rs1_val==0 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x0, 0x667, x3, 1052, x1) - -inst_274: -// rs1_val==0 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x0, 0x334, x3, 1056, x1) - -inst_275: -// rs1_val==0 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x0, 0x6, x3, 1060, x1) - -inst_276: -// rs1_val==0 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1064, x1) - -inst_277: -// rs1_val==0 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x0, 0x556, x3, 1068, x1) - -inst_278: -// rs1_val==0 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x0, 0x4, x3, 1072, x1) - -inst_279: -// rs1_val==0 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1076, x1) - -inst_280: -// rs1_val==0 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x0, 0x0, 0x0, x3, 1080, x1) - -inst_281: -// rs1_val==0 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x0, 0x665, x3, 1084, x1) - -inst_282: -// rs1_val==0 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x0, 0x332, x3, 1088, x1) - -inst_283: -// rs1_val==0 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x0, 0x554, x3, 1092, x1) - -inst_284: -// rs1_val==0 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x0, 0x2, x3, 1096, x1) - -inst_285: -// rs1_val==0 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1100, x1) - -inst_286: -// rs1_val==0 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1104, x1) - -inst_287: -// rs1_val==0 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x0, 0x666, x3, 1108, x1) - -inst_288: -// rs1_val==0 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x0, 0x333, x3, 1112, x1) - -inst_289: -// rs1_val==0 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x0, 0x5, x3, 1116, x1) - -inst_290: -// rs1_val==0 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1120, x1) - -inst_291: -// rs1_val==0 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x0, 0x555, x3, 1124, x1) - -inst_292: -// rs1_val==0 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x0, 0x3, x3, 1128, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666665, 0x2e, x3, 1132, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff5, 0x66666665, -0x2c, x3, 1136, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x667, x3, 1140, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x334, x3, 1144, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x6, x3, 1148, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x555, x3, 1152, x1) - -inst_299: -// rs1_val==1717986917 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x556, x3, 1156, x1) - -inst_300: -// rs1_val==1717986917 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x4, x3, 1160, x1) - -inst_301: -// rs1_val==1717986917 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2c, x3, 1164, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555556, -0x555, x3, 1168, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x556, x3, 1172, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1176, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2c, x3, 1180, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1184, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x665, x3, 1188, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabba, -0x55555556, 0x332, x3, 1192, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1196, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x2, x3, 1200, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x2d, x3, 1204, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555556, -0x2d, x3, 1208, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeee, -0x55555556, 0x666, x3, 1212, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555556, 0x333, x3, 1216, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1220, x1) - -inst_316: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, -0x55555556, -0x556, x3, 1224, x1) - -inst_317: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1228, x1) - -inst_318: -// rs1_val==-1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555556, 0x3, x3, 1232, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555555, 0x2e, x3, 1236, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x55555555, -0x2c, x3, 1240, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x667, x3, 1244, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x334, x3, 1248, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x6, x3, 1252, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x555, x3, 1256, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x556, x3, 1260, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x4, x3, 1264, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2c, x3, 1268, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1272, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x665, x3, 1276, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x332, x3, 1280, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x554, x3, 1284, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1288, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2d, x3, 1292, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555555, -0x2d, x3, 1296, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x666, x3, 1300, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x333, x3, 1304, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x5, x3, 1308, x1) - -inst_338: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x556, x3, 1312, x1) - -inst_339: -// rs1_val==1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x555, x3, 1316, x1) - -inst_340: -// rs1_val==1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x3, x3, 1320, x1) - -inst_341: -// rs1_val==3 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2e, x3, 1324, x1) - -inst_342: -// rs1_val==3 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1328, x1) - -inst_343: -// rs1_val==3 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x667, x3, 1332, x1) - -inst_344: -// rs1_val==3 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x3, 0x334, x3, 1336, x1) - -inst_345: -// rs1_val==3 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x6, x3, 1340, x1) - -inst_346: -// rs1_val==3 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x555, x3, 1344, x1) - -inst_347: -// rs1_val==3 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x556, x3, 1348, x1) - -inst_348: -// rs1_val==3 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x4, x3, 1352, x1) - -inst_349: -// rs1_val==3 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1356, x1) - -inst_350: -// rs1_val==3 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x0, x3, 1360, x1) - -inst_351: -// rs1_val==3 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x665, x3, 1364, x1) - -inst_352: -// rs1_val==3 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x332, x3, 1368, x1) - -inst_353: -// rs1_val==3 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x554, x3, 1372, x1) - -inst_354: -// rs1_val==3 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x2, x3, 1376, x1) - -inst_355: -// rs1_val==3 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2d, x3, 1380, x1) - -inst_356: -// rs1_val==3 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x3, -0x2d, x3, 1384, x1) - -inst_357: -// rs1_val==3 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x666, x3, 1388, x1) - -inst_358: -// rs1_val==3 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x333, x3, 1392, x1) - -inst_359: -// rs1_val==3 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x5, x3, 1396, x1) - -inst_360: -// rs1_val==3 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x556, x3, 1400, x1) - -inst_361: -// rs1_val==3 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x555, x3, 1404, x1) - -inst_362: -// rs1_val==3 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x3, x3, 1408, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1412, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x665, x3, 1416, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x332, x3, 1420, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x554, x3, 1424, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1428, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2d, x3, 1432, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666665, -0x2d, x3, 1436, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x666, x3, 1440, x1) - -inst_371: -// rs1_val==1717986917 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x333, x3, 1444, x1) - -inst_372: -// rs1_val==1717986917 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x5, x3, 1448, x1) - -inst_373: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x556, x3, 1452, x1) - -inst_374: -// rs1_val==1717986917 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x555, x3, 1456, x1) - -inst_375: -// rs1_val==1717986917 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x3, x3, 1460, x1) - -inst_376: -// rs1_val==858993458 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2e, x3, 1464, x1) - -inst_377: -// rs1_val==858993458 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x33333332, -0x2c, x3, 1468, x1) - -inst_378: -// rs1_val==858993458 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x667, x3, 1472, x1) - -inst_379: -// rs1_val==858993458 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x334, x3, 1476, x1) - -inst_380: -// rs1_val==858993458 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x6, x3, 1480, x1) - -inst_381: -// rs1_val==858993458 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333332, -0x555, x3, 1484, x1) - -inst_382: -// rs1_val==858993458 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x556, x3, 1488, x1) - -inst_383: -// rs1_val==858993458 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1492, x1) - -inst_384: -// rs1_val==858993458 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2c, x3, 1496, x1) - -inst_385: -// rs1_val==858993458 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1500, x1) - -inst_386: -// rs1_val==858993458 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x665, x3, 1504, x1) - -inst_387: -// rs1_val==858993458 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x332, x3, 1508, x1) - -inst_388: -// rs1_val==858993458 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x554, x3, 1512, x1) - -inst_389: -// rs1_val==858993458 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x2, x3, 1516, x1) - -inst_390: -// rs1_val==858993458 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333332, 0x2d, x3, 1520, x1) - -inst_391: -// rs1_val==858993458 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333332, -0x2d, x3, 1524, x1) - -inst_392: -// rs1_val==858993458 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x666, x3, 1528, x1) - -inst_393: -// rs1_val==858993458 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x333, x3, 1532, x1) - -inst_394: -// rs1_val==858993458 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1536, x1) - -inst_395: -// rs1_val==858993458 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbba, 0x33333332, -0x556, x3, 1540, x1) - -inst_396: -// rs1_val==858993458 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x555, x3, 1544, x1) - -inst_397: -// rs1_val==858993458 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x3, x3, 1548, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555554, 0x2e, x3, 1552, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x55555554, -0x2c, x3, 1556, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x667, x3, 1560, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555774, 0x55555554, 0x334, x3, 1564, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x6, x3, 1568, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555554, -0x555, x3, 1572, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x556, x3, 1576, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x4, x3, 1580, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557c, 0x55555554, 0x2c, x3, 1584, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1588, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555554, 0x665, x3, 1592, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x332, x3, 1596, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x554, x3, 1600, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1604, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555554, 0x2d, x3, 1608, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555554, -0x2d, x3, 1612, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x666, x3, 1616, x1) - -inst_415: -// rs1_val==1431655764 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x333, x3, 1620, x1) - -inst_416: -// rs1_val==1431655764 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x5, x3, 1624, x1) - -inst_417: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555554, -0x556, x3, 1628, x1) - -inst_418: -// rs1_val==1431655764 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x555, x3, 1632, x1) - -inst_419: -// rs1_val==1431655764 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1636, x1) - -inst_420: -// rs1_val==2 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2e, x3, 1640, x1) - -inst_421: -// rs1_val==2 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1644, x1) - -inst_422: -// rs1_val==2 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x667, x3, 1648, x1) - -inst_423: -// rs1_val==2 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x2, 0x334, x3, 1652, x1) - -inst_424: -// rs1_val==2 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x6, x3, 1656, x1) - -inst_425: -// rs1_val==2 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x2, -0x555, x3, 1660, x1) - -inst_426: -// rs1_val==2 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x556, x3, 1664, x1) - -inst_427: -// rs1_val==2 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x4, x3, 1668, x1) - -inst_428: -// rs1_val==2 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1672, x1) - -inst_429: -// rs1_val==2 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x0, x3, 1676, x1) - -inst_430: -// rs1_val==2 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x665, x3, 1680, x1) - -inst_431: -// rs1_val==2 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x2, 0x332, x3, 1684, x1) - -inst_432: -// rs1_val==2 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x554, x3, 1688, x1) - -inst_433: -// rs1_val==2 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1692, x1) - -inst_434: -// rs1_val==2 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x2, -0x2d, x3, 1696, x1) - -inst_435: -// rs1_val==2 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x2, 0x666, x3, 1700, x1) - -inst_436: -// rs1_val==2 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x2, 0x333, x3, 1704, x1) - -inst_437: -// rs1_val==2 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x2, 0x5, x3, 1708, x1) - -inst_438: -// rs1_val==2 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x2, -0x556, x3, 1712, x1) - -inst_439: -// rs1_val==2 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x2, 0x555, x3, 1716, x1) - -inst_440: -// rs1_val==2 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x2, 0x3, x3, 1720, x1) - -inst_441: -// rs1_val==46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52e, 0xb504, 0x2e, x3, 1724, x1) - -inst_442: -// rs1_val==46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0xb504, -0x2c, x3, 1728, x1) - -inst_443: -// rs1_val==46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb504, 0x667, x3, 1732, x1) - -inst_444: -// rs1_val==46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb734, 0xb504, 0x334, x3, 1736, x1) - -inst_445: -// rs1_val==46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x6, x3, 1740, x1) - -inst_446: -// rs1_val==46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb504, -0x555, x3, 1744, x1) - -inst_447: -// rs1_val==46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb556, 0xb504, 0x556, x3, 1748, x1) - -inst_448: -// rs1_val==46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x4, x3, 1752, x1) - -inst_449: -// rs1_val==46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52c, 0xb504, 0x2c, x3, 1756, x1) - -inst_450: -// rs1_val==46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1760, x1) - -inst_451: -// rs1_val==46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb504, 0x665, x3, 1764, x1) - -inst_452: -// rs1_val==46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb736, 0xb504, 0x332, x3, 1768, x1) - -inst_453: -// rs1_val==46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb554, 0xb504, 0x554, x3, 1772, x1) - -inst_454: -// rs1_val==46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1776, x1) - -inst_455: -// rs1_val==46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb504, 0x2d, x3, 1780, x1) - -inst_456: -// rs1_val==46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb504, -0x2d, x3, 1784, x1) - -inst_457: -// rs1_val==46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb766, 0xb504, 0x666, x3, 1788, x1) - -inst_458: -// rs1_val==46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb504, 0x333, x3, 1792, x1) - -inst_459: -// rs1_val==46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb504, 0x5, x3, 1796, x1) - -inst_460: -// rs1_val==46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffae, 0xb504, -0x556, x3, 1800, x1) - -inst_461: -// rs1_val==46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb504, 0x555, x3, 1804, x1) - -inst_462: -// rs1_val==46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1808, x1) - -inst_463: -// rs1_val==-46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2e, x3, 1812, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffc, -0xb504, -0x2c, x3, 1816, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb504, 0x667, x3, 1820, x1) - -inst_466: -// rs1_val==-46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfc, -0xb504, 0x334, x3, 1824, x1) - -inst_467: -// rs1_val==-46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x6, x3, 1828, x1) - -inst_468: -// rs1_val==-46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb504, -0x555, x3, 1832, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffe, -0xb504, 0x556, x3, 1836, x1) - -inst_470: -// rs1_val==-46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x4, x3, 1840, x1) - -inst_471: -// rs1_val==-46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x2c, x3, 1844, x1) - -inst_472: -// rs1_val==-46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1848, x1) - -inst_473: -// rs1_val==-46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb504, 0x665, x3, 1852, x1) - -inst_474: -// rs1_val==-46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfe, -0xb504, 0x332, x3, 1856, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffc, -0xb504, 0x554, x3, 1860, x1) - -inst_476: -// rs1_val==-46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1864, x1) - -inst_477: -// rs1_val==-46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x2d, x3, 1868, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb504, -0x2d, x3, 1872, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4efe, -0xb504, 0x666, x3, 1876, x1) - -inst_480: -// rs1_val==-46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb504, 0x333, x3, 1880, x1) - -inst_481: -// rs1_val==-46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x5, x3, 1884, x1) - -inst_482: -// rs1_val==-46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffafe, -0xb504, -0x556, x3, 1888, x1) - -inst_483: -// rs1_val==-46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb504, 0x555, x3, 1892, x1) - -inst_484: -// rs1_val==-46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1896, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2e, x3, 1900, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x66666666, -0x2c, x3, 1904, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x667, x3, 1908, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x334, x3, 1912, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x6, x3, 1916, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666666, -0x555, x3, 1920, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x556, x3, 1924, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x4, x3, 1928, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2c, x3, 1932, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1936, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x665, x3, 1940, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x332, x3, 1944, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x554, x3, 1948, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x2, x3, 1952, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666666, 0x2d, x3, 1956, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666666, -0x2d, x3, 1960, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x666, x3, 1964, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x333, x3, 1968, x1) - -inst_503: -// rs1_val==1717986918 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x5, x3, 1972, x1) - -inst_504: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeee, 0x66666666, -0x556, x3, 1976, x1) - -inst_505: -// rs1_val==1717986918 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x555, x3, 1980, x1) - -inst_506: -// rs1_val==1717986918 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x3, x3, 1984, x1) - -inst_507: -// rs1_val==858993459 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2e, x3, 1988, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333333, -0x2c, x3, 1992, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x667, x3, 1996, x1) - -inst_510: -// rs1_val==858993459 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x334, x3, 2000, x1) - -inst_511: -// rs1_val==858993459 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x6, x3, 2004, x1) - -inst_512: -// rs1_val==858993459 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x555, x3, 2008, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x556, x3, 2012, x1) - -inst_514: -// rs1_val==858993459 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2016, x1) - -inst_515: -// rs1_val==858993459 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2c, x3, 2020, x1) - -inst_516: -// rs1_val==858993459 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2024, x1) - -inst_517: -// rs1_val==858993459 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x665, x3, 2028, x1) - -inst_518: -// rs1_val==858993459 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x332, x3, 2032, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x554, x3, 2036, x1) - -inst_520: -// rs1_val==858993459 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x2, x3, 2040, x1) - -inst_521: -// rs1_val==858993459 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2d, x3, 2044, x1) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_522: -// rs1_val==858993459 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333333, -0x2d, x3, 0, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x666, x3, 4, x1) - -inst_524: -// rs1_val==858993459 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x333, x3, 8, x1) - -inst_525: -// rs1_val==858993459 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x5, x3, 12, x1) - -inst_526: -// rs1_val==858993459 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x556, x3, 16, x1) - -inst_527: -// rs1_val==858993459 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x555, x3, 20, x1) - -inst_528: -// rs1_val==858993459 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x3, x3, 24, x1) - -inst_529: -// rs1_val==5 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x5, 0x2e, x3, 28, x1) - -inst_530: -// rs1_val==5 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x5, -0x2c, x3, 32, x1) - -inst_531: -// rs1_val==5 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x667, x3, 36, x1) - -inst_532: -// rs1_val==5 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x335, 0x5, 0x334, x3, 40, x1) - -inst_533: -// rs1_val==5 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x6, x3, 44, x1) - -inst_534: -// rs1_val==5 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x555, x3, 48, x1) - -inst_535: -// rs1_val==5 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x5, 0x556, x3, 52, x1) - -inst_536: -// rs1_val==5 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x4, x3, 56, x1) - -inst_537: -// rs1_val==5 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2c, x3, 60, x1) - -inst_538: -// rs1_val==5 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x0, x3, 64, x1) - -inst_539: -// rs1_val==5 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x5, 0x665, x3, 68, x1) - -inst_540: -// rs1_val==5 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x332, x3, 72, x1) - -inst_541: -// rs1_val==5 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x554, x3, 76, x1) - -inst_542: -// rs1_val==5 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x2, x3, 80, x1) - -inst_543: -// rs1_val==5 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2d, x3, 84, x1) - -inst_544: -// rs1_val==5 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x5, -0x2d, x3, 88, x1) - -inst_545: -// rs1_val==5 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x666, x3, 92, x1) - -inst_546: -// rs1_val==5 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x333, x3, 96, x1) - -inst_547: -// rs1_val==5 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x5, x3, 100, x1) - -inst_548: -// rs1_val==5 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 104, x1) - -inst_549: -// rs1_val==5 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x555, x3, 108, x1) - -inst_550: -// rs1_val==5 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x3, x3, 112, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2e, x3, 116, x1) - -inst_552: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, -0x55555556, -0x2c, x3, 120, x1) - -inst_553: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x667, x3, 124, x1) - -inst_554: -// rs1_val==-1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbe, -0x55555556, 0x334, x3, 128, x1) - -inst_555: -// rs1_val==-1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x6, x3, 132, x1) - -inst_556: -// rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x10; dest:x11; op1val:0x7fffffff; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x7fffffff, 0x7fffffff, 0x3ff, x3, 136, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 35*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S deleted file mode 100644 index 2684e12d0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S +++ /dev/null @@ -1,465 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sb instruction of the RISC-V E extension for the sb-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sb-align) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2, rs1==x4, rs2==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode: sb; op1:x4; op2:x0; op2val:0x0; immval:0x8; align:0 -TEST_STORE(x3,x5,0,x4,x0,0x0,0x8,0,sb,0) - -inst_1: -// rs1==x8, rs2==x11, rs2_val == 2147483647, imm_val < 0, ea_align == 0 and (imm_val % 4) == 3, rs2_val == (2**(xlen-1)-1) -// opcode: sb; op1:x8; op2:x11; op2val:0x7fffffff; immval:-0x41; align:0 -TEST_STORE(x3,x5,0,x8,x11,0x7fffffff,-0x41,4,sb,0) - -inst_2: -// rs1==x1, rs2==x15, rs2_val == -1073741825, -// opcode: sb; op1:x1; op2:x15; op2val:-0x40000001; immval:-0x11; align:0 -TEST_STORE(x3,x5,0,x1,x15,-0x40000001,-0x11,8,sb,0) - -inst_3: -// rs1==x14, rs2==x2, rs2_val == -536870913, -// opcode: sb; op1:x14; op2:x2; op2val:-0x20000001; immval:-0x101; align:0 -TEST_STORE(x3,x5,0,x14,x2,-0x20000001,-0x101,12,sb,0) - -inst_4: -// rs1==x15, rs2==x8, rs2_val == -268435457, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sb; op1:x15; op2:x8; op2val:-0x10000001; immval:-0x3; align:0 -TEST_STORE(x3,x5,0,x15,x8,-0x10000001,-0x3,16,sb,0) - -inst_5: -// rs1==x6, rs2==x7, rs2_val == -134217729, -// opcode: sb; op1:x6; op2:x7; op2val:-0x8000001; immval:-0x8; align:0 -TEST_STORE(x3,x5,0,x6,x7,-0x8000001,-0x8,20,sb,0) - -inst_6: -// rs1==x2, rs2==x10, rs2_val == -67108865, -// opcode: sb; op1:x2; op2:x10; op2val:-0x4000001; immval:0x7ff; align:0 -TEST_STORE(x3,x5,0,x2,x10,-0x4000001,0x7ff,24,sb,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == -33554433, -// opcode: sb; op1:x13; op2:x6; op2val:-0x2000001; immval:0x555; align:0 -TEST_STORE(x3,x5,0,x13,x6,-0x2000001,0x555,28,sb,0) - -inst_8: -// rs1==x7, rs2==x4, rs2_val == -16777217, -// opcode: sb; op1:x7; op2:x4; op2val:-0x1000001; immval:0x100; align:0 -TEST_STORE(x3,x2,0,x7,x4,-0x1000001,0x100,32,sb,0) - -inst_9: -// rs1==x9, rs2==x1, rs2_val == -8388609, -// opcode: sb; op1:x9; op2:x1; op2val:-0x800001; immval:-0x3; align:0 -TEST_STORE(x3,x2,0,x9,x1,-0x800001,-0x3,36,sb,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x10, rs2==x12, rs2_val == -4194305, -// opcode: sb; op1:x10; op2:x12; op2val:-0x400001; immval:0x1; align:0 -TEST_STORE(x1,x2,0,x10,x12,-0x400001,0x1,0,sb,0) - -inst_11: -// rs1==x3, rs2==x13, rs2_val == -2097153, -// opcode: sb; op1:x3; op2:x13; op2val:-0x200001; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x3,x13,-0x200001,0x80,4,sb,0) - -inst_12: -// rs1==x11, rs2==x5, rs2_val == -1048577, -// opcode: sb; op1:x11; op2:x5; op2val:-0x100001; immval:-0x401; align:0 -TEST_STORE(x1,x2,0,x11,x5,-0x100001,-0x401,8,sb,0) - -inst_13: -// rs1==x5, rs2==x9, rs2_val == -524289, -// opcode: sb; op1:x5; op2:x9; op2val:-0x80001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x5,x9,-0x80001,-0x800,12,sb,0) - -inst_14: -// rs1==x12, rs2==x3, rs2_val == -262145, -// opcode: sb; op1:x12; op2:x3; op2val:-0x40001; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x12,x3,-0x40001,-0x9,16,sb,0) - -inst_15: -// rs2==x14, rs2_val == -131073, -// opcode: sb; op1:x4; op2:x14; op2val:-0x20001; immval:0x8; align:0 -TEST_STORE(x1,x2,0,x4,x14,-0x20001,0x8,20,sb,0) - -inst_16: -// rs2_val == -65537, -// opcode: sb; op1:x10; op2:x11; op2val:-0x10001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x10001,-0x800,24,sb,0) - -inst_17: -// rs2_val == -32769, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8001; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x9,28,sb,0) - -inst_18: -// rs2_val == -16385, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sb; op1:x10; op2:x11; op2val:-0x4001; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x4001,-0x556,32,sb,0) - -inst_19: -// rs2_val == -8193, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2001; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x7ff,36,sb,0) - -inst_20: -// rs2_val == -4097, -// opcode: sb; op1:x10; op2:x11; op2val:-0x1001; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x7,40,sb,0) - -inst_21: -// rs2_val == -2049, -// opcode: sb; op1:x10; op2:x11; op2val:-0x801; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x801,-0x800,44,sb,0) - -inst_22: -// rs2_val == -1025, -// opcode: sb; op1:x10; op2:x11; op2val:-0x401; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x401,0x9,48,sb,0) - -inst_23: -// rs2_val == -513, -// opcode: sb; op1:x10; op2:x11; op2val:-0x201; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x201,-0x3,52,sb,0) - -inst_24: -// rs2_val == -257, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x101,-0x41,56,sb,0) - -inst_25: -// rs2_val == -129, -// opcode: sb; op1:x10; op2:x11; op2val:-0x81; immval:0x2; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x81,0x2,60,sb,0) - -inst_26: -// rs2_val == -65, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x41,-0xa,64,sb,0) - -inst_27: -// rs2_val == -33, -// opcode: sb; op1:x10; op2:x11; op2val:-0x21; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x21,-0x41,68,sb,0) - -inst_28: -// rs2_val == -17, -// opcode: sb; op1:x10; op2:x11; op2val:-0x11; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x11,0x3,72,sb,0) - -inst_29: -// rs2_val == -9, -// opcode: sb; op1:x10; op2:x11; op2val:-0x9; immval:0x10; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x9,0x10,76,sb,0) - -inst_30: -// rs2_val == -5, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:-0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x5,-0x7,80,sb,0) - -inst_31: -// rs2_val == -3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x3; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x3,0x555,84,sb,0) - -inst_32: -// rs2_val == -2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2,-0xa,88,sb,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sb; op1:x10; op2:x11; op2val:-0x80000000; immval:-0x8; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x80000000,-0x8,92,sb,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000000,-0x5,96,sb,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000000,-0x41,100,sb,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0xa,104,sb,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x555,108,sb,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sb; op1:x10; op2:x11; op2val:0x4000000; immval:-0x81; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000000,-0x81,112,sb,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,116,sb,0) - -inst_40: -// rs2_val == 16777216, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000000,-0x41,120,sb,0) - -inst_41: -// rs2_val == 8388608, -// opcode: sb; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800000,-0x5,124,sb,0) - -inst_42: -// rs2_val == 4194304, -// opcode: sb; op1:x10; op2:x11; op2val:0x400000; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400000,-0x9,128,sb,0) - -inst_43: -// rs2_val == 2097152, -// opcode: sb; op1:x10; op2:x11; op2val:0x200000; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200000,0x7ff,132,sb,0) - -inst_44: -// rs2_val == 1048576, -// opcode: sb; op1:x10; op2:x11; op2val:0x100000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100000,-0x41,136,sb,0) - -inst_45: -// rs2_val == 524288, -// opcode: sb; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80000,-0x3,140,sb,0) - -inst_46: -// rs2_val == 262144, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000; immval:0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000,0x6,144,sb,0) - -inst_47: -// rs2_val == 131072, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000,0x80,148,sb,0) - -inst_48: -// rs2_val == 65536, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000,0x555,152,sb,0) - -inst_49: -// rs2_val == 32768, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x5,156,sb,0) - -inst_50: -// rs2_val == 1, -// opcode: sb; op1:x10; op2:x11; op2val:0x1; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1,0x400,160,sb,0) - -inst_51: -// rs2_val == -1431655766, -// opcode: sb; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x55555556,-0x6,164,sb,0) - -inst_52: -// rs2_val == 1431655765, -// opcode: sb; op1:x10; op2:x11; op2val:0x55555555; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x555,168,sb,0) - -inst_53: -// ea_align == 1 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:0x20; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x5,0x20,172,sb,1) - -inst_54: -// ea_align == 1 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:0x5; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x101,0x5,176,sb,1) - -inst_55: -// ea_align == 1 and (imm_val % 4) == 2, rs2_val == 8 -// opcode: sb; op1:x10; op2:x11; op2val:0x8; immval:-0x2; align:1 -TEST_STORE(x1,x2,0,x10,x11,0x8,-0x2,180,sb,1) - -inst_56: -// ea_align == 1 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:-0x201; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x8,-0x201,184,sb,1) - -inst_57: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,188,sb,2) - -inst_58: -// ea_align == 2 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:0x9; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x41,0x9,192,sb,2) - -inst_59: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:0x2; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x8,0x2,196,sb,2) - -inst_60: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x9; immval:-0x5; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x9,-0x5,200,sb,2) - -inst_61: -// ea_align == 3 and (imm_val % 4) == 0, rs2_val == 16 -// opcode: sb; op1:x10; op2:x11; op2val:0x10; immval:0x400; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10,0x400,204,sb,3) - -inst_62: -// ea_align == 3 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x200001; immval:0x555; align:3 -TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x555,208,sb,3) - -inst_63: -// ea_align == 3 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0x556; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0x556,212,sb,3) - -inst_64: -// rs2_val == 64, -// opcode: sb; op1:x10; op2:x11; op2val:0x40; immval:0x20; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40,0x20,216,sb,0) - -inst_65: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x3ff; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x3ff,220,sb,3) - -inst_66: -// rs2_val == 16384, imm_val == 0 -// opcode: sb; op1:x10; op2:x11; op2val:0x4000; immval:0x0; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000,0x0,224,sb,0) - -inst_67: -// rs2_val == 8192, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000; immval:0x40; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000,0x40,228,sb,0) - -inst_68: -// rs2_val == 4096, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000,0x9,232,sb,0) - -inst_69: -// rs2_val == 2048, -// opcode: sb; op1:x10; op2:x11; op2val:0x800; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800,-0x556,236,sb,0) - -inst_70: -// rs2_val == 512, -// opcode: sb; op1:x10; op2:x11; op2val:0x200; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200,0x5,240,sb,0) - -inst_71: -// rs2_val == 1024, -// opcode: sb; op1:x10; op2:x11; op2val:0x400; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400,-0x11,244,sb,0) - -inst_72: -// rs2_val == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x0; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x0,-0x11,248,sb,0) - -inst_73: -// rs2_val == 256, -// opcode: sb; op1:x10; op2:x11; op2val:0x100; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100,0x80,252,sb,0) - -inst_74: -// rs2_val == 128, -// opcode: sb; op1:x10; op2:x11; op2val:0x80; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80,0x7,256,sb,0) - -inst_75: -// rs2_val == 32, -// opcode: sb; op1:x10; op2:x11; op2val:0x20; immval:0x200; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20,0x200,260,sb,0) - -inst_76: -// rs2_val == 4, -// opcode: sb; op1:x10; op2:x11; op2val:0x4; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4,0x3,264,sb,0) - -inst_77: -// rs2_val == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2,0x400,268,sb,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 68*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S deleted file mode 100644 index 6dd42781a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S +++ /dev/null @@ -1,435 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sh instruction of the RISC-V E extension for the sh-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sh-align) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs1 != rs2, rs1==x6, rs2==x4, ea_align == 0 and (imm_val % 4) == 0, rs2_val == 1, imm_val > 0 -// opcode: sh; op1:x6; op2:x4; op2val:0x1; immval:0x4; align:0 -TEST_STORE(x8,x12,0,x6,x4,0x1,0x4,0,sh,0) - -inst_1: -// rs1==x10, rs2==x5, rs2_val == 2147483647, imm_val == 0, rs2_val == (2**(xlen-1)-1) -// opcode: sh; op1:x10; op2:x5; op2val:0x7fffffff; immval:0x0; align:0 -TEST_STORE(x8,x12,0,x10,x5,0x7fffffff,0x0,4,sh,0) - -inst_2: -// rs1==x9, rs2==x10, rs2_val == -1073741825, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sh; op1:x9; op2:x10; op2val:-0x40000001; immval:0x2; align:0 -TEST_STORE(x8,x12,0,x9,x10,-0x40000001,0x2,8,sh,0) - -inst_3: -// rs1==x2, rs2==x11, rs2_val == -536870913, imm_val < 0 -// opcode: sh; op1:x2; op2:x11; op2val:-0x20000001; immval:-0x8; align:0 -TEST_STORE(x8,x12,0,x2,x11,-0x20000001,-0x8,12,sh,0) - -inst_4: -// rs1==x13, rs2==x1, rs2_val == -268435457, -// opcode: sh; op1:x13; op2:x1; op2val:-0x10000001; immval:0x8; align:0 -TEST_STORE(x8,x12,0,x13,x1,-0x10000001,0x8,16,sh,0) - -inst_5: -// rs1==x7, rs2==x3, rs2_val == -134217729, -// opcode: sh; op1:x7; op2:x3; op2val:-0x8000001; immval:0x80; align:0 -TEST_STORE(x8,x12,0,x7,x3,-0x8000001,0x80,20,sh,0) - -inst_6: -// rs1==x3, rs2==x6, rs2_val == -67108865, -// opcode: sh; op1:x3; op2:x6; op2val:-0x4000001; immval:0x6; align:0 -TEST_STORE(x8,x9,0,x3,x6,-0x4000001,0x6,24,sh,0) - -inst_7: -// rs1==x12, rs2==x2, rs2_val == -33554433, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sh; op1:x12; op2:x2; op2val:-0x2000001; immval:0x7; align:0 -TEST_STORE(x8,x9,0,x12,x2,-0x2000001,0x7,28,sh,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x5, rs2==x13, rs2_val == -16777217, -// opcode: sh; op1:x5; op2:x13; op2val:-0x1000001; immval:-0x800; align:0 -TEST_STORE(x2,x9,0,x5,x13,-0x1000001,-0x800,0,sh,0) - -inst_9: -// rs1==x1, rs2==x15, rs2_val == -8388609, -// opcode: sh; op1:x1; op2:x15; op2val:-0x800001; immval:0x3; align:0 -TEST_STORE(x2,x9,0,x1,x15,-0x800001,0x3,4,sh,0) - -inst_10: -// rs1==x15, rs2==x7, rs2_val == -4194305, -// opcode: sh; op1:x15; op2:x7; op2val:-0x400001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x15,x7,-0x400001,0x40,8,sh,0) - -inst_11: -// rs1==x14, rs2==x12, rs2_val == -2097153, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sh; op1:x14; op2:x12; op2val:-0x200001; immval:0x5; align:0 -TEST_STORE(x2,x9,0,x14,x12,-0x200001,0x5,12,sh,0) - -inst_12: -// rs1==x4, rs2==x14, rs2_val == -1048577, -// opcode: sh; op1:x4; op2:x14; op2val:-0x100001; immval:-0x41; align:0 -TEST_STORE(x2,x9,0,x4,x14,-0x100001,-0x41,16,sh,0) - -inst_13: -// rs1==x11, rs2==x0, rs2_val == -524289, -// opcode: sh; op1:x11; op2:x0; op2val:0x0; immval:-0x5; align:0 -TEST_STORE(x2,x3,0,x11,x0,0x0,-0x5,20,sh,0) - -inst_14: -// rs1==x8, rs2==x9, rs2_val == -262145, -// opcode: sh; op1:x8; op2:x9; op2val:-0x40001; immval:-0x400; align:0 -TEST_STORE(x2,x3,0,x8,x9,-0x40001,-0x400,24,sh,0) - -inst_15: -// rs2==x8, rs2_val == -131073, -// opcode: sh; op1:x11; op2:x8; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x2,x3,0,x11,x8,-0x20001,0x20,28,sh,0) - -inst_16: -// rs2_val == -65537, -// opcode: sh; op1:x10; op2:x11; op2val:-0x10001; immval:-0x9; align:0 -TEST_STORE(x2,x3,0,x10,x11,-0x10001,-0x9,32,sh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, -// opcode: sh; op1:x10; op2:x11; op2val:-0x8001; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x9,0,sh,0) - -inst_18: -// rs2_val == -16385, -// opcode: sh; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,4,sh,0) - -inst_19: -// rs2_val == -8193, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2001; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,-0x2,8,sh,0) - -inst_20: -// rs2_val == -4097, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1001; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x10,12,sh,0) - -inst_21: -// rs2_val == -2049, -// opcode: sh; op1:x10; op2:x11; op2val:-0x801; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,-0x556,16,sh,0) - -inst_22: -// rs2_val == -1025, -// opcode: sh; op1:x10; op2:x11; op2val:-0x401; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x8,20,sh,0) - -inst_23: -// rs2_val == -513, -// opcode: sh; op1:x10; op2:x11; op2val:-0x201; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,-0x800,24,sh,0) - -inst_24: -// rs2_val == -257, -// opcode: sh; op1:x10; op2:x11; op2val:-0x101; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x2,28,sh,0) - -inst_25: -// rs2_val == -129, -// opcode: sh; op1:x10; op2:x11; op2val:-0x81; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x40,32,sh,0) - -inst_26: -// rs2_val == -65, -// opcode: sh; op1:x10; op2:x11; op2val:-0x41; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,0x100,36,sh,0) - -inst_27: -// rs2_val == -33, -// opcode: sh; op1:x10; op2:x11; op2val:-0x21; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,-0x81,40,sh,0) - -inst_28: -// rs2_val == -17, -// opcode: sh; op1:x10; op2:x11; op2val:-0x11; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,-0x401,44,sh,0) - -inst_29: -// rs2_val == -9, -// opcode: sh; op1:x10; op2:x11; op2val:-0x9; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0x800,48,sh,0) - -inst_30: -// rs2_val == -5, -// opcode: sh; op1:x10; op2:x11; op2val:-0x5; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,-0x800,52,sh,0) - -inst_31: -// rs2_val == -3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x3; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x200,56,sh,0) - -inst_32: -// rs2_val == -2, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x21,60,sh,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sh; op1:x10; op2:x11; op2val:-0x80000000; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x40,64,sh,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000000; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x101,68,sh,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,0x2,72,sh,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x401,76,sh,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,-0xa,80,sh,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000000; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000000,0x200,84,sh,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000000; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,-0x81,88,sh,0) - -inst_40: -// rs2_val == -1431655766, -// opcode: sh; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,92,sh,0) - -inst_41: -// rs2_val == 1431655765, -// opcode: sh; op1:x10; op2:x11; op2val:0x55555555; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,-0x9,96,sh,0) - -inst_42: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1000001; immval:-0x400; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x1000001,-0x400,100,sh,2) - -inst_43: -// ea_align == 2 and (imm_val % 4) == 1, rs2_val == 2048 -// opcode: sh; op1:x10; op2:x11; op2val:0x800; immval:-0x7; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x7,104,sh,2) - -inst_44: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x3; immval:-0x556; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x3,-0x556,108,sh,2) - -inst_45: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x6; immval:-0x1; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x6,-0x1,112,sh,2) - -inst_46: -// rs2_val == 0, -// opcode: sh; op1:x10; op2:x11; op2val:0x0; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0x81,116,sh,0) - -inst_47: -// rs2_val == 16777216, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,-0x9,120,sh,0) - -inst_48: -// rs2_val == 8388608, -// opcode: sh; op1:x10; op2:x11; op2val:0x800000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x2,124,sh,0) - -inst_49: -// rs2_val == 4194304, -// opcode: sh; op1:x10; op2:x11; op2val:0x400000; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,-0x11,128,sh,0) - -inst_50: -// rs2_val == 2097152, -// opcode: sh; op1:x10; op2:x11; op2val:0x200000; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,0x9,132,sh,0) - -inst_51: -// rs2_val == 1048576, -// opcode: sh; op1:x10; op2:x11; op2val:0x100000; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,0x4,136,sh,0) - -inst_52: -// rs2_val == 524288, -// opcode: sh; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,-0x3,140,sh,0) - -inst_53: -// rs2_val == 262144, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x100,144,sh,0) - -inst_54: -// rs2_val == 131072, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x201,148,sh,0) - -inst_55: -// rs2_val == 65536, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x6,152,sh,0) - -inst_56: -// rs2_val == 32768, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,0x100,156,sh,0) - -inst_57: -// rs2_val == 16384, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x400,160,sh,0) - -inst_58: -// rs2_val == 8192, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,164,sh,0) - -inst_59: -// rs2_val == 4096, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,-0x3,168,sh,0) - -inst_60: -// rs2_val == 1024, -// opcode: sh; op1:x10; op2:x11; op2val:0x400; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x81,172,sh,0) - -inst_61: -// rs2_val == 512, -// opcode: sh; op1:x10; op2:x11; op2val:0x200; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x40,176,sh,0) - -inst_62: -// rs2_val == 256, -// opcode: sh; op1:x10; op2:x11; op2val:0x100; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x800,180,sh,0) - -inst_63: -// rs2_val == 128, -// opcode: sh; op1:x10; op2:x11; op2val:0x80; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x6,184,sh,0) - -inst_64: -// rs2_val == 64, -// opcode: sh; op1:x10; op2:x11; op2val:0x40; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,-0x11,188,sh,0) - -inst_65: -// rs2_val == 32, -// opcode: sh; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,192,sh,0) - -inst_66: -// rs2_val == 16, -// opcode: sh; op1:x10; op2:x11; op2val:0x10; immval:-0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,-0x7,196,sh,0) - -inst_67: -// rs2_val == 8, -// opcode: sh; op1:x10; op2:x11; op2val:0x8; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,-0x3,200,sh,0) - -inst_68: -// rs2_val == 4, -// opcode: sh; op1:x10; op2:x11; op2val:0x4; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x4,204,sh,0) - -inst_69: -// rs2_val == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,0x400,208,sh,0) - -inst_70: -// rs2_val == -524289, -// opcode: sh; op1:x10; op2:x11; op2val:-0x80001; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80001,-0x5,212,sh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S deleted file mode 100644 index af03abc7f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S +++ /dev/null @@ -1,520 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sll instruction of the RISC-V E extension for the sll covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sll) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x13, rd==x1, rs1_val < 0 and rs2_val == 0, -// opcode: sll ; op1:x6; op2:x13; dest:x1; op1val:-0x40000000; op2val:0x0 -TEST_RR_OP(sll, x1, x6, x13, 0xc0000000, -0x40000000, 0x0, x2, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x4, rs2==x1, rd==x4, rs2_val == 15, rs1_val == -17, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x4; op2:x1; dest:x4; op1val:-0x11; op2val:0xf -TEST_RR_OP(sll, x4, x4, x1, 0xfff78000, -0x11, 0xf, x2, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x11, rd==x11, rs2_val == 23, rs1_val == -257 -// opcode: sll ; op1:x9; op2:x11; dest:x11; op1val:-0x101; op2val:0x17 -TEST_RR_OP(sll, x11, x9, x11, 0x7f800000, -0x101, 0x17, x2, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x9, rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x12; op2:x12; dest:x9; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sll, x9, x12, x12, 0xc0000000, -0xb504, -0xb504, x2, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(sll, x0, x0, x0, 0, 0x0, 0x0, x2, 16, x7) - -inst_5: -// rs1==x5, rs2==x4, rd==x15, rs2_val == 30, rs1_val == -65 -// opcode: sll ; op1:x5; op2:x4; dest:x15; op1val:-0x41; op2val:0x1e -TEST_RR_OP(sll, x15, x5, x4, 0xc0000000, -0x41, 0x1e, x2, 20, x7) - -inst_6: -// rs1==x1, rs2==x10, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x1; op2:x10; dest:x3; op1val:0x7fffffff; op2val:0x9 -TEST_RR_OP(sll, x3, x1, x10, 0xfffffe00, 0x7fffffff, 0x9, x2, 24, x7) - -inst_7: -// rs1==x8, rs2==x15, rd==x10, rs1_val == -1073741825, -// opcode: sll ; op1:x8; op2:x15; dest:x10; op1val:-0x40000001; op2val:0x11 -TEST_RR_OP(sll, x10, x8, x15, 0xfffe0000, -0x40000001, 0x11, x2, 28, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x13, rs2==x6, rd==x12, rs1_val == -536870913, rs2_val == 1 -// opcode: sll ; op1:x13; op2:x6; dest:x12; op1val:-0x20000001; op2val:0x1 -TEST_RR_OP(sll, x12, x13, x6, 0xbffffffe, -0x20000001, 0x1, x1, 0, x4) - -inst_9: -// rs1==x3, rs2==x9, rd==x5, rs1_val == -268435457, -// opcode: sll ; op1:x3; op2:x9; dest:x5; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(sll, x5, x3, x9, 0xffffc000, -0x10000001, 0xe, x1, 4, x4) - -inst_10: -// rs1==x7, rs2==x2, rd==x13, rs1_val == -134217729, -// opcode: sll ; op1:x7; op2:x2; dest:x13; op1val:-0x8000001; op2val:0x1e -TEST_RR_OP(sll, x13, x7, x2, 0xc0000000, -0x8000001, 0x1e, x1, 8, x4) - -inst_11: -// rs1==x11, rs2==x7, rd==x8, rs1_val == -67108865, -// opcode: sll ; op1:x11; op2:x7; dest:x8; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sll, x8, x11, x7, 0xff800000, -0x4000001, 0x17, x1, 12, x4) - -inst_12: -// rs1==x10, rs2==x14, rd==x7, rs1_val == -33554433, rs2_val == 8 -// opcode: sll ; op1:x10; op2:x14; dest:x7; op1val:-0x2000001; op2val:0x8 -TEST_RR_OP(sll, x7, x10, x14, 0xffffff00, -0x2000001, 0x8, x1, 16, x4) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_13: -// rs1==x14, rs2==x8, rd==x6, rs1_val == -16777217, -// opcode: sll ; op1:x14; op2:x8; dest:x6; op1val:-0x1000001; op2val:0xd -TEST_RR_OP(sll, x6, x14, x8, 0xffffe000, -0x1000001, 0xd, x1, 0, x4) - -inst_14: -// rs1==x15, rs2==x3, rd==x2, rs1_val == -8388609, rs2_val == 21 -// opcode: sll ; op1:x15; op2:x3; dest:x2; op1val:-0x800001; op2val:0x15 -TEST_RR_OP(sll, x2, x15, x3, 0xffe00000, -0x800001, 0x15, x1, 4, x4) - -inst_15: -// rs1==x2, rs2==x5, rd==x14, rs1_val == -4194305, -// opcode: sll ; op1:x2; op2:x5; dest:x14; op1val:-0x400001; op2val:0xb -TEST_RR_OP(sll, x14, x2, x5, 0xfffff800, -0x400001, 0xb, x1, 8, x4) - -inst_16: -// rs1_val == -2097153, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0xfffff800, -0x200001, 0xb, x1, 12, x4) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xffbffffc, -0x100001, 0x2, x1, 16, x2) - -inst_18: -// rs1_val == -524289, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x9 -TEST_RR_OP(sll, x12, x10, x11, 0xeffffe00, -0x80001, 0x9, x1, 20, x2) - -inst_19: -// rs1_val == -262145, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xffff8000, -0x40001, 0xf, x1, 24, x2) - -inst_20: -// rs1_val == -131073, rs2_val == 10 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0xf7fffc00, -0x20001, 0xa, x1, 28, x2) - -inst_21: -// rs1_val == -65537, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffbfffc, -0x10001, 0x2, x1, 32, x2) - -inst_22: -// rs1_val == -32769, rs2_val == 4 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xfff7fff0, -0x8001, 0x4, x1, 36, x2) - -inst_23: -// rs1_val == -16385, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfff, -0x4001, 0x0, x1, 40, x2) - -inst_24: -// rs1_val == -8193, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xd -TEST_RR_OP(sll, x12, x10, x11, 0xfbffe000, -0x2001, 0xd, x1, 44, x2) - -inst_25: -// rs1_val == -4097, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1e -TEST_RR_OP(sll, x12, x10, x11, 0xc0000000, -0x1001, 0x1e, x1, 48, x2) - -inst_26: -// rs1_val == -2049, rs2_val == 16 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xf7ff0000, -0x801, 0x10, x1, 52, x2) - -inst_27: -// rs1_val == -1025, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffff7fe0, -0x401, 0x5, x1, 56, x2) - -inst_28: -// rs1_val == -513, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfe0, -0x201, 0x5, x1, 60, x2) - -inst_29: -// rs1_val == -129, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xff7f0000, -0x81, 0x10, x1, 64, x2) - -inst_30: -// rs1_val == -33, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xffdf0000, -0x21, 0x10, x1, 68, x2) - -inst_31: -// rs1_val == -9, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xfff70000, -0x9, 0x10, x1, 72, x2) - -inst_32: -// rs1_val == -5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xfffd8000, -0x5, 0xf, x1, 76, x2) - -inst_33: -// rs1_val == -3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xffffffd0, -0x3, 0x4, x1, 80, x2) - -inst_34: -// rs1_val == -2, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffffff8, -0x2, 0x2, x1, 84, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, -0x80000000, 0x1f, x1, 88, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000000, 0x17, x1, 92, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000000, 0xb, x1, 96, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(sll, x12, x10, x11, 0x20000000, 0x10000000, 0x1, x1, 100, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8000000, 0x13, x1, 104, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4000000, 0x5, x1, 108, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2000000, 0x3, x1, 112, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x1000000, 0xa, x1, 116, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x800000, 0x4, x1, 120, x2) - -inst_44: -// rs1_val == 4194304, rs1_val > 0 and rs2_val == 0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x400000, 0x0, x1, 124, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200000, 0x12, x1, 128, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x100000, 0x1f, x1, 132, x2) - -inst_47: -// rs1_val == 524288, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x4000000, 0x80000, 0x7, x1, 136, x2) - -inst_48: -// rs1_val == 262144, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000, 0x1b, x1, 140, x2) - -inst_49: -// rs1_val == 131072, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000, 0x10, x1, 144, x2) - -inst_50: -// rs1_val == 65536, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x10000, 0x11, x1, 148, x2) - -inst_51: -// rs1_val == 32768, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x8000, 0xc, x1, 152, x2) - -inst_52: -// rs1_val == 16384, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x4000, 0x12, x1, 156, x2) - -inst_53: -// rs1_val == 8192, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x2000, 0x8, x1, 160, x2) - -inst_54: -// rs1_val == 4096, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x1000, 0xa, x1, 164, x2) - -inst_55: -// rs1_val == 2048, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x800, 0xa, x1, 168, x2) - -inst_56: -// rs1_val == 1024, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x400, 0x11, x1, 172, x2) - -inst_57: -// rs1_val == 512, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200, 0x17, x1, 176, x2) - -inst_58: -// rs1_val == 256, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x100, 0x7, x1, 180, x2) - -inst_59: -// rs1_val == 128, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x80, 0x1f, x1, 184, x2) - -inst_60: -// rs1_val == 64, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x10000, 0x40, 0xa, x1, 188, x2) - -inst_61: -// rs1_val == 32, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x20, 0xa, x1, 192, x2) - -inst_62: -// rs1_val == 16, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x10, 0x17, x1, 196, x2) - -inst_63: -// rs1_val == 8, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8, 0x1d, x1, 200, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2, 0x1b, x1, 204, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x1, 0x1f, x1, 208, x2) - -inst_66: -// rs1_val==46341, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xa8280000, 0xb505, 0x13, x1, 212, x2) - -inst_67: -// rs1_val==-46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0xff4afd00, -0xb503, 0x8, x1, 216, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x9999999c, 0x66666667, 0x2, x1, 220, x2) - -inst_69: -// rs1_val==858993460, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x66666680, 0x33333334, 0x5, x1, 224, x2) - -inst_70: -// rs1_val==6, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0xc0000, 0x6, 0x11, x1, 228, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x55560000, -0x55555555, 0x11, x1, 232, x2) - -inst_72: -// rs1_val==1431655766, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xaab00000, 0x55555556, 0x13, x1, 236, x2) - -inst_73: -// rs1_val==46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 240, x2) - -inst_74: -// rs1_val==3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x60000, 0x3, 0x11, x1, 244, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xaaaa0000, -0x55555556, 0x10, x1, 248, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x55540000, 0x55555555, 0x12, x1, 252, x2) - -inst_77: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x0, 0xc, x1, 256, x2) - -inst_78: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x8, 0x2, 0x2, x1, 260, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x66666500, 0x66666665, 0x8, x1, 264, x2) - -inst_80: -// rs1_val==858993458, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xe -TEST_RR_OP(sll, x12, x10, x11, 0xcccc8000, 0x33333332, 0xe, x1, 268, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 272, x2) - -inst_82: -// rs1_val==46340, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0xd4100000, 0xb504, 0x12, x1, 276, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x15 -TEST_RR_OP(sll, x12, x10, x11, 0xccc00000, 0x66666666, 0x15, x1, 280, x2) - -inst_84: -// rs1_val==858993459, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sll, x12, x10, x11, 0xccccccc0, 0x33333333, 0x6, x1, 284, x2) - -inst_85: -// rs1_val==5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x1400, 0x5, 0xa, x1, 288, x2) - -inst_86: -// rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0xe0000000, -0xb504, 0x1b, x1, 292, x2) - -inst_87: -// rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4, 0x1d, x1, 296, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 75*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S deleted file mode 100644 index 13226b35c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S +++ /dev/null @@ -1,525 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slli instruction of the RISC-V E extension for the slli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slli) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rd, rs1==x2, rd==x10, rs1_val < 0 and imm_val == (xlen-1), rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x2; dest:x10; op1val:-0x1; immval:0x1f -TEST_IMM_OP( slli, x10, x2, 0x80000000, -0x1, 0x1f, x5, 0, x12) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, imm_val == 27, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0x1b -TEST_IMM_OP( slli, x3, x3, 0xf8000000, 0x7fffffff, 0x1b, x5, 4, x12) - -inst_2: -// rs1==x9, rd==x14, rs1_val == -1073741825, -// opcode: slli ; op1:x9; dest:x14; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( slli, x14, x9, 0xffffff80, -0x40000001, 0x7, x5, 8, x12) - -inst_3: -// rs1==x7, rd==x8, rs1_val == -536870913, imm_val == 1 -// opcode: slli ; op1:x7; dest:x8; op1val:-0x20000001; immval:0x1 -TEST_IMM_OP( slli, x8, x7, 0xbffffffe, -0x20000001, 0x1, x5, 12, x12) - -inst_4: -// rs1==x4, rd==x6, rs1_val == -268435457, -// opcode: slli ; op1:x4; dest:x6; op1val:-0x10000001; immval:0x12 -TEST_IMM_OP( slli, x6, x4, 0xfffc0000, -0x10000001, 0x12, x5, 16, x12) - -inst_5: -// rs1==x11, rd==x7, rs1_val == -134217729, rs1_val < 0 and imm_val == 0 -// opcode: slli ; op1:x11; dest:x7; op1val:-0x8000001; immval:0x0 -TEST_IMM_OP( slli, x7, x11, 0xf7ffffff, -0x8000001, 0x0, x5, 20, x12) - -inst_6: -// rs1==x0, rd==x1, rs1_val == -67108865, -// opcode: slli ; op1:x0; dest:x1; op1val:0x0; immval:0x7 -TEST_IMM_OP( slli, x1, x0, 0x0, 0x0, 0x7, x5, 24, x12) - -inst_7: -// rs1==x1, rd==x11, rs1_val == -33554433, imm_val == 15 -// opcode: slli ; op1:x1; dest:x11; op1val:-0x2000001; immval:0xf -TEST_IMM_OP( slli, x11, x1, 0xffff8000, -0x2000001, 0xf, x5, 28, x3) - -inst_8: -// rs1==x10, rd==x12, rs1_val == -16777217, -// opcode: slli ; op1:x10; dest:x12; op1val:-0x1000001; immval:0x13 -TEST_IMM_OP( slli, x12, x10, 0xfff80000, -0x1000001, 0x13, x5, 32, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x13, rd==x9, rs1_val == -8388609, imm_val == 16 -// opcode: slli ; op1:x13; dest:x9; op1val:-0x800001; immval:0x10 -TEST_IMM_OP( slli, x9, x13, 0xffff0000, -0x800001, 0x10, x1, 0, x3) - -inst_10: -// rs1==x5, rd==x13, rs1_val == -4194305, -// opcode: slli ; op1:x5; dest:x13; op1val:-0x400001; immval:0x1f -TEST_IMM_OP( slli, x13, x5, 0x80000000, -0x400001, 0x1f, x1, 4, x3) - -inst_11: -// rs1==x8, rd==x15, rs1_val == -2097153, -// opcode: slli ; op1:x8; dest:x15; op1val:-0x200001; immval:0x1b -TEST_IMM_OP( slli, x15, x8, 0xf8000000, -0x200001, 0x1b, x1, 8, x3) - -inst_12: -// rs1==x15, rd==x4, rs1_val == -1048577, -// opcode: slli ; op1:x15; dest:x4; op1val:-0x100001; immval:0xc -TEST_IMM_OP( slli, x4, x15, 0xfffff000, -0x100001, 0xc, x1, 12, x3) - -inst_13: -// rs1==x14, rd==x5, rs1_val == -524289, -// opcode: slli ; op1:x14; dest:x5; op1val:-0x80001; immval:0x9 -TEST_IMM_OP( slli, x5, x14, 0xeffffe00, -0x80001, 0x9, x1, 16, x3) - -inst_14: -// rs1==x6, rd==x2, rs1_val == -262145, -// opcode: slli ; op1:x6; dest:x2; op1val:-0x40001; immval:0x0 -TEST_IMM_OP( slli, x2, x6, 0xfffbffff, -0x40001, 0x0, x1, 20, x3) - -inst_15: -// rs1==x12, rd==x0, rs1_val == -131073, -// opcode: slli ; op1:x12; dest:x0; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x0, x12, 0, -0x20001, 0x10, x1, 24, x2) - -inst_16: -// rs1_val == -65537, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0xf7fff800, -0x10001, 0xb, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_17: -// rs1_val == -32769, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfffe0000, -0x8001, 0x11, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0xf8000000, -0x4001, 0x1b, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xbffe0000, -0x2001, 0x11, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == 29 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xe0000000, -0x1001, 0x1d, x1, 12, x2) - -inst_21: -// rs1_val == -2049, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x801; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xfdffc000, -0x801, 0xe, x1, 16, x2) - -inst_22: -// rs1_val == -1025, imm_val == 23 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x401; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0xff800000, -0x401, 0x17, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x201; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xff7fc000, -0x201, 0xe, x1, 24, x2) - -inst_24: -// rs1_val == -257, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x101; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfdfe0000, -0x101, 0x11, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffdfc000, -0x81, 0xe, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x41; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffefc000, -0x41, 0xe, x1, 36, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slli, x11, x10, 0xffffdf00, -0x21, 0x8, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0xfffffbc0, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, imm_val == 4 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0xffffff70, -0x9, 0x4, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x5; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xfffb0000, -0x5, 0x10, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( slli, x11, x10, 0xfffffa00, -0x3, 0x9, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff00, -0x2, 0x7, x1, 60, x2) - -inst_33: -// imm_val == 30, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x0; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x0, 0x1e, x1, 64, x2) - -inst_34: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x0, -0x80000000, 0x17, x1, 68, x2) - -inst_35: -// rs1_val == 1073741824, imm_val == 21 -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000000, 0x15, x1, 72, x2) - -inst_36: -// rs1_val == 536870912, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000000, 0x10, x1, 76, x2) - -inst_37: -// rs1_val == 268435456, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x10000000, 0x7, x1, 80, x2) - -inst_38: -// rs1_val == 134217728, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x8000000, 0x1e, x1, 84, x2) - -inst_39: -// rs1_val == 67108864, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x4000000, 0xf, x1, 88, x2) - -inst_40: -// rs1_val == 33554432, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x2000000, 0x1e, x1, 92, x2) - -inst_41: -// rs1_val == 16777216, rs1_val > 0 and imm_val == (xlen-1) -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000000; immval:0x1f -TEST_IMM_OP( slli, x11, x10, 0x0, 0x1000000, 0x1f, x1, 96, x2) - -inst_42: -// rs1_val == 8388608, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800000, 0x15, x1, 100, x2) - -inst_43: -// rs1_val == 4194304, rs1_val > 0 and imm_val == 0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 2097152, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200000; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x200000, 0x6, x1, 108, x2) - -inst_45: -// rs1_val == 1048576, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100000; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x100000, 0x11, x1, 112, x2) - -inst_46: -// rs1_val == 524288, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80000, 0x1e, x1, 116, x2) - -inst_47: -// rs1_val == 262144, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000, 0x13, x1, 120, x2) - -inst_48: -// rs1_val == 131072, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000, 0xf, x1, 124, x2) - -inst_49: -// rs1_val == 65536, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x40000000, 0x10000, 0xe, x1, 128, x2) - -inst_50: -// rs1_val == 32768, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x8000, 0xc, x1, 132, x2) - -inst_51: -// rs1_val == 16384, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x4000, 0x0, x1, 136, x2) - -inst_52: -// rs1_val == 8192, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x2000, 0x0, x1, 140, x2) - -inst_53: -// rs1_val == 4096, -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x1000, 0x1, x1, 144, x2) - -inst_54: -// rs1_val == 2048, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800, 0x15, x1, 148, x2) - -inst_55: -// rs1_val == 1024, -// opcode: slli ; op1:x10; dest:x11; op1val:0x400; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400, 0xc, x1, 152, x2) - -inst_56: -// rs1_val == 512, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200; immval:0x3 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x200, 0x3, x1, 156, x2) - -inst_57: -// rs1_val == 256, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x20000000, 0x100, 0x15, x1, 160, x2) - -inst_58: -// rs1_val == 128, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80, 0x1d, x1, 164, x2) - -inst_59: -// rs1_val == 64, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x40, 0x6, x1, 168, x2) - -inst_60: -// rs1_val == 32, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x10000, 0x20, 0xb, x1, 172, x2) - -inst_61: -// rs1_val == 16, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x10, 0x10, 0x0, x1, 176, x2) - -inst_62: -// rs1_val == 8, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x8, 0x13, x1, 180, x2) - -inst_63: -// rs1_val == 4, rs1_val==4, rs1_val == imm_val and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0x40, 0x4, 0x4, x1, 184, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: slli ; op1:x10; dest:x11; op1val:0x2; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x2, 0xb, x1, 188, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x1; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x1, 0xe, x1, 192, x2) - -inst_66: -// imm_val == 2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0x2 -TEST_IMM_OP( slli, x11, x10, 0xfffffdfc, -0x81, 0x2, x1, 196, x2) - -inst_67: -// rs1_val==46341, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb505; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x5a828000, 0xb505, 0xf, x1, 200, x2) - -inst_68: -// rs1_val==-46339, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x7e800000, -0xb503, 0x17, x1, 204, x2) - -inst_69: -// rs1_val==1717986919, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x38000000, 0x66666667, 0x1b, x1, 208, x2) - -inst_70: -// rs1_val==858993460, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333334; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x99a00000, 0x33333334, 0x13, x1, 212, x2) - -inst_71: -// rs1_val==6, -// opcode: slli ; op1:x10; dest:x11; op1val:0x6; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x3000, 0x6, 0xb, x1, 216, x2) - -inst_72: -// rs1_val==-1431655765, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xaaac0000, -0x55555555, 0x12, x1, 220, x2) - -inst_73: -// rs1_val==1431655766, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555556, 0x1e, x1, 224, x2) - -inst_74: -// rs1_val==3, -// opcode: slli ; op1:x10; dest:x11; op1val:0x3; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xc0000, 0x3, 0x12, x1, 228, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xaaaa0000, -0x55555556, 0x10, x1, 232, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555555; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xaaaaaa80, 0x55555555, 0x7, x1, 236, x2) - -inst_77: -// imm_val == 10, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xffbffc00, -0x1001, 0xa, x1, 240, x2) - -inst_78: -// rs1_val==46339, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x2d40c0, 0xb503, 0x6, x1, 244, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666665; immval:0xd -TEST_IMM_OP( slli, x11, x10, 0xcccca000, 0x66666665, 0xd, x1, 248, x2) - -inst_80: -// rs1_val==858993458, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x66666664, 0x33333332, 0x1, x1, 252, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555554, 0x1d, x1, 256, x2) - -inst_82: -// rs1_val==46340, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb504; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x6a080000, 0xb504, 0x11, x1, 260, x2) - -inst_83: -// rs1_val==-46340, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x57e00000, -0xb504, 0x13, x1, 264, x2) - -inst_84: -// rs1_val==1717986918, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xc0000000, 0x66666666, 0x1d, x1, 268, x2) - -inst_85: -// rs1_val==858993459, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333333; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xcccccc00, 0x33333333, 0xa, x1, 272, x2) - -inst_86: -// rs1_val==5, -// opcode: slli ; op1:x10; dest:x11; op1val:0x5; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x28000000, 0x5, 0x1b, x1, 276, x2) - -inst_87: -// rs1_val == -67108865, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4000001; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff80, -0x4000001, 0x7, x1, 280, x2) - -inst_88: -// rs1_val == -131073, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xffff0000, -0x20001, 0x10, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S deleted file mode 100644 index 9a17362b8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S +++ /dev/null @@ -1,2990 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slt instruction of the RISC-V E extension for the slt covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slt) - -RVTEST_SIGBASE( x12,signature_x12_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x10, rd==x7, rs1_val != rs2_val, rs2_val == -65537, rs1_val == -129, rs1_val < 0 and rs2_val < 0 -// opcode: slt ; op1:x5; op2:x10; dest:x7; op1val:-0x81; op2val:-0x10001 -TEST_RR_OP(slt, x7, x5, x10, 0x0, -0x81, -0x10001, x12, 0, x13) - -inst_1: -// rs1 == rd != rs2, rs1==x0, rs2==x6, rd==x0, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x0; op2:x6; dest:x0; op1val:0x0; op2val:0x7fffffff -TEST_RR_OP(slt, x0, x0, x6, 0, 0x0, 0x7fffffff, x12, 4, x13) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x8, rd==x8, rs2_val == -1073741825, rs1_val > 0 and rs2_val < 0, rs1_val == 512 -// opcode: slt ; op1:x9; op2:x8; dest:x8; op1val:0x200; op2val:-0x40000001 -TEST_RR_OP(slt, x8, x9, x8, 0x0, 0x200, -0x40000001, x12, 8, x13) - -inst_3: -// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x11, rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x4; op2:x4; dest:x11; op1val:-0x1001; op2val:-0x1001 -TEST_RR_OP(slt, x11, x4, x4, 0x0, -0x1001, -0x1001, x12, 12, x13) - -inst_4: -// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs2_val == -268435457, -// opcode: slt ; op1:x3; op2:x3; dest:x3; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x3, x3, x3, 0x0, -0x55555555, -0x55555555, x12, 16, x13) - -inst_5: -// rs1==x1, rs2==x0, rd==x4, rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x1; op2:x0; dest:x4; op1val:-0x10001; op2val:0x0 -TEST_RR_OP(slt, x4, x1, x0, 0x1, -0x10001, 0x0, x12, 20, x13) - -inst_6: -// rs1==x6, rs2==x2, rd==x5, rs2_val == -67108865, rs1_val == -33554433 -// opcode: slt ; op1:x6; op2:x2; dest:x5; op1val:-0x2000001; op2val:-0x4000001 -TEST_RR_OP(slt, x5, x6, x2, 0x0, -0x2000001, -0x4000001, x12, 24, x13) - -inst_7: -// rs1==x7, rs2==x11, rd==x13, rs2_val == -33554433, rs1_val == -2 -// opcode: slt ; op1:x7; op2:x11; dest:x13; op1val:-0x2; op2val:-0x2000001 -TEST_RR_OP(slt, x13, x7, x11, 0x0, -0x2, -0x2000001, x12, 28, x1) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rs2==x7, rd==x12, rs2_val == -16777217, rs1_val == 4096 -// opcode: slt ; op1:x15; op2:x7; dest:x12; op1val:0x1000; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x15, x7, 0x0, 0x1000, -0x1000001, x3, 0, x1) - -inst_9: -// rs1==x13, rs2==x5, rd==x2, rs2_val == -8388609, rs1_val == -268435457 -// opcode: slt ; op1:x13; op2:x5; dest:x2; op1val:-0x10000001; op2val:-0x800001 -TEST_RR_OP(slt, x2, x13, x5, 0x1, -0x10000001, -0x800001, x3, 4, x1) - -inst_10: -// rs1==x2, rs2==x9, rd==x15, rs2_val == -4194305, rs1_val == -1431655766 -// opcode: slt ; op1:x2; op2:x9; dest:x15; op1val:-0x55555556; op2val:-0x400001 -TEST_RR_OP(slt, x15, x2, x9, 0x1, -0x55555556, -0x400001, x3, 8, x1) - -inst_11: -// rs1==x8, rs2==x15, rd==x14, rs2_val == -2097153, rs1_val == -513 -// opcode: slt ; op1:x8; op2:x15; dest:x14; op1val:-0x201; op2val:-0x200001 -TEST_RR_OP(slt, x14, x8, x15, 0x0, -0x201, -0x200001, x3, 12, x1) - -inst_12: -// rs1==x10, rs2==x13, rd==x9, rs2_val == -1048577, rs1_val == 524288 -// opcode: slt ; op1:x10; op2:x13; dest:x9; op1val:0x80000; op2val:-0x100001 -TEST_RR_OP(slt, x9, x10, x13, 0x0, 0x80000, -0x100001, x3, 16, x1) - -inst_13: -// rs1==x12, rs2==x14, rd==x6, rs2_val == -524289, rs1_val == 0 -// opcode: slt ; op1:x12; op2:x14; dest:x6; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(slt, x6, x12, x14, 0x0, 0x0, -0x80001, x3, 20, x4) - -inst_14: -// rs1==x14, rs2==x1, rd==x10, rs2_val == -262145, rs1_val == -2049 -// opcode: slt ; op1:x14; op2:x1; dest:x10; op1val:-0x801; op2val:-0x40001 -TEST_RR_OP(slt, x10, x14, x1, 0x0, -0x801, -0x40001, x3, 24, x4) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_15: -// rs1==x11, rs2==x12, rd==x1, rs2_val == -131073, -// opcode: slt ; op1:x11; op2:x12; dest:x1; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(slt, x1, x11, x12, 0x0, 0x0, -0x20001, x2, 0, x4) - -inst_16: -// rs2_val == -32769, rs1_val == 16384 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000, -0x8001, x2, 4, x4) - -inst_17: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x4001, x2, 8, x4) - -inst_18: -// rs2_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x2001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x801, -0x2001, x2, 12, x4) - -inst_19: -// rs2_val == -4097, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x1001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x1001, x2, 16, x4) - -inst_20: -// rs2_val == -2049, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x801 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x801, x2, 20, x4) - -inst_21: -// rs2_val == -1025, rs1_val == -16385 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x401 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4001, -0x401, x2, 24, x4) - -inst_22: -// rs2_val == -513, rs1_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2000, -0x201, x2, 28, x4) - -inst_23: -// rs2_val == -257, rs1_val == -5 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x101 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x5, -0x101, x2, 32, x4) - -inst_24: -// rs2_val == -129, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x81, x2, 36, x4) - -inst_25: -// rs2_val == -65, rs1_val == -32769 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8001, -0x41, x2, 40, x4) - -inst_26: -// rs2_val == -33, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x21 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x10000001, -0x21, x2, 44, x4) - -inst_27: -// rs2_val == -17, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x11 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x11, x2, 48, x4) - -inst_28: -// rs2_val == -9, rs1_val == 536870912 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x9 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000000, -0x9, x2, 52, x4) - -inst_29: -// rs2_val == -5, rs1_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x80, -0x5, x2, 56, x4) - -inst_30: -// rs2_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x9, -0x3, x2, 60, x4) - -inst_31: -// rs2_val == -2, rs1_val == -65 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x41, -0x2, x2, 64, x4) - -inst_32: -// rs1_val == 2147483647, rs2_val == 16777216, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x7fffffff, 0x1000000, x2, 68, x4) - -inst_33: -// rs1_val == -1073741825, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40000001, -0x8001, x2, 72, x4) - -inst_34: -// rs1_val == -536870913, rs2_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20000001, 0x80, x2, 76, x4) - -inst_35: -// rs1_val == -134217729, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, -0x3, x2, 80, x4) - -inst_36: -// rs1_val == -67108865, rs2_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x2000, x2, 84, x4) - -inst_37: -// rs1_val == -16777217, rs2_val == 2048 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x1000001, 0x800, x2, 88, x4) - -inst_38: -// rs1_val == -8388609, rs2_val == -1431655766 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x800001, -0x55555556, x2, 92, x4) - -inst_39: -// rs1_val == -2097153, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x200001, -0x1000001, x2, 96, x4) - -inst_40: -// rs1_val == -1048577, rs1_val == rs2_val -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x100001, -0x100001, x2, 100, x4) - -inst_41: -// rs1_val == -524289, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80001, -0x81, x2, 104, x4) - -inst_42: -// rs1_val == -262145, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40001, 0x66666665, x2, 108, x4) - -inst_43: -// rs1_val == -131073, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x7fffffff, x2, 112, x4) - -inst_44: -// rs1_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x2001, -0x4, x2, 116, x4) - -inst_45: -// rs1_val == -1025, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x401, -0x2, x2, 120, x4) - -inst_46: -// rs1_val == -257, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x101, 0x66666667, x2, 124, x4) - -inst_47: -// rs1_val == -33, rs2_val == 512 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x200 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x21, 0x200, x2, 128, x4) - -inst_48: -// rs1_val == -17, rs2_val == 4 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x4, x2, 132, x4) - -inst_49: -// rs1_val == -9, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x9, -0x20000001, x2, 136, x4) - -inst_50: -// rs1_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x3, -0x6, x2, 140, x4) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 8 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8, -0x80000000, x2, 144, x4) - -inst_52: -// rs2_val == 1073741824, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, 0x40000000, x2, 148, x4) - -inst_53: -// rs2_val == 536870912, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x20000000, x2, 152, x4) - -inst_54: -// rs2_val == 268435456, rs1_val == 65536 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10000, 0x10000000, x2, 156, x4) - -inst_55: -// rs2_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x8000000, x2, 160, x4) - -inst_56: -// rs2_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4000000, x2, 164, x4) - -inst_57: -// rs2_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2000000, x2, 168, x4) - -inst_58: -// rs2_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x800000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x7, 0x800000, x2, 172, x4) - -inst_59: -// rs2_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x400000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x400000, x2, 176, x4) - -inst_60: -// rs2_val == 2097152, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x80, 0x200000, x2, 180, x4) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 184, x4) - -inst_62: -// rs2_val == 524288, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x80000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x80000, x2, 188, x4) - -inst_63: -// rs2_val == 262144, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x40000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x5, 0x40000, x2, 192, x4) - -inst_64: -// rs2_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x20000, x2, 196, x4) - -inst_65: -// rs2_val == 65536, rs1_val == 2097152 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x10000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x200000, 0x10000, x2, 200, x4) - -inst_66: -// rs2_val == 32768, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 204, x4) - -inst_67: -// rs2_val == 16384, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x4000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x4000, x2, 208, x4) - -inst_68: -// rs2_val == 4096, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x1000, x2, 212, x4) - -inst_69: -// rs2_val == 1024, rs1_val == 32768 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x400 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000, 0x400, x2, 216, x4) - -inst_70: -// rs2_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x100 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3fffffff, 0x100, x2, 220, x4) - -inst_71: -// rs2_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x40 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x40, x2, 224, x4) - -inst_72: -// rs2_val == 32, rs1_val == 262144 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x20 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000, 0x20, x2, 228, x4) - -inst_73: -// rs2_val == 16, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x10, x2, 232, x4) - -inst_74: -// rs2_val == 8, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x8 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x8, x2, 236, x4) - -inst_75: -// rs2_val == 2, rs1_val==2 and rs2_val==2, rs1_val == 2 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x2, x2, 240, x4) - -inst_76: -// rs2_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 244, x4) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80000000, 0x3, x2, 248, x4) - -inst_78: -// rs1_val == 268435456, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000000, -0x100001, x2, 252, x4) - -inst_79: -// rs1_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000000, -0xb504, x2, 256, x4) - -inst_80: -// rs1_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000000, -0x3, x2, 260, x4) - -inst_81: -// rs1_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2000000, 0x66666667, x2, 264, x4) - -inst_82: -// rs1_val == 16777216, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x1000000, 0x200000, x2, 268, x4) - -inst_83: -// rs1_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800000, 0x8000000, x2, 272, x4) - -inst_84: -// rs1_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400000, -0x100001, x2, 276, x4) - -inst_85: -// rs1_val == 1048576, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x100000, 0x6, x2, 280, x4) - -inst_86: -// rs1_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000, 0x800, x2, 284, x4) - -inst_87: -// rs1_val == 2048, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800, 0x66666665, x2, 288, x4) - -inst_88: -// rs1_val == 1024, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400, -0x40000000, x2, 292, x4) - -inst_89: -// rs1_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x100, 0x40000000, x2, 296, x4) - -inst_90: -// rs1_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40, -0x80000000, x2, 300, x4) - -inst_91: -// rs1_val == 32, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20, -0x3, x2, 304, x4) - -inst_92: -// rs1_val == 4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x7fffffff, x2, 308, x4) - -inst_93: -// rs1_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x1, 0x2000, x2, 312, x4) - -inst_94: -// rs1_val==46341 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 316, x4) - -inst_95: -// rs1_val==46341 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb503, x2, 320, x4) - -inst_96: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 324, x4) - -inst_97: -// rs1_val==46341 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 328, x4) - -inst_98: -// rs1_val==46341 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 332, x4) - -inst_99: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555555, x2, 336, x4) - -inst_100: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 340, x4) - -inst_101: -// rs1_val==46341 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 344, x4) - -inst_102: -// rs1_val==46341 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 348, x4) - -inst_103: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 352, x4) - -inst_104: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 356, x4) - -inst_105: -// rs1_val==46341 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 360, x4) - -inst_106: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 364, x4) - -inst_107: -// rs1_val==46341 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 368, x4) - -inst_108: -// rs1_val==46341 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 372, x4) - -inst_109: -// rs1_val==46341 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb504, x2, 376, x4) - -inst_110: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 380, x4) - -inst_111: -// rs1_val==46341 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 384, x4) - -inst_112: -// rs1_val==46341 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 388, x4) - -inst_113: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555556, x2, 392, x4) - -inst_114: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 396, x4) - -inst_115: -// rs1_val==46341 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 400, x4) - -inst_116: -// rs1_val==-46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb505, x2, 404, x4) - -inst_117: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 408, x4) - -inst_118: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666667, x2, 412, x4) - -inst_119: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333334, x2, 416, x4) - -inst_120: -// rs1_val==-46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x6, x2, 420, x4) - -inst_121: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555555, x2, 424, x4) - -inst_122: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555556, x2, 428, x4) - -inst_123: -// rs1_val==-46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x4, x2, 432, x4) - -inst_124: -// rs1_val==-46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb503, x2, 436, x4) - -inst_125: -// rs1_val==-46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x0, x2, 440, x4) - -inst_126: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666665, x2, 444, x4) - -inst_127: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333332, x2, 448, x4) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555554, x2, 452, x4) - -inst_129: -// rs1_val==-46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2, x2, 456, x4) - -inst_130: -// rs1_val==-46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb504, x2, 460, x4) - -inst_131: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 464, x4) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666666, x2, 468, x4) - -inst_133: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333333, x2, 472, x4) - -inst_134: -// rs1_val==-46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x5, x2, 476, x4) - -inst_135: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555556, x2, 480, x4) - -inst_136: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555555, x2, 484, x4) - -inst_137: -// rs1_val==-46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x3, x2, 488, x4) - -inst_138: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 492, x4) - -inst_139: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb503, x2, 496, x4) - -inst_140: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 500, x4) - -inst_141: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 504, x4) - -inst_142: -// rs1_val==1717986919 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 508, x4) - -inst_143: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555555, x2, 512, x4) - -inst_144: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 516, x4) - -inst_145: -// rs1_val==1717986919 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 520, x4) - -inst_146: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 524, x4) - -inst_147: -// rs1_val==1717986919 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 528, x4) - -inst_148: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 532, x4) - -inst_149: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 536, x4) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 540, x4) - -inst_151: -// rs1_val==1717986919 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 544, x4) - -inst_152: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 548, x4) - -inst_153: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb504, x2, 552, x4) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 556, x4) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 560, x4) - -inst_156: -// rs1_val==1717986919 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 564, x4) - -inst_157: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555556, x2, 568, x4) - -inst_158: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 572, x4) - -inst_159: -// rs1_val==1717986919 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 576, x4) - -inst_160: -// rs1_val==858993460 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 580, x4) - -inst_161: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb503, x2, 584, x4) - -inst_162: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 588, x4) - -inst_163: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 592, x4) - -inst_164: -// rs1_val==858993460 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 596, x4) - -inst_165: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x2, 600, x4) - -inst_166: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 604, x4) - -inst_167: -// rs1_val==858993460 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 608, x4) - -inst_168: -// rs1_val==858993460 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 612, x4) - -inst_169: -// rs1_val==858993460 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 616, x4) - -inst_170: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 620, x4) - -inst_171: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 624, x4) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 628, x4) - -inst_173: -// rs1_val==858993460 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 632, x4) - -inst_174: -// rs1_val==858993460 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 636, x4) - -inst_175: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb504, x2, 640, x4) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 644, x4) - -inst_177: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 648, x4) - -inst_178: -// rs1_val==858993460 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 652, x4) - -inst_179: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x2, 656, x4) - -inst_180: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 660, x4) - -inst_181: -// rs1_val==858993460 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 664, x4) - -inst_182: -// rs1_val==6 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 668, x4) - -inst_183: -// rs1_val==6 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb503, x2, 672, x4) - -inst_184: -// rs1_val==6 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 676, x4) - -inst_185: -// rs1_val==6 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 680, x4) - -inst_186: -// rs1_val==6 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x6, x2, 684, x4) - -inst_187: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555555, x2, 688, x4) - -inst_188: -// rs1_val==6 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 692, x4) - -inst_189: -// rs1_val==6 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x4, x2, 696, x4) - -inst_190: -// rs1_val==6 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 700, x4) - -inst_191: -// rs1_val==6 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x0, x2, 704, x4) - -inst_192: -// rs1_val==6 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 708, x4) - -inst_193: -// rs1_val==6 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 712, x4) - -inst_194: -// rs1_val==6 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 716, x4) - -inst_195: -// rs1_val==6 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x2, x2, 720, x4) - -inst_196: -// rs1_val==6 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 724, x4) - -inst_197: -// rs1_val==6 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb504, x2, 728, x4) - -inst_198: -// rs1_val==6 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 732, x4) - -inst_199: -// rs1_val==6 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 736, x4) - -inst_200: -// rs1_val==6 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x5, x2, 740, x4) - -inst_201: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555556, x2, 744, x4) - -inst_202: -// rs1_val==6 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 748, x4) - -inst_203: -// rs1_val==6 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x3, x2, 752, x4) - -inst_204: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb505, x2, 756, x4) - -inst_205: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb503, x2, 760, x4) - -inst_206: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666667, x2, 764, x4) - -inst_207: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333334, x2, 768, x4) - -inst_208: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x6, x2, 772, x4) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 776, x4) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x2, 780, x4) - -inst_211: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x4, x2, 784, x4) - -inst_212: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb503, x2, 788, x4) - -inst_213: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x0, x2, 792, x4) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666665, x2, 796, x4) - -inst_215: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333332, x2, 800, x4) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555554, x2, 804, x4) - -inst_217: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x2, x2, 808, x4) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb504, x2, 812, x4) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb504, x2, 816, x4) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666666, x2, 820, x4) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333333, x2, 824, x4) - -inst_222: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x5, x2, 828, x4) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x2, 832, x4) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x2, 836, x4) - -inst_225: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x3, x2, 840, x4) - -inst_226: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 844, x4) - -inst_227: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb503, x2, 848, x4) - -inst_228: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 852, x4) - -inst_229: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 856, x4) - -inst_230: -// rs1_val==1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 860, x4) - -inst_231: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555555, x2, 864, x4) - -inst_232: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 868, x4) - -inst_233: -// rs1_val==1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 872, x4) - -inst_234: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 876, x4) - -inst_235: -// rs1_val==1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 880, x4) - -inst_236: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 884, x4) - -inst_237: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 888, x4) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 892, x4) - -inst_239: -// rs1_val==1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 896, x4) - -inst_240: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 900, x4) - -inst_241: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb504, x2, 904, x4) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 908, x4) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 912, x4) - -inst_244: -// rs1_val==1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 916, x4) - -inst_245: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 920, x4) - -inst_246: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 924, x4) - -inst_247: -// rs1_val==1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 928, x4) - -inst_248: -// rs1_val==4 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 932, x4) - -inst_249: -// rs1_val==4 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb503, x2, 936, x4) - -inst_250: -// rs1_val==4 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 940, x4) - -inst_251: -// rs1_val==4 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 944, x4) - -inst_252: -// rs1_val==4 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x6, x2, 948, x4) - -inst_253: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555555, x2, 952, x4) - -inst_254: -// rs1_val==4 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 956, x4) - -inst_255: -// rs1_val==4 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x4, x2, 960, x4) - -inst_256: -// rs1_val==4 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 964, x4) - -inst_257: -// rs1_val==4 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x0, x2, 968, x4) - -inst_258: -// rs1_val==4 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 972, x4) - -inst_259: -// rs1_val==4 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 976, x4) - -inst_260: -// rs1_val==4 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 980, x4) - -inst_261: -// rs1_val==4 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x2, x2, 984, x4) - -inst_262: -// rs1_val==4 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 988, x4) - -inst_263: -// rs1_val==4 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb504, x2, 992, x4) - -inst_264: -// rs1_val==4 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 996, x4) - -inst_265: -// rs1_val==4 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1000, x4) - -inst_266: -// rs1_val==4 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1004, x4) - -inst_267: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555556, x2, 1008, x4) - -inst_268: -// rs1_val==4 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1012, x4) - -inst_269: -// rs1_val==4 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1016, x4) - -inst_270: -// rs1_val==46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1020, x4) - -inst_271: -// rs1_val==46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1024, x4) - -inst_272: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1028, x4) - -inst_273: -// rs1_val==46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1032, x4) - -inst_274: -// rs1_val==46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1036, x4) - -inst_275: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555555, x2, 1040, x4) - -inst_276: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1044, x4) - -inst_277: -// rs1_val==46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1048, x4) - -inst_278: -// rs1_val==46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1052, x4) - -inst_279: -// rs1_val==46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1056, x4) - -inst_280: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1060, x4) - -inst_281: -// rs1_val==46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1064, x4) - -inst_282: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1068, x4) - -inst_283: -// rs1_val==46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1072, x4) - -inst_284: -// rs1_val==46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1076, x4) - -inst_285: -// rs1_val==46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb504, x2, 1080, x4) - -inst_286: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1084, x4) - -inst_287: -// rs1_val==46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1088, x4) - -inst_288: -// rs1_val==46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1092, x4) - -inst_289: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555556, x2, 1096, x4) - -inst_290: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1100, x4) - -inst_291: -// rs1_val==46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1104, x4) - -inst_292: -// rs1_val==0 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1108, x4) - -inst_293: -// rs1_val==0 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1112, x4) - -inst_294: -// rs1_val==0 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1116, x4) - -inst_295: -// rs1_val==0 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1120, x4) - -inst_296: -// rs1_val==0 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1124, x4) - -inst_297: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1128, x4) - -inst_298: -// rs1_val==0 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1132, x4) - -inst_299: -// rs1_val==0 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1136, x4) - -inst_300: -// rs1_val==0 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1140, x4) - -inst_301: -// rs1_val==0 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1144, x4) - -inst_302: -// rs1_val==0 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1148, x4) - -inst_303: -// rs1_val==0 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1152, x4) - -inst_304: -// rs1_val==0 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1156, x4) - -inst_305: -// rs1_val==0 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1160, x4) - -inst_306: -// rs1_val==0 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1164, x4) - -inst_307: -// rs1_val==0 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1168, x4) - -inst_308: -// rs1_val==0 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1172, x4) - -inst_309: -// rs1_val==0 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1176, x4) - -inst_310: -// rs1_val==0 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1180, x4) - -inst_311: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1184, x4) - -inst_312: -// rs1_val==0 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1188, x4) - -inst_313: -// rs1_val==0 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1192, x4) - -inst_314: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1196, x4) - -inst_315: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb503, x2, 1200, x4) - -inst_316: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1204, x4) - -inst_317: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1208, x4) - -inst_318: -// rs1_val==1717986917 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1212, x4) - -inst_319: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555555, x2, 1216, x4) - -inst_320: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1220, x4) - -inst_321: -// rs1_val==1717986917 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1224, x4) - -inst_322: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1228, x4) - -inst_323: -// rs1_val==858993459 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1232, x4) - -inst_324: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 1236, x4) - -inst_325: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 1240, x4) - -inst_326: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 1244, x4) - -inst_327: -// rs1_val==858993459 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1248, x4) - -inst_328: -// rs1_val==858993459 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 1252, x4) - -inst_329: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb504, x2, 1256, x4) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 1260, x4) - -inst_331: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1264, x4) - -inst_332: -// rs1_val==858993459 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1268, x4) - -inst_333: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x2, 1272, x4) - -inst_334: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 1276, x4) - -inst_335: -// rs1_val==858993459 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1280, x4) - -inst_336: -// rs1_val==5 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 1284, x4) - -inst_337: -// rs1_val==5 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb503, x2, 1288, x4) - -inst_338: -// rs1_val==5 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 1292, x4) - -inst_339: -// rs1_val==5 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1296, x4) - -inst_340: -// rs1_val==5 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x6, x2, 1300, x4) - -inst_341: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555555, x2, 1304, x4) - -inst_342: -// rs1_val==5 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1308, x4) - -inst_343: -// rs1_val==5 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1312, x4) - -inst_344: -// rs1_val==5 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 1316, x4) - -inst_345: -// rs1_val==5 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1320, x4) - -inst_346: -// rs1_val==5 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1324, x4) - -inst_347: -// rs1_val==5 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 1328, x4) - -inst_348: -// rs1_val==5 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1332, x4) - -inst_349: -// rs1_val==5 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1336, x4) - -inst_350: -// rs1_val==5 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 1340, x4) - -inst_351: -// rs1_val==5 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb504, x2, 1344, x4) - -inst_352: -// rs1_val==5 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1348, x4) - -inst_353: -// rs1_val==5 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 1352, x4) - -inst_354: -// rs1_val==5 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1356, x4) - -inst_355: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555556, x2, 1360, x4) - -inst_356: -// rs1_val==5 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1364, x4) - -inst_357: -// rs1_val==5 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1368, x4) - -inst_358: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb505, x2, 1372, x4) - -inst_359: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb503, x2, 1376, x4) - -inst_360: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666667, x2, 1380, x4) - -inst_361: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333334, x2, 1384, x4) - -inst_362: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x6, x2, 1388, x4) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x2, 1392, x4) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555556, x2, 1396, x4) - -inst_365: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x4, x2, 1400, x4) - -inst_366: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb503, x2, 1404, x4) - -inst_367: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x0, x2, 1408, x4) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666665, x2, 1412, x4) - -inst_369: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333332, x2, 1416, x4) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555554, x2, 1420, x4) - -inst_371: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x2, x2, 1424, x4) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb504, x2, 1428, x4) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb504, x2, 1432, x4) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666666, x2, 1436, x4) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333333, x2, 1440, x4) - -inst_376: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x5, x2, 1444, x4) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1448, x4) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555555, x2, 1452, x4) - -inst_379: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x3, x2, 1456, x4) - -inst_380: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 1460, x4) - -inst_381: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb503, x2, 1464, x4) - -inst_382: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 1468, x4) - -inst_383: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 1472, x4) - -inst_384: -// rs1_val==1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 1476, x4) - -inst_385: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1480, x4) - -inst_386: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 1484, x4) - -inst_387: -// rs1_val==1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 1488, x4) - -inst_388: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 1492, x4) - -inst_389: -// rs1_val==1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1496, x4) - -inst_390: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 1500, x4) - -inst_391: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 1504, x4) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 1508, x4) - -inst_393: -// rs1_val==1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1512, x4) - -inst_394: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 1516, x4) - -inst_395: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb504, x2, 1520, x4) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 1524, x4) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 1528, x4) - -inst_398: -// rs1_val==1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1532, x4) - -inst_399: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x2, 1536, x4) - -inst_400: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1540, x4) - -inst_401: -// rs1_val==1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1544, x4) - -inst_402: -// rs1_val==3 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 1548, x4) - -inst_403: -// rs1_val==3 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb503, x2, 1552, x4) - -inst_404: -// rs1_val==3 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1556, x4) - -inst_405: -// rs1_val==3 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 1560, x4) - -inst_406: -// rs1_val==3 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x6, x2, 1564, x4) - -inst_407: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555555, x2, 1568, x4) - -inst_408: -// rs1_val==3 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1572, x4) - -inst_409: -// rs1_val==3 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4, x2, 1576, x4) - -inst_410: -// rs1_val==3 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 1580, x4) - -inst_411: -// rs1_val==3 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1584, x4) - -inst_412: -// rs1_val==3 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1588, x4) - -inst_413: -// rs1_val==3 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 1592, x4) - -inst_414: -// rs1_val==3 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 1596, x4) - -inst_415: -// rs1_val==3 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1600, x4) - -inst_416: -// rs1_val==3 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 1604, x4) - -inst_417: -// rs1_val==3 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb504, x2, 1608, x4) - -inst_418: -// rs1_val==3 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1612, x4) - -inst_419: -// rs1_val==3 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 1616, x4) - -inst_420: -// rs1_val==3 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x5, x2, 1620, x4) - -inst_421: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555556, x2, 1624, x4) - -inst_422: -// rs1_val==3 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 1628, x4) - -inst_423: -// rs1_val==3 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1632, x4) - -inst_424: -// rs1_val==1717986917 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1636, x4) - -inst_425: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1640, x4) - -inst_426: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1644, x4) - -inst_427: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1648, x4) - -inst_428: -// rs1_val==1717986917 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1652, x4) - -inst_429: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1656, x4) - -inst_430: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb504, x2, 1660, x4) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1664, x4) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1668, x4) - -inst_433: -// rs1_val==1717986917 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1672, x4) - -inst_434: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555556, x2, 1676, x4) - -inst_435: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1680, x4) - -inst_436: -// rs1_val==1717986917 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1684, x4) - -inst_437: -// rs1_val==858993458 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1688, x4) - -inst_438: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb503, x2, 1692, x4) - -inst_439: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1696, x4) - -inst_440: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1700, x4) - -inst_441: -// rs1_val==858993458 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1704, x4) - -inst_442: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x2, 1708, x4) - -inst_443: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1712, x4) - -inst_444: -// rs1_val==858993458 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1716, x4) - -inst_445: -// rs1_val==858993458 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1720, x4) - -inst_446: -// rs1_val==858993458 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1724, x4) - -inst_447: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1728, x4) - -inst_448: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1732, x4) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1736, x4) - -inst_450: -// rs1_val==858993458 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1740, x4) - -inst_451: -// rs1_val==858993458 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1744, x4) - -inst_452: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb504, x2, 1748, x4) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1752, x4) - -inst_454: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1756, x4) - -inst_455: -// rs1_val==858993458 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1760, x4) - -inst_456: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x2, 1764, x4) - -inst_457: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1768, x4) - -inst_458: -// rs1_val==858993458 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1772, x4) - -inst_459: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 1776, x4) - -inst_460: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb503, x2, 1780, x4) - -inst_461: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 1784, x4) - -inst_462: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 1788, x4) - -inst_463: -// rs1_val==1431655764 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1792, x4) - -inst_464: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x2, 1796, x4) - -inst_465: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 1800, x4) - -inst_466: -// rs1_val==1431655764 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1804, x4) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1808, x4) - -inst_468: -// rs1_val==1431655764 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1812, x4) - -inst_469: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1816, x4) - -inst_470: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1820, x4) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1824, x4) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1828, x4) - -inst_473: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1832, x4) - -inst_474: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb504, x2, 1836, x4) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1840, x4) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1844, x4) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1848, x4) - -inst_478: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x2, 1852, x4) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1856, x4) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1860, x4) - -inst_481: -// rs1_val==2 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1864, x4) - -inst_482: -// rs1_val==2 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb503, x2, 1868, x4) - -inst_483: -// rs1_val==2 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1872, x4) - -inst_484: -// rs1_val==2 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1876, x4) - -inst_485: -// rs1_val==2 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1880, x4) - -inst_486: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555555, x2, 1884, x4) - -inst_487: -// rs1_val==2 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1888, x4) - -inst_488: -// rs1_val==2 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1892, x4) - -inst_489: -// rs1_val==2 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1896, x4) - -inst_490: -// rs1_val==2 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1900, x4) - -inst_491: -// rs1_val==2 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1904, x4) - -inst_492: -// rs1_val==2 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1908, x4) - -inst_493: -// rs1_val==2 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1912, x4) - -inst_494: -// rs1_val==2 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1916, x4) - -inst_495: -// rs1_val==2 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb504, x2, 1920, x4) - -inst_496: -// rs1_val==2 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1924, x4) - -inst_497: -// rs1_val==2 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1928, x4) - -inst_498: -// rs1_val==2 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1932, x4) - -inst_499: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555556, x2, 1936, x4) - -inst_500: -// rs1_val==2 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1940, x4) - -inst_501: -// rs1_val==2 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1944, x4) - -inst_502: -// rs1_val==46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 1948, x4) - -inst_503: -// rs1_val==46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb503, x2, 1952, x4) - -inst_504: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 1956, x4) - -inst_505: -// rs1_val==46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 1960, x4) - -inst_506: -// rs1_val==46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 1964, x4) - -inst_507: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555555, x2, 1968, x4) - -inst_508: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 1972, x4) - -inst_509: -// rs1_val==46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 1976, x4) - -inst_510: -// rs1_val==46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 1980, x4) - -inst_511: -// rs1_val==46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 1984, x4) - -inst_512: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 1988, x4) - -inst_513: -// rs1_val==46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 1992, x4) - -inst_514: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 1996, x4) - -inst_515: -// rs1_val==46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2000, x4) - -inst_516: -// rs1_val==46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2004, x4) - -inst_517: -// rs1_val==46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2008, x4) - -inst_518: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 2012, x4) - -inst_519: -// rs1_val==46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 2016, x4) - -inst_520: -// rs1_val==46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 2020, x4) - -inst_521: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555556, x2, 2024, x4) - -inst_522: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 2028, x4) - -inst_523: -// rs1_val==46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 2032, x4) - -inst_524: -// rs1_val==-46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb505, x2, 2036, x4) - -inst_525: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, -0xb503, x2, 2040, x4) - -inst_526: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666667, x2, 2044, x4) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_527: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333334, x2, 0, x4) - -inst_528: -// rs1_val==-46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x6, x2, 4, x4) - -inst_529: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555555, x2, 8, x4) - -inst_530: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555556, x2, 12, x4) - -inst_531: -// rs1_val==-46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x4, x2, 16, x4) - -inst_532: -// rs1_val==-46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb503, x2, 20, x4) - -inst_533: -// rs1_val==-46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x0, x2, 24, x4) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666665, x2, 28, x4) - -inst_535: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333332, x2, 32, x4) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555554, x2, 36, x4) - -inst_537: -// rs1_val==-46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x2, x2, 40, x4) - -inst_538: -// rs1_val==-46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb504, x2, 44, x4) - -inst_539: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 48, x4) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666666, x2, 52, x4) - -inst_541: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333333, x2, 56, x4) - -inst_542: -// rs1_val==-46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x5, x2, 60, x4) - -inst_543: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555556, x2, 64, x4) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555555, x2, 68, x4) - -inst_545: -// rs1_val==-46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x3, x2, 72, x4) - -inst_546: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 76, x4) - -inst_547: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb503, x2, 80, x4) - -inst_548: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 84, x4) - -inst_549: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 88, x4) - -inst_550: -// rs1_val==1717986918 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 92, x4) - -inst_551: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555555, x2, 96, x4) - -inst_552: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 100, x4) - -inst_553: -// rs1_val==1717986918 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 104, x4) - -inst_554: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 108, x4) - -inst_555: -// rs1_val==1717986918 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 112, x4) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 116, x4) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 120, x4) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 124, x4) - -inst_559: -// rs1_val==1717986918 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 128, x4) - -inst_560: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 132, x4) - -inst_561: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb504, x2, 136, x4) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 140, x4) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 144, x4) - -inst_564: -// rs1_val==1717986918 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 148, x4) - -inst_565: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555556, x2, 152, x4) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 156, x4) - -inst_567: -// rs1_val==1717986918 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 160, x4) - -inst_568: -// rs1_val==858993459 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 164, x4) - -inst_569: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb503, x2, 168, x4) - -inst_570: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 172, x4) - -inst_571: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 176, x4) - -inst_572: -// rs1_val==858993459 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 180, x4) - -inst_573: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x2, 184, x4) - -inst_574: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 188, x4) - -inst_575: -// rs1_val==858993459 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 192, x4) - -inst_576: -// rs1_val==858993459 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 196, x4) - -inst_577: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x400001, 0x7fffffff, x2, 200, x4) - -inst_578: -// rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x1001, -0x20000001, x2, 204, x4) - -inst_579: -// rs2_val == -268435457, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x10000001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0x10000001, x2, 208, x4) - -inst_580: -// rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x8000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x10001, -0x8000001, x2, 212, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x12_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x12_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S deleted file mode 100644 index 8c53c538c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S +++ /dev/null @@ -1,2890 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slti instruction of the RISC-V E extension for the slti covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slti) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x11, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, rs1_val == 512, imm_val == -2048 -// opcode: slti ; op1:x3; dest:x11; op1val:0x200; immval:-0x800 -TEST_IMM_OP( slti, x11, x3, 0x0, 0x200, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x7, rd==x7, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: slti ; op1:x7; dest:x7; op1val:0x7fffffff; immval:0x665 -TEST_IMM_OP( slti, x7, x7, 0x0, 0x7fffffff, 0x665, x4, 4, x8) - -inst_2: -// rs1==x13, rd==x3, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 -// opcode: slti ; op1:x13; dest:x3; op1val:-0x40000001; immval:-0x800 -TEST_IMM_OP( slti, x3, x13, 0x1, -0x40000001, -0x800, x4, 8, x8) - -inst_3: -// rs1==x0, rd==x14, rs1_val == -536870913, -// opcode: slti ; op1:x0; dest:x14; op1val:0x0; immval:-0x6 -TEST_IMM_OP( slti, x14, x0, 0x0, 0x0, -0x6, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x10, rs1_val == -268435457, imm_val == -513 -// opcode: slti ; op1:x15; dest:x10; op1val:-0x10000001; immval:-0x201 -TEST_IMM_OP( slti, x10, x15, 0x1, -0x10000001, -0x201, x4, 16, x8) - -inst_5: -// rs1==x6, rd==x5, rs1_val == -134217729, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: slti ; op1:x6; dest:x5; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( slti, x5, x6, 0x1, -0x8000001, 0x2, x4, 20, x8) - -inst_6: -// rs1==x12, rd==x13, rs1_val == -67108865, imm_val == 1024 -// opcode: slti ; op1:x12; dest:x13; op1val:-0x4000001; immval:0x400 -TEST_IMM_OP( slti, x13, x12, 0x1, -0x4000001, 0x400, x4, 24, x8) - -inst_7: -// rs1==x2, rd==x1, rs1_val == -33554433, -// opcode: slti ; op1:x2; dest:x1; op1val:-0x2000001; immval:-0x6 -TEST_IMM_OP( slti, x1, x2, 0x1, -0x2000001, -0x6, x4, 28, x8) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x9, rd==x6, rs1_val == -16777217, -// opcode: slti ; op1:x9; dest:x6; op1val:-0x1000001; immval:0x7 -TEST_IMM_OP( slti, x6, x9, 0x1, -0x1000001, 0x7, x3, 0, x7) - -inst_9: -// rs1==x8, rd==x4, rs1_val == -8388609, imm_val == 512 -// opcode: slti ; op1:x8; dest:x4; op1val:-0x800001; immval:0x200 -TEST_IMM_OP( slti, x4, x8, 0x1, -0x800001, 0x200, x3, 4, x7) - -inst_10: -// rs1==x10, rd==x0, rs1_val == -4194305, -// opcode: slti ; op1:x10; dest:x0; op1val:-0x400001; immval:0x2d -TEST_IMM_OP( slti, x0, x10, 0, -0x400001, 0x2d, x3, 8, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: slti ; op1:x1; dest:x2; op1val:-0x200001; immval:0x665 -TEST_IMM_OP( slti, x2, x1, 0x1, -0x200001, 0x665, x3, 12, x7) - -inst_12: -// rs1==x14, rd==x8, rs1_val == -1048577, imm_val == 4 -// opcode: slti ; op1:x14; dest:x8; op1val:-0x100001; immval:0x4 -TEST_IMM_OP( slti, x8, x14, 0x1, -0x100001, 0x4, x3, 16, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, imm_val == -1366 -// opcode: slti ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x556 -TEST_IMM_OP( slti, x12, x5, 0x1, -0x80001, -0x556, x3, 20, x7) - -inst_14: -// rs1==x4, rd==x9, rs1_val == -262145, imm_val == 1 -// opcode: slti ; op1:x4; dest:x9; op1val:-0x40001; immval:0x1 -TEST_IMM_OP( slti, x9, x4, 0x1, -0x40001, 0x1, x3, 24, x7) - -inst_15: -// rs1==x11, rd==x15, rs1_val == -131073, -// opcode: slti ; op1:x11; dest:x15; op1val:-0x20001; immval:0x4 -TEST_IMM_OP( slti, x15, x11, 0x1, -0x20001, 0x4, x3, 28, x7) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs1_val == -65537, imm_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x10001; immval:0x20 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x10001, 0x20, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x8001; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x8001, 0x554, x1, 4, x2) - -inst_18: -// rs1_val == -16385, imm_val == -1025 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x4001, -0x401, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2001; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2001, 0x2c, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x1001, -0x6, x1, 16, x2) - -inst_21: -// rs1_val == -2049, imm_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x801; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x801, 0x0, x1, 20, x2) - -inst_22: -// rs1_val == -1025, rs1_val == imm_val -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x401, -0x401, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x201, -0x6, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x101, 0x3, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x81; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x81, 0x200, x1, 36, x2) - -inst_26: -// rs1_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x41, -0x2c, x1, 40, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x21, 0x8, x1, 44, x2) - -inst_28: -// rs1_val == -17, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x11; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x11, 0x332, x1, 48, x2) - -inst_29: -// rs1_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x9; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0x9, 0x3ff, x1, 52, x2) - -inst_30: -// rs1_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x5; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x5, 0x400, x1, 56, x2) - -inst_31: -// rs1_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x2, x1, 60, x2) - -inst_32: -// rs1_val == -2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, -0x2, -0xa, x1, 64, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x7ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x7ff, x1, 68, x2) - -inst_34: -// imm_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x400001; immval:-0x101 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x400001, -0x101, x1, 72, x2) - -inst_35: -// imm_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x4, -0x81, x1, 76, x2) - -inst_36: -// imm_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7fffffff; immval:-0x41 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7fffffff, -0x41, x1, 80, x2) - -inst_37: -// imm_val == -33, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7; immval:-0x21 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7, -0x21, x1, 84, x2) - -inst_38: -// imm_val == -17, rs1_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:0x20; immval:-0x11 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20, -0x11, x1, 88, x2) - -inst_39: -// imm_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2000001; immval:-0x9 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2000001, -0x9, x1, 92, x2) - -inst_40: -// imm_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x401, -0x5, x1, 96, x2) - -inst_41: -// imm_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x3, x1, 100, x2) - -inst_42: -// imm_val == -2, rs1_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2, x1, 104, x2) - -inst_43: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slti ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x80000000, 0x667, x1, 108, x2) - -inst_44: -// rs1_val == 1073741824, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000000; immval:0x9 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000000, 0x9, x1, 112, x2) - -inst_45: -// rs1_val == 536870912, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000000; immval:-0x8 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000000, -0x8, x1, 116, x2) - -inst_46: -// rs1_val == 268435456, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000000, 0x7, x1, 120, x2) - -inst_47: -// rs1_val == 134217728, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000000, -0x4, x1, 124, x2) - -inst_48: -// rs1_val == 67108864, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x2e, x1, 128, x2) - -inst_49: -// rs1_val == 33554432, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000000, 0x556, x1, 132, x2) - -inst_50: -// rs1_val == 16777216, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000000, -0x556, x1, 136, x2) - -inst_51: -// rs1_val == 8388608, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800000; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800000, 0x4, x1, 140, x2) - -inst_52: -// rs1_val == 4194304, imm_val == 1365 -// opcode: slti ; op1:x10; dest:x11; op1val:0x400000; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400000, 0x555, x1, 144, x2) - -inst_53: -// rs1_val == 2097152, -// opcode: slti ; op1:x10; dest:x11; op1val:0x200000; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x200000, -0x400, x1, 148, x2) - -inst_54: -// rs1_val == 1048576, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100000; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x100000, 0x2c, x1, 152, x2) - -inst_55: -// rs1_val == 524288, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80000, -0x4, x1, 156, x2) - -inst_56: -// rs1_val == 262144, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000, 0x2, x1, 160, x2) - -inst_57: -// rs1_val == 131072, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000, 0x400, x1, 164, x2) - -inst_58: -// rs1_val == 65536, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000, -0x2c, x1, 168, x2) - -inst_59: -// rs1_val == 32768, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000, 0x6, x1, 172, x2) - -inst_60: -// rs1_val == 16384, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000, 0x0, x1, 176, x2) - -inst_61: -// rs1_val == 8192, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000, 0x200, x1, 180, x2) - -inst_62: -// rs1_val == 4096, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000, 0x3, x1, 184, x2) - -inst_63: -// rs1_val == 2048, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800, -0x81, x1, 188, x2) - -inst_64: -// rs1_val == 1024, -// opcode: slti ; op1:x10; dest:x11; op1val:0x400; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400, -0xa, x1, 192, x2) - -inst_65: -// rs1_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, 0x100, 0x3ff, x1, 196, x2) - -inst_66: -// rs1_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80, 0x4, x1, 200, x2) - -inst_67: -// rs1_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40, -0x400, x1, 204, x2) - -inst_68: -// rs1_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x10, 0x2e, x1, 208, x2) - -inst_69: -// rs1_val == 8, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8, 0x3, x1, 212, x2) - -inst_70: -// rs1_val == 4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0xa, x1, 216, x2) - -inst_71: -// rs1_val == 2, rs1_val==2 and imm_val==45 -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2d, x1, 220, x2) - -inst_72: -// rs1_val == 1, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1, -0x3, x1, 224, x2) - -inst_73: -// imm_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x100 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x100, x1, 228, x2) - -inst_74: -// imm_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x80 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x80, x1, 232, x2) - -inst_75: -// imm_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x40 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x40, x1, 236, x2) - -inst_76: -// imm_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x10 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x10, x1, 240, x2) - -inst_77: -// rs1_val==46341 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2e, x1, 244, x2) - -inst_78: -// rs1_val==46341 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2c, x1, 248, x2) - -inst_79: -// rs1_val==46341 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x667, x1, 252, x2) - -inst_80: -// rs1_val==46341 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x334, x1, 256, x2) - -inst_81: -// rs1_val==46341 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x6, x1, 260, x2) - -inst_82: -// rs1_val==46341 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x555, x1, 264, x2) - -inst_83: -// rs1_val==46341 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x556, x1, 268, x2) - -inst_84: -// rs1_val==46341 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x4, x1, 272, x2) - -inst_85: -// rs1_val==46341 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2c, x1, 276, x2) - -inst_86: -// rs1_val==46341 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x0, x1, 280, x2) - -inst_87: -// rs1_val==46341 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x665, x1, 284, x2) - -inst_88: -// rs1_val==46341 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x332, x1, 288, x2) - -inst_89: -// rs1_val==46341 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x554, x1, 292, x2) - -inst_90: -// rs1_val==46341 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2, x1, 296, x2) - -inst_91: -// rs1_val==46341 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2d, x1, 300, x2) - -inst_92: -// rs1_val==46341 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2d, x1, 304, x2) - -inst_93: -// rs1_val==46341 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x666, x1, 308, x2) - -inst_94: -// rs1_val==46341 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x333, x1, 312, x2) - -inst_95: -// rs1_val==46341 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x5, x1, 316, x2) - -inst_96: -// rs1_val==46341 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x556, x1, 320, x2) - -inst_97: -// rs1_val==46341 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x555, x1, 324, x2) - -inst_98: -// rs1_val==46341 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x3, x1, 328, x2) - -inst_99: -// rs1_val==-46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2e, x1, 332, x2) - -inst_100: -// rs1_val==-46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2c, x1, 336, x2) - -inst_101: -// rs1_val==-46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x667, x1, 340, x2) - -inst_102: -// rs1_val==-46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x334, x1, 344, x2) - -inst_103: -// rs1_val==-46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x6, x1, 348, x2) - -inst_104: -// rs1_val==-46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x555, x1, 352, x2) - -inst_105: -// rs1_val==-46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x556, x1, 356, x2) - -inst_106: -// rs1_val==-46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x4, x1, 360, x2) - -inst_107: -// rs1_val==-46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2c, x1, 364, x2) - -inst_108: -// rs1_val==-46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x0, x1, 368, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x665, x1, 372, x2) - -inst_110: -// rs1_val==-46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x332, x1, 376, x2) - -inst_111: -// rs1_val==-46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x554, x1, 380, x2) - -inst_112: -// rs1_val==-46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2, x1, 384, x2) - -inst_113: -// rs1_val==-46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2d, x1, 388, x2) - -inst_114: -// rs1_val==-46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2d, x1, 392, x2) - -inst_115: -// rs1_val==-46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x666, x1, 396, x2) - -inst_116: -// rs1_val==-46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x333, x1, 400, x2) - -inst_117: -// rs1_val==-46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x5, x1, 404, x2) - -inst_118: -// rs1_val==-46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x556, x1, 408, x2) - -inst_119: -// rs1_val==-46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x555, x1, 412, x2) - -inst_120: -// rs1_val==-46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x3, x1, 416, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2e, x1, 420, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2c, x1, 424, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x667, x1, 428, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x334, x1, 432, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x6, x1, 436, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x555, x1, 440, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x556, x1, 444, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x4, x1, 448, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2c, x1, 452, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x0, x1, 456, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x665, x1, 460, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x332, x1, 464, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x554, x1, 468, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2, x1, 472, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2d, x1, 476, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2d, x1, 480, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x666, x1, 484, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x333, x1, 488, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x5, x1, 492, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x556, x1, 496, x2) - -inst_141: -// rs1_val==1717986919 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x555, x1, 500, x2) - -inst_142: -// rs1_val==1717986919 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x3, x1, 504, x2) - -inst_143: -// rs1_val==858993460 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2e, x1, 508, x2) - -inst_144: -// rs1_val==858993460 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2c, x1, 512, x2) - -inst_145: -// rs1_val==858993460 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x667, x1, 516, x2) - -inst_146: -// rs1_val==858993460 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x334, x1, 520, x2) - -inst_147: -// rs1_val==858993460 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x6, x1, 524, x2) - -inst_148: -// rs1_val==858993460 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x555, x1, 528, x2) - -inst_149: -// rs1_val==858993460 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x556, x1, 532, x2) - -inst_150: -// rs1_val==858993460 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x4, x1, 536, x2) - -inst_151: -// rs1_val==858993460 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2c, x1, 540, x2) - -inst_152: -// rs1_val==858993460 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x0, x1, 544, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x665, x1, 548, x2) - -inst_154: -// rs1_val==858993460 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x332, x1, 552, x2) - -inst_155: -// rs1_val==858993460 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x554, x1, 556, x2) - -inst_156: -// rs1_val==858993460 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2, x1, 560, x2) - -inst_157: -// rs1_val==858993460 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2d, x1, 564, x2) - -inst_158: -// rs1_val==858993460 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2d, x1, 568, x2) - -inst_159: -// rs1_val==858993460 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x666, x1, 572, x2) - -inst_160: -// rs1_val==858993460 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x333, x1, 576, x2) - -inst_161: -// rs1_val==858993460 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x5, x1, 580, x2) - -inst_162: -// rs1_val==858993460 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x556, x1, 584, x2) - -inst_163: -// rs1_val==858993460 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x555, x1, 588, x2) - -inst_164: -// rs1_val==858993460 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x3, x1, 592, x2) - -inst_165: -// rs1_val==6 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2e, x1, 596, x2) - -inst_166: -// rs1_val==6 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2c, x1, 600, x2) - -inst_167: -// rs1_val==6 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x667, x1, 604, x2) - -inst_168: -// rs1_val==6 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x334, x1, 608, x2) - -inst_169: -// rs1_val==6 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x6, x1, 612, x2) - -inst_170: -// rs1_val==6 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x555, x1, 616, x2) - -inst_171: -// rs1_val==6 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x556, x1, 620, x2) - -inst_172: -// rs1_val==6 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x4, x1, 624, x2) - -inst_173: -// rs1_val==6 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2c, x1, 628, x2) - -inst_174: -// rs1_val==6 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x0, x1, 632, x2) - -inst_175: -// rs1_val==6 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x665, x1, 636, x2) - -inst_176: -// rs1_val==6 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x332, x1, 640, x2) - -inst_177: -// rs1_val==6 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x554, x1, 644, x2) - -inst_178: -// rs1_val==6 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x2, x1, 648, x2) - -inst_179: -// rs1_val==6 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2d, x1, 652, x2) - -inst_180: -// rs1_val==6 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2d, x1, 656, x2) - -inst_181: -// rs1_val==6 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x666, x1, 660, x2) - -inst_182: -// rs1_val==6 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x333, x1, 664, x2) - -inst_183: -// rs1_val==6 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x5, x1, 668, x2) - -inst_184: -// rs1_val==6 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x556, x1, 672, x2) - -inst_185: -// rs1_val==6 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x555, x1, 676, x2) - -inst_186: -// rs1_val==6 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x3, x1, 680, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2e, x1, 684, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2c, x1, 688, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x667, x1, 692, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x334, x1, 696, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x6, x1, 700, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x555, x1, 704, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x556, x1, 708, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x4, x1, 712, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2c, x1, 716, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x0, x1, 720, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x665, x1, 724, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x332, x1, 728, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x554, x1, 732, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2, x1, 736, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2d, x1, 740, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2d, x1, 744, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x666, x1, 748, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x333, x1, 752, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x5, x1, 756, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x556, x1, 760, x2) - -inst_207: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x555, x1, 764, x2) - -inst_208: -// rs1_val==-1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x3, x1, 768, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2e, x1, 772, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2c, x1, 776, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x667, x1, 780, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x334, x1, 784, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x6, x1, 788, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x555, x1, 792, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x556, x1, 796, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x4, x1, 800, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2c, x1, 804, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x0, x1, 808, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x665, x1, 812, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x332, x1, 816, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x554, x1, 820, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2, x1, 824, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2d, x1, 828, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2d, x1, 832, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x666, x1, 836, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x333, x1, 840, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x5, x1, 844, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x556, x1, 848, x2) - -inst_229: -// rs1_val==1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x555, x1, 852, x2) - -inst_230: -// rs1_val==1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x3, x1, 856, x2) - -inst_231: -// rs1_val==4 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2e, x1, 860, x2) - -inst_232: -// rs1_val==4 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2c, x1, 864, x2) - -inst_233: -// rs1_val==4 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x667, x1, 868, x2) - -inst_234: -// rs1_val==4 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x334, x1, 872, x2) - -inst_235: -// rs1_val==4 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x6, x1, 876, x2) - -inst_236: -// rs1_val==4 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x555, x1, 880, x2) - -inst_237: -// rs1_val==4 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x556, x1, 884, x2) - -inst_238: -// rs1_val==4 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x4, x1, 888, x2) - -inst_239: -// rs1_val==4 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2c, x1, 892, x2) - -inst_240: -// rs1_val==4 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x0, x1, 896, x2) - -inst_241: -// rs1_val==4 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x665, x1, 900, x2) - -inst_242: -// rs1_val==4 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x332, x1, 904, x2) - -inst_243: -// rs1_val==4 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x554, x1, 908, x2) - -inst_244: -// rs1_val==4 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x2, x1, 912, x2) - -inst_245: -// rs1_val==4 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2d, x1, 916, x2) - -inst_246: -// rs1_val==4 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2d, x1, 920, x2) - -inst_247: -// rs1_val==4 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x666, x1, 924, x2) - -inst_248: -// rs1_val==4 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x333, x1, 928, x2) - -inst_249: -// rs1_val==4 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x5, x1, 932, x2) - -inst_250: -// rs1_val==4 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x556, x1, 936, x2) - -inst_251: -// rs1_val==4 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x555, x1, 940, x2) - -inst_252: -// rs1_val==4 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x3, x1, 944, x2) - -inst_253: -// rs1_val==46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2e, x1, 948, x2) - -inst_254: -// rs1_val==46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2c, x1, 952, x2) - -inst_255: -// rs1_val==46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x667, x1, 956, x2) - -inst_256: -// rs1_val==46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x334, x1, 960, x2) - -inst_257: -// rs1_val==46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x6, x1, 964, x2) - -inst_258: -// rs1_val==46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x555, x1, 968, x2) - -inst_259: -// rs1_val==46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x556, x1, 972, x2) - -inst_260: -// rs1_val==46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x4, x1, 976, x2) - -inst_261: -// rs1_val==46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2c, x1, 980, x2) - -inst_262: -// rs1_val==46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x0, x1, 984, x2) - -inst_263: -// rs1_val==46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x665, x1, 988, x2) - -inst_264: -// rs1_val==46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x332, x1, 992, x2) - -inst_265: -// rs1_val==46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x554, x1, 996, x2) - -inst_266: -// rs1_val==46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2, x1, 1000, x2) - -inst_267: -// rs1_val==46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2d, x1, 1004, x2) - -inst_268: -// rs1_val==46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2d, x1, 1008, x2) - -inst_269: -// rs1_val==46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x666, x1, 1012, x2) - -inst_270: -// rs1_val==46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x333, x1, 1016, x2) - -inst_271: -// rs1_val==46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x5, x1, 1020, x2) - -inst_272: -// rs1_val==46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x556, x1, 1024, x2) - -inst_273: -// rs1_val==46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x555, x1, 1028, x2) - -inst_274: -// rs1_val==46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x3, x1, 1032, x2) - -inst_275: -// rs1_val==0 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2e, x1, 1036, x2) - -inst_276: -// rs1_val==0 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2c, x1, 1040, x2) - -inst_277: -// rs1_val==0 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x667, x1, 1044, x2) - -inst_278: -// rs1_val==0 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x334, x1, 1048, x2) - -inst_279: -// rs1_val==0 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x6, x1, 1052, x2) - -inst_280: -// rs1_val==0 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x555, x1, 1056, x2) - -inst_281: -// rs1_val==0 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x556, x1, 1060, x2) - -inst_282: -// rs1_val==0 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x4, x1, 1064, x2) - -inst_283: -// rs1_val==0 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2c, x1, 1068, x2) - -inst_284: -// rs1_val==0 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, 0x0, x1, 1072, x2) - -inst_285: -// rs1_val==0 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x665, x1, 1076, x2) - -inst_286: -// rs1_val==0 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x332, x1, 1080, x2) - -inst_287: -// rs1_val==0 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x554, x1, 1084, x2) - -inst_288: -// rs1_val==0 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2, x1, 1088, x2) - -inst_289: -// rs1_val==0 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2d, x1, 1092, x2) - -inst_290: -// rs1_val==0 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2d, x1, 1096, x2) - -inst_291: -// rs1_val==0 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x666, x1, 1100, x2) - -inst_292: -// rs1_val==0 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x333, x1, 1104, x2) - -inst_293: -// rs1_val==0 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x5, x1, 1108, x2) - -inst_294: -// rs1_val==0 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x556, x1, 1112, x2) - -inst_295: -// rs1_val==0 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x555, x1, 1116, x2) - -inst_296: -// rs1_val==0 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x3, x1, 1120, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1124, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2c, x1, 1128, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x667, x1, 1132, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x334, x1, 1136, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x6, x1, 1140, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x555, x1, 1144, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x556, x1, 1148, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x4, x1, 1152, x2) - -inst_305: -// rs1_val==1717986917 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1156, x2) - -inst_306: -// rs1_val==1717986917 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x0, x1, 1160, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x555, x1, 1164, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x556, x1, 1168, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x4, x1, 1172, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2c, x1, 1176, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x0, x1, 1180, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x665, x1, 1184, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x332, x1, 1188, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x554, x1, 1192, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2, x1, 1196, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2d, x1, 1200, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2d, x1, 1204, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x666, x1, 1208, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x333, x1, 1212, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x5, x1, 1216, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x556, x1, 1220, x2) - -inst_322: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x555, x1, 1224, x2) - -inst_323: -// rs1_val==-1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x3, x1, 1228, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2e, x1, 1232, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2c, x1, 1236, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x667, x1, 1240, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x334, x1, 1244, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x6, x1, 1248, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x555, x1, 1252, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x556, x1, 1256, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x4, x1, 1260, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2c, x1, 1264, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x0, x1, 1268, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x665, x1, 1272, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x332, x1, 1276, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x554, x1, 1280, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2, x1, 1284, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2d, x1, 1288, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2d, x1, 1292, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x666, x1, 1296, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x333, x1, 1300, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x5, x1, 1304, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x556, x1, 1308, x2) - -inst_344: -// rs1_val==1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x555, x1, 1312, x2) - -inst_345: -// rs1_val==1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x3, x1, 1316, x2) - -inst_346: -// rs1_val==3 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2e, x1, 1320, x2) - -inst_347: -// rs1_val==3 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2c, x1, 1324, x2) - -inst_348: -// rs1_val==3 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x667, x1, 1328, x2) - -inst_349: -// rs1_val==3 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x334, x1, 1332, x2) - -inst_350: -// rs1_val==3 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x6, x1, 1336, x2) - -inst_351: -// rs1_val==3 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x555, x1, 1340, x2) - -inst_352: -// rs1_val==3 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x556, x1, 1344, x2) - -inst_353: -// rs1_val==3 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x4, x1, 1348, x2) - -inst_354: -// rs1_val==3 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2c, x1, 1352, x2) - -inst_355: -// rs1_val==3 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x0, x1, 1356, x2) - -inst_356: -// rs1_val==3 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x665, x1, 1360, x2) - -inst_357: -// rs1_val==3 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x332, x1, 1364, x2) - -inst_358: -// rs1_val==3 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x554, x1, 1368, x2) - -inst_359: -// rs1_val==3 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x2, x1, 1372, x2) - -inst_360: -// rs1_val==3 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2d, x1, 1376, x2) - -inst_361: -// rs1_val==3 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2d, x1, 1380, x2) - -inst_362: -// rs1_val==3 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x666, x1, 1384, x2) - -inst_363: -// rs1_val==3 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x333, x1, 1388, x2) - -inst_364: -// rs1_val==3 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x5, x1, 1392, x2) - -inst_365: -// rs1_val==3 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x556, x1, 1396, x2) - -inst_366: -// rs1_val==3 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x555, x1, 1400, x2) - -inst_367: -// rs1_val==3 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x3, x1, 1404, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x665, x1, 1408, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x332, x1, 1412, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x554, x1, 1416, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2, x1, 1420, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1424, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2d, x1, 1428, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x666, x1, 1432, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x333, x1, 1436, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x5, x1, 1440, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x556, x1, 1444, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x555, x1, 1448, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x3, x1, 1452, x2) - -inst_380: -// rs1_val==858993458 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1456, x2) - -inst_381: -// rs1_val==858993458 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2c, x1, 1460, x2) - -inst_382: -// rs1_val==858993458 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x667, x1, 1464, x2) - -inst_383: -// rs1_val==858993458 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x334, x1, 1468, x2) - -inst_384: -// rs1_val==858993458 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x6, x1, 1472, x2) - -inst_385: -// rs1_val==858993458 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x555, x1, 1476, x2) - -inst_386: -// rs1_val==858993458 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x556, x1, 1480, x2) - -inst_387: -// rs1_val==858993458 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x4, x1, 1484, x2) - -inst_388: -// rs1_val==858993458 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1488, x2) - -inst_389: -// rs1_val==858993458 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x0, x1, 1492, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x665, x1, 1496, x2) - -inst_391: -// rs1_val==858993458 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x332, x1, 1500, x2) - -inst_392: -// rs1_val==858993458 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x554, x1, 1504, x2) - -inst_393: -// rs1_val==858993458 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2, x1, 1508, x2) - -inst_394: -// rs1_val==858993458 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1512, x2) - -inst_395: -// rs1_val==858993458 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2d, x1, 1516, x2) - -inst_396: -// rs1_val==858993458 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x666, x1, 1520, x2) - -inst_397: -// rs1_val==858993458 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x333, x1, 1524, x2) - -inst_398: -// rs1_val==858993458 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x5, x1, 1528, x2) - -inst_399: -// rs1_val==858993458 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x556, x1, 1532, x2) - -inst_400: -// rs1_val==858993458 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x555, x1, 1536, x2) - -inst_401: -// rs1_val==858993458 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x3, x1, 1540, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2e, x1, 1544, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2c, x1, 1548, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x667, x1, 1552, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x334, x1, 1556, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x6, x1, 1560, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x555, x1, 1564, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x556, x1, 1568, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x4, x1, 1572, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2c, x1, 1576, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x0, x1, 1580, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x665, x1, 1584, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x332, x1, 1588, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x554, x1, 1592, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2, x1, 1596, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2d, x1, 1600, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2d, x1, 1604, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x666, x1, 1608, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x333, x1, 1612, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x5, x1, 1616, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x556, x1, 1620, x2) - -inst_422: -// rs1_val==1431655764 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x555, x1, 1624, x2) - -inst_423: -// rs1_val==1431655764 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x3, x1, 1628, x2) - -inst_424: -// rs1_val==2 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2e, x1, 1632, x2) - -inst_425: -// rs1_val==2 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2c, x1, 1636, x2) - -inst_426: -// rs1_val==2 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x667, x1, 1640, x2) - -inst_427: -// rs1_val==2 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x334, x1, 1644, x2) - -inst_428: -// rs1_val==2 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x6, x1, 1648, x2) - -inst_429: -// rs1_val==2 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x555, x1, 1652, x2) - -inst_430: -// rs1_val==2 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x556, x1, 1656, x2) - -inst_431: -// rs1_val==2 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x4, x1, 1660, x2) - -inst_432: -// rs1_val==2 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2c, x1, 1664, x2) - -inst_433: -// rs1_val==2 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x0, x1, 1668, x2) - -inst_434: -// rs1_val==2 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x665, x1, 1672, x2) - -inst_435: -// rs1_val==2 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x332, x1, 1676, x2) - -inst_436: -// rs1_val==2 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x554, x1, 1680, x2) - -inst_437: -// rs1_val==2 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x2, x1, 1684, x2) - -inst_438: -// rs1_val==2 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2d, x1, 1688, x2) - -inst_439: -// rs1_val==2 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x666, x1, 1692, x2) - -inst_440: -// rs1_val==2 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x333, x1, 1696, x2) - -inst_441: -// rs1_val==2 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x5, x1, 1700, x2) - -inst_442: -// rs1_val==2 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x556, x1, 1704, x2) - -inst_443: -// rs1_val==2 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x555, x1, 1708, x2) - -inst_444: -// rs1_val==2 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x3, x1, 1712, x2) - -inst_445: -// rs1_val==46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2e, x1, 1716, x2) - -inst_446: -// rs1_val==46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2c, x1, 1720, x2) - -inst_447: -// rs1_val==46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x667, x1, 1724, x2) - -inst_448: -// rs1_val==46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x334, x1, 1728, x2) - -inst_449: -// rs1_val==46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x6, x1, 1732, x2) - -inst_450: -// rs1_val==46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x555, x1, 1736, x2) - -inst_451: -// rs1_val==46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x556, x1, 1740, x2) - -inst_452: -// rs1_val==46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x4, x1, 1744, x2) - -inst_453: -// rs1_val==46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2c, x1, 1748, x2) - -inst_454: -// rs1_val==46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x0, x1, 1752, x2) - -inst_455: -// rs1_val==46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x665, x1, 1756, x2) - -inst_456: -// rs1_val==46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x332, x1, 1760, x2) - -inst_457: -// rs1_val==46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x554, x1, 1764, x2) - -inst_458: -// rs1_val==46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2, x1, 1768, x2) - -inst_459: -// rs1_val==46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2d, x1, 1772, x2) - -inst_460: -// rs1_val==46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2d, x1, 1776, x2) - -inst_461: -// rs1_val==46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x666, x1, 1780, x2) - -inst_462: -// rs1_val==46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x333, x1, 1784, x2) - -inst_463: -// rs1_val==46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x5, x1, 1788, x2) - -inst_464: -// rs1_val==46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x556, x1, 1792, x2) - -inst_465: -// rs1_val==46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x555, x1, 1796, x2) - -inst_466: -// rs1_val==46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x3, x1, 1800, x2) - -inst_467: -// rs1_val==-46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2e, x1, 1804, x2) - -inst_468: -// rs1_val==-46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2c, x1, 1808, x2) - -inst_469: -// rs1_val==-46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x667, x1, 1812, x2) - -inst_470: -// rs1_val==-46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x334, x1, 1816, x2) - -inst_471: -// rs1_val==-46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x6, x1, 1820, x2) - -inst_472: -// rs1_val==-46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x555, x1, 1824, x2) - -inst_473: -// rs1_val==-46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x556, x1, 1828, x2) - -inst_474: -// rs1_val==-46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x4, x1, 1832, x2) - -inst_475: -// rs1_val==-46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2c, x1, 1836, x2) - -inst_476: -// rs1_val==-46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x0, x1, 1840, x2) - -inst_477: -// rs1_val==-46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x665, x1, 1844, x2) - -inst_478: -// rs1_val==-46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x332, x1, 1848, x2) - -inst_479: -// rs1_val==-46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x554, x1, 1852, x2) - -inst_480: -// rs1_val==-46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2, x1, 1856, x2) - -inst_481: -// rs1_val==-46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2d, x1, 1860, x2) - -inst_482: -// rs1_val==-46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2d, x1, 1864, x2) - -inst_483: -// rs1_val==-46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x666, x1, 1868, x2) - -inst_484: -// rs1_val==-46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x333, x1, 1872, x2) - -inst_485: -// rs1_val==-46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x5, x1, 1876, x2) - -inst_486: -// rs1_val==-46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x556, x1, 1880, x2) - -inst_487: -// rs1_val==-46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x555, x1, 1884, x2) - -inst_488: -// rs1_val==-46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x3, x1, 1888, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1892, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2c, x1, 1896, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x667, x1, 1900, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x334, x1, 1904, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x6, x1, 1908, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x555, x1, 1912, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x556, x1, 1916, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x4, x1, 1920, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1924, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x0, x1, 1928, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x665, x1, 1932, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x332, x1, 1936, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x554, x1, 1940, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2, x1, 1944, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2d, x1, 1948, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2d, x1, 1952, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x666, x1, 1956, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x333, x1, 1960, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x5, x1, 1964, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x556, x1, 1968, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x555, x1, 1972, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x3, x1, 1976, x2) - -inst_511: -// rs1_val==858993459 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2e, x1, 1980, x2) - -inst_512: -// rs1_val==858993459 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2c, x1, 1984, x2) - -inst_513: -// rs1_val==858993459 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x667, x1, 1988, x2) - -inst_514: -// rs1_val==858993459 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x334, x1, 1992, x2) - -inst_515: -// rs1_val==858993459 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x6, x1, 1996, x2) - -inst_516: -// rs1_val==858993459 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x555, x1, 2000, x2) - -inst_517: -// rs1_val==858993459 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x556, x1, 2004, x2) - -inst_518: -// rs1_val==858993459 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x4, x1, 2008, x2) - -inst_519: -// rs1_val==858993459 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2c, x1, 2012, x2) - -inst_520: -// rs1_val==858993459 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x0, x1, 2016, x2) - -inst_521: -// rs1_val==858993459 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x665, x1, 2020, x2) - -inst_522: -// rs1_val==858993459 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x332, x1, 2024, x2) - -inst_523: -// rs1_val==858993459 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x554, x1, 2028, x2) - -inst_524: -// rs1_val==858993459 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2, x1, 2032, x2) - -inst_525: -// rs1_val==858993459 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2d, x1, 2036, x2) - -inst_526: -// rs1_val==858993459 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2d, x1, 2040, x2) - -inst_527: -// rs1_val==858993459 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x666, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==858993459 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x333, x1, 0, x2) - -inst_529: -// rs1_val==858993459 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x5, x1, 4, x2) - -inst_530: -// rs1_val==858993459 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x556, x1, 8, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x555, x1, 12, x2) - -inst_532: -// rs1_val==858993459 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x3, x1, 16, x2) - -inst_533: -// rs1_val==5 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2e, x1, 20, x2) - -inst_534: -// rs1_val==5 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2c, x1, 24, x2) - -inst_535: -// rs1_val==5 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x667, x1, 28, x2) - -inst_536: -// rs1_val==5 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x334, x1, 32, x2) - -inst_537: -// rs1_val==5 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x6, x1, 36, x2) - -inst_538: -// rs1_val==5 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x555, x1, 40, x2) - -inst_539: -// rs1_val==5 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x556, x1, 44, x2) - -inst_540: -// rs1_val==5 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x4, x1, 48, x2) - -inst_541: -// rs1_val==5 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2c, x1, 52, x2) - -inst_542: -// rs1_val==5 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x0, x1, 56, x2) - -inst_543: -// rs1_val==5 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x665, x1, 60, x2) - -inst_544: -// rs1_val==5 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x332, x1, 64, x2) - -inst_545: -// rs1_val==5 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x554, x1, 68, x2) - -inst_546: -// rs1_val==5 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x2, x1, 72, x2) - -inst_547: -// rs1_val==5 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2d, x1, 76, x2) - -inst_548: -// rs1_val==5 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2d, x1, 80, x2) - -inst_549: -// rs1_val==5 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x666, x1, 84, x2) - -inst_550: -// rs1_val==5 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x333, x1, 88, x2) - -inst_551: -// rs1_val==5 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x5, x1, 92, x2) - -inst_552: -// rs1_val==5 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x556, x1, 96, x2) - -inst_553: -// rs1_val==5 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x555, x1, 100, x2) - -inst_554: -// rs1_val==5 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x3, x1, 104, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2e, x1, 108, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2c, x1, 112, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x667, x1, 116, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x334, x1, 120, x2) - -inst_559: -// rs1_val==-1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x6, x1, 124, x2) - -inst_560: -// rs1_val == -536870913, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x20000001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x20000001, -0x6, x1, 128, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S deleted file mode 100644 index 65b2c2a8f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S +++ /dev/null @@ -1,3565 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltiu instruction of the RISC-V E extension for the sltiu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltiu) - -RVTEST_SIGBASE( x14,signature_x14_1) - -inst_0: -// rs1 != rd, rs1==x7, rd==x2, imm_val == (2**(12)-1), rs1_val != imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x7; dest:x2; op1val:0x9; immval:0xfff -TEST_IMM_OP( sltiu, x2, x7, 0x1, 0x9, 0xfff, x14, 0, x9) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, imm_val == 3839 -// opcode: sltiu ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0xeff -TEST_IMM_OP( sltiu, x3, x3, 0x1, 0x7fffffff, 0xeff, x14, 4, x9) - -inst_2: -// rs1==x10, rd==x4, rs1_val == 3221225471, imm_val == 32 -// opcode: sltiu ; op1:x10; dest:x4; op1val:0xbfffffff; immval:0x20 -TEST_IMM_OP( sltiu, x4, x10, 0x0, 0xbfffffff, 0x20, x14, 8, x9) - -inst_3: -// rs1==x4, rd==x6, rs1_val == 3758096383, -// opcode: sltiu ; op1:x4; dest:x6; op1val:0xdfffffff; immval:0x5 -TEST_IMM_OP( sltiu, x6, x4, 0x0, 0xdfffffff, 0x5, x14, 12, x9) - -inst_4: -// rs1==x6, rd==x13, rs1_val == 4026531839, -// opcode: sltiu ; op1:x6; dest:x13; op1val:0xefffffff; immval:0x667 -TEST_IMM_OP( sltiu, x13, x6, 0x0, 0xefffffff, 0x667, x14, 16, x9) - -inst_5: -// rs1==x1, rd==x7, rs1_val == 4160749567, imm_val == 16 -// opcode: sltiu ; op1:x1; dest:x7; op1val:0xf7ffffff; immval:0x10 -TEST_IMM_OP( sltiu, x7, x1, 0x0, 0xf7ffffff, 0x10, x14, 20, x9) - -inst_6: -// rs1==x2, rd==x8, rs1_val == 4227858431, imm_val == 0 -// opcode: sltiu ; op1:x2; dest:x8; op1val:0xfbffffff; immval:0x0 -TEST_IMM_OP( sltiu, x8, x2, 0x0, 0xfbffffff, 0x0, x14, 24, x9) - -inst_7: -// rs1==x0, rd==x1, rs1_val == 4261412863, -// opcode: sltiu ; op1:x0; dest:x1; op1val:0x0; immval:0xc -TEST_IMM_OP( sltiu, x1, x0, 0x1, 0x0, 0xc, x14, 28, x9) - -inst_8: -// rs1==x5, rd==x12, rs1_val == 4278190079, imm_val == 512 -// opcode: sltiu ; op1:x5; dest:x12; op1val:0xfeffffff; immval:0x200 -TEST_IMM_OP( sltiu, x12, x5, 0x0, 0xfeffffff, 0x200, x14, 32, x9) - -inst_9: -// rs1==x11, rd==x9, rs1_val == 4286578687, -// opcode: sltiu ; op1:x11; dest:x9; op1val:0xff7fffff; immval:0xf -TEST_IMM_OP( sltiu, x9, x11, 0x0, 0xff7fffff, 0xf, x14, 36, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x12, rd==x10, rs1_val == 4290772991, imm_val == 4093 -// opcode: sltiu ; op1:x12; dest:x10; op1val:0xffbfffff; immval:0xffd -TEST_IMM_OP( sltiu, x10, x12, 0x1, 0xffbfffff, 0xffd, x1, 0, x2) - -inst_11: -// rs1==x9, rd==x14, rs1_val == 4292870143, -// opcode: sltiu ; op1:x9; dest:x14; op1val:0xffdfffff; immval:0xaab -TEST_IMM_OP( sltiu, x14, x9, 0x1, 0xffdfffff, 0xaab, x1, 4, x2) - -inst_12: -// rs1==x14, rd==x15, rs1_val == 4293918719, -// opcode: sltiu ; op1:x14; dest:x15; op1val:0xffefffff; immval:0x11 -TEST_IMM_OP( sltiu, x15, x14, 0x0, 0xffefffff, 0x11, x1, 8, x2) - -inst_13: -// rs1==x15, rd==x0, rs1_val == 4294443007, -// opcode: sltiu ; op1:x15; dest:x0; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x0, x15, 0, 0xfff7ffff, 0xa, x1, 12, x2) - -inst_14: -// rs1==x13, rd==x11, rs1_val == 4294705151, -// opcode: sltiu ; op1:x13; dest:x11; op1val:0xfffbffff; immval:0x13 -TEST_IMM_OP( sltiu, x11, x13, 0x0, 0xfffbffff, 0x13, x1, 16, x2) - -inst_15: -// rs1==x8, rd==x5, rs1_val == 4294836223, -// opcode: sltiu ; op1:x8; dest:x5; op1val:0xfffdffff; immval:0x0 -TEST_IMM_OP( sltiu, x5, x8, 0x0, 0xfffdffff, 0x0, x1, 20, x2) - -inst_16: -// rs1_val == 4294901759, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffeffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffeffff, 0x3, x1, 24, x2) - -inst_17: -// rs1_val == 4294934527, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff7fff; immval:0xfff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff7fff, 0xfff, x1, 28, x2) - -inst_18: -// rs1_val == 4294950911, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffbfff; immval:0xf -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffbfff, 0xf, x1, 32, x2) - -inst_19: -// rs1_val == 4294959103, imm_val == 4087 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffdfff; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffdfff, 0xff7, x1, 36, x2) - -inst_20: -// rs1_val == 4294963199, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffefff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffefff, 0x334, x1, 40, x2) - -inst_21: -// rs1_val == 4294965247, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffff7ff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffff7ff, 0x666, x1, 44, x2) - -inst_22: -// rs1_val == 4294966271, imm_val == 3071 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffbff; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffbff, 0xbff, x1, 48, x2) - -inst_23: -// rs1_val == 4294966783, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffdff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffdff, 0x332, x1, 52, x2) - -inst_24: -// rs1_val == 4294967039, imm_val == 3583 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffeff; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffeff, 0xdff, x1, 56, x2) - -inst_25: -// rs1_val == 4294967167, imm_val == 4094 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffff7f; immval:0xffe -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffff7f, 0xffe, x1, 60, x2) - -inst_26: -// rs1_val == 4294967231, imm_val == 128 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffbf; immval:0x80 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffbf, 0x80, x1, 64, x2) - -inst_27: -// rs1_val == 4294967263, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xc, x1, 68, x2) - -inst_28: -// rs1_val == 4294967279, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffef; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffef, 0xaab, x1, 72, x2) - -inst_29: -// rs1_val == 4294967287, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffff7; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffff7, 0x554, x1, 76, x2) - -inst_30: -// rs1_val == 4294967291, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffb; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffb, 0x667, x1, 80, x2) - -inst_31: -// rs1_val == 4294967293, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffd; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffd, 0xdff, x1, 84, x2) - -inst_32: -// rs1_val == 4294967294, imm_val == 4079 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0xfef, x1, 88, x2) - -inst_33: -// imm_val == 2047, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0x7ff, x1, 92, x2) - -inst_34: -// imm_val == 3967, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xf7f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xf7f, x1, 96, x2) - -inst_35: -// imm_val == 4031, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xfbf, x1, 100, x2) - -inst_36: -// imm_val == 4063, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffbffff; immval:0xfdf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffbffff, 0xfdf, x1, 104, x2) - -inst_37: -// imm_val == 4091, rs1_val == 524288 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80000, 0xffb, x1, 108, x2) - -inst_38: -// rs1_val == 2147483648, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000000; immval:0x200 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x80000000, 0x200, x1, 112, x2) - -inst_39: -// rs1_val == 1073741824, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40000000, 0x7ff, x1, 116, x2) - -inst_40: -// rs1_val == 536870912, imm_val == 2 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000000, 0x2, x1, 120, x2) - -inst_41: -// rs1_val == 268435456, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000000, 0x3, x1, 124, x2) - -inst_42: -// rs1_val == 134217728, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000000; immval:0xeff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000000, 0xeff, x1, 128, x2) - -inst_43: -// rs1_val == 67108864, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000000; immval:0xe -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000000, 0xe, x1, 132, x2) - -inst_44: -// rs1_val == 33554432, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000000, 0x5, x1, 136, x2) - -inst_45: -// rs1_val == 16777216, imm_val == 2048 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000000; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1000000, 0x800, x1, 140, x2) - -inst_46: -// rs1_val == 8388608, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x800000, 0x7, x1, 144, x2) - -inst_47: -// rs1_val == 4194304, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x400000, 0xaab, x1, 148, x2) - -inst_48: -// rs1_val == 2097152, imm_val == 1 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200000, 0x1, x1, 152, x2) - -inst_49: -// rs1_val == 1048576, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100000, 0x7ff, x1, 156, x2) - -inst_50: -// rs1_val == 262144, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x40000, 0xff7, x1, 160, x2) - -inst_51: -// rs1_val == 131072, imm_val == 4 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000, 0x4, x1, 164, x2) - -inst_52: -// rs1_val == 65536, rs1_val==65536 and imm_val==3 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3, x1, 168, x2) - -inst_53: -// rs1_val == 32768, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000, 0xffb, x1, 172, x2) - -inst_54: -// rs1_val == 16384, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000, 0x2, x1, 176, x2) - -inst_55: -// rs1_val == 8192, imm_val == 8 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000; immval:0x8 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000, 0x8, x1, 180, x2) - -inst_56: -// rs1_val == 4096, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1000, 0x2d, x1, 184, x2) - -inst_57: -// rs1_val == 2048, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x800, 0xfbf, x1, 188, x2) - -inst_58: -// rs1_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400; immval:0x20 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x400, 0x20, x1, 192, x2) - -inst_59: -// rs1_val == 512, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200; immval:0x9 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200, 0x9, x1, 196, x2) - -inst_60: -// rs1_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100, 0x7, x1, 200, x2) - -inst_61: -// rs1_val == 128, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80, 0x800, x1, 204, x2) - -inst_62: -// rs1_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40, 0x3e, x1, 208, x2) - -inst_63: -// rs1_val == 32, imm_val == 2730 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x20, 0xaaa, x1, 212, x2) - -inst_64: -// rs1_val == 16, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10, 0xfef, x1, 216, x2) - -inst_65: -// rs1_val == 8, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x8, 0x0, x1, 220, x2) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==4, rs1_val == imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x4, x1, 224, x2) - -inst_67: -// rs1_val == 2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xbff, x1, 228, x2) - -inst_68: -// rs1_val == 1, rs1_val==1 and imm_val==1638 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x666, x1, 232, x2) - -inst_69: -// imm_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x400 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x400, x1, 236, x2) - -inst_70: -// imm_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xdfffffff; immval:0x100 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xdfffffff, 0x100, x1, 240, x2) - -inst_71: -// imm_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x12; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x12, 0x40, x1, 244, x2) - -inst_72: -// rs1_val==65536 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x40, x1, 248, x2) - -inst_73: -// rs1_val==65536 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x1, x1, 252, x2) - -inst_74: -// rs1_val==65536 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2e, x1, 256, x2) - -inst_75: -// rs1_val==65536 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x667, x1, 260, x2) - -inst_76: -// rs1_val==65536 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x334, x1, 264, x2) - -inst_77: -// rs1_val==65536 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x6, x1, 268, x2) - -inst_78: -// rs1_val==65536 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaab, x1, 272, x2) - -inst_79: -// rs1_val==65536 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x556, x1, 276, x2) - -inst_80: -// rs1_val==65536 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x4, x1, 280, x2) - -inst_81: -// rs1_val==65536 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3e, x1, 284, x2) - -inst_82: -// rs1_val==65536 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x0, x1, 288, x2) - -inst_83: -// rs1_val==65536 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2c, x1, 292, x2) - -inst_84: -// rs1_val==65536 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x665, x1, 296, x2) - -inst_85: -// rs1_val==65536 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x332, x1, 300, x2) - -inst_86: -// rs1_val==65536 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaa9, x1, 304, x2) - -inst_87: -// rs1_val==65536 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x554, x1, 308, x2) - -inst_88: -// rs1_val==65536 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2, x1, 312, x2) - -inst_89: -// rs1_val==65536 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3f, x1, 316, x2) - -inst_90: -// rs1_val==65536 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2d, x1, 320, x2) - -inst_91: -// rs1_val==65536 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x666, x1, 324, x2) - -inst_92: -// rs1_val==65536 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x333, x1, 328, x2) - -inst_93: -// rs1_val==65536 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x5, x1, 332, x2) - -inst_94: -// rs1_val==65536 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaaa, x1, 336, x2) - -inst_95: -// rs1_val==65536 and imm_val==1365, imm_val == 1365 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x555, x1, 340, x2) - -inst_96: -// rs1_val==1 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x40, x1, 344, x2) - -inst_97: -// rs1_val==1 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x1, x1, 348, x2) - -inst_98: -// rs1_val==1 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2e, x1, 352, x2) - -inst_99: -// rs1_val==1 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x667, x1, 356, x2) - -inst_100: -// rs1_val==1 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x334, x1, 360, x2) - -inst_101: -// rs1_val==1 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x6, x1, 364, x2) - -inst_102: -// rs1_val==1 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaab, x1, 368, x2) - -inst_103: -// rs1_val==1 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x556, x1, 372, x2) - -inst_104: -// rs1_val==1 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x4, x1, 376, x2) - -inst_105: -// rs1_val==1 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3e, x1, 380, x2) - -inst_106: -// rs1_val==1 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x0, x1, 384, x2) - -inst_107: -// rs1_val==1 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2c, x1, 388, x2) - -inst_108: -// rs1_val==1 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x665, x1, 392, x2) - -inst_109: -// rs1_val==1 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x332, x1, 396, x2) - -inst_110: -// rs1_val==1 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaa9, x1, 400, x2) - -inst_111: -// rs1_val==1 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x554, x1, 404, x2) - -inst_112: -// rs1_val==1 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2, x1, 408, x2) - -inst_113: -// rs1_val==1 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3f, x1, 412, x2) - -inst_114: -// rs1_val==1 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2d, x1, 416, x2) - -inst_115: -// rs1_val==1 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x333, x1, 420, x2) - -inst_116: -// rs1_val==1 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x5, x1, 424, x2) - -inst_117: -// rs1_val==1 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaaa, x1, 428, x2) - -inst_118: -// rs1_val==1 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x555, x1, 432, x2) - -inst_119: -// rs1_val==1 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3, x1, 436, x2) - -inst_120: -// rs1_val==46341 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x40, x1, 440, x2) - -inst_121: -// rs1_val==46341 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x1, x1, 444, x2) - -inst_122: -// rs1_val==46341 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2e, x1, 448, x2) - -inst_123: -// rs1_val==46341 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x667, x1, 452, x2) - -inst_124: -// rs1_val==46341 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x334, x1, 456, x2) - -inst_125: -// rs1_val==46341 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x6, x1, 460, x2) - -inst_126: -// rs1_val==46341 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaab, x1, 464, x2) - -inst_127: -// rs1_val==46341 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x556, x1, 468, x2) - -inst_128: -// rs1_val==46341 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x4, x1, 472, x2) - -inst_129: -// rs1_val==46341 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3e, x1, 476, x2) - -inst_130: -// rs1_val==46341 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x0, x1, 480, x2) - -inst_131: -// rs1_val==46341 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2c, x1, 484, x2) - -inst_132: -// rs1_val==46341 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x665, x1, 488, x2) - -inst_133: -// rs1_val==46341 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x332, x1, 492, x2) - -inst_134: -// rs1_val==46341 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaa9, x1, 496, x2) - -inst_135: -// rs1_val==46341 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x554, x1, 500, x2) - -inst_136: -// rs1_val==46341 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2, x1, 504, x2) - -inst_137: -// rs1_val==46341 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3f, x1, 508, x2) - -inst_138: -// rs1_val==46341 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2d, x1, 512, x2) - -inst_139: -// rs1_val==46341 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x666, x1, 516, x2) - -inst_140: -// rs1_val==46341 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x333, x1, 520, x2) - -inst_141: -// rs1_val==46341 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x5, x1, 524, x2) - -inst_142: -// rs1_val==46341 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaaa, x1, 528, x2) - -inst_143: -// rs1_val==46341 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x555, x1, 532, x2) - -inst_144: -// rs1_val==46341 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3, x1, 536, x2) - -inst_145: -// rs1_val==1717986919 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x40, x1, 540, x2) - -inst_146: -// rs1_val==1717986919 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x1, x1, 544, x2) - -inst_147: -// rs1_val==1717986919 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2e, x1, 548, x2) - -inst_148: -// rs1_val==1717986919 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x667, x1, 552, x2) - -inst_149: -// rs1_val==1717986919 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x334, x1, 556, x2) - -inst_150: -// rs1_val==1717986919 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x6, x1, 560, x2) - -inst_151: -// rs1_val==1717986919 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaab, x1, 564, x2) - -inst_152: -// rs1_val==1717986919 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x556, x1, 568, x2) - -inst_153: -// rs1_val==1717986919 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x4, x1, 572, x2) - -inst_154: -// rs1_val==1717986919 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3e, x1, 576, x2) - -inst_155: -// rs1_val==1717986919 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x0, x1, 580, x2) - -inst_156: -// rs1_val==1717986919 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2c, x1, 584, x2) - -inst_157: -// rs1_val==1717986919 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x665, x1, 588, x2) - -inst_158: -// rs1_val==1717986919 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x332, x1, 592, x2) - -inst_159: -// rs1_val==1717986919 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaa9, x1, 596, x2) - -inst_160: -// rs1_val==1717986919 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x554, x1, 600, x2) - -inst_161: -// rs1_val==1717986919 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2, x1, 604, x2) - -inst_162: -// rs1_val==1717986919 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3f, x1, 608, x2) - -inst_163: -// rs1_val==1717986919 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2d, x1, 612, x2) - -inst_164: -// rs1_val==1717986919 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x666, x1, 616, x2) - -inst_165: -// rs1_val==1717986919 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x333, x1, 620, x2) - -inst_166: -// rs1_val==1717986919 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x5, x1, 624, x2) - -inst_167: -// rs1_val==1717986919 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaaa, x1, 628, x2) - -inst_168: -// rs1_val==1717986919 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x555, x1, 632, x2) - -inst_169: -// rs1_val==1717986919 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3, x1, 636, x2) - -inst_170: -// rs1_val==858993460 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x40, x1, 640, x2) - -inst_171: -// rs1_val==858993460 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x1, x1, 644, x2) - -inst_172: -// rs1_val==858993460 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2e, x1, 648, x2) - -inst_173: -// rs1_val==858993460 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x667, x1, 652, x2) - -inst_174: -// rs1_val==858993460 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x334, x1, 656, x2) - -inst_175: -// rs1_val==858993460 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x6, x1, 660, x2) - -inst_176: -// rs1_val==858993460 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaab, x1, 664, x2) - -inst_177: -// rs1_val==858993460 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x556, x1, 668, x2) - -inst_178: -// rs1_val==858993460 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x4, x1, 672, x2) - -inst_179: -// rs1_val==858993460 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3e, x1, 676, x2) - -inst_180: -// rs1_val==858993460 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x0, x1, 680, x2) - -inst_181: -// rs1_val==858993460 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2c, x1, 684, x2) - -inst_182: -// rs1_val==858993460 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x665, x1, 688, x2) - -inst_183: -// rs1_val==858993460 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x332, x1, 692, x2) - -inst_184: -// rs1_val==858993460 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaa9, x1, 696, x2) - -inst_185: -// rs1_val==858993460 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x554, x1, 700, x2) - -inst_186: -// rs1_val==858993460 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2, x1, 704, x2) - -inst_187: -// rs1_val==858993460 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3f, x1, 708, x2) - -inst_188: -// rs1_val==858993460 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2d, x1, 712, x2) - -inst_189: -// rs1_val==858993460 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x666, x1, 716, x2) - -inst_190: -// rs1_val==858993460 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x333, x1, 720, x2) - -inst_191: -// rs1_val==858993460 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x5, x1, 724, x2) - -inst_192: -// rs1_val==858993460 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaaa, x1, 728, x2) - -inst_193: -// rs1_val==858993460 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x555, x1, 732, x2) - -inst_194: -// rs1_val==858993460 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3, x1, 736, x2) - -inst_195: -// rs1_val==6 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x40, x1, 740, x2) - -inst_196: -// rs1_val==6 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x1, x1, 744, x2) - -inst_197: -// rs1_val==6 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2e, x1, 748, x2) - -inst_198: -// rs1_val==6 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x667, x1, 752, x2) - -inst_199: -// rs1_val==6 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x334, x1, 756, x2) - -inst_200: -// rs1_val==6 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x6, x1, 760, x2) - -inst_201: -// rs1_val==6 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaab, x1, 764, x2) - -inst_202: -// rs1_val==6 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x556, x1, 768, x2) - -inst_203: -// rs1_val==6 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x4, x1, 772, x2) - -inst_204: -// rs1_val==6 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3e, x1, 776, x2) - -inst_205: -// rs1_val==6 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x0, x1, 780, x2) - -inst_206: -// rs1_val==6 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2c, x1, 784, x2) - -inst_207: -// rs1_val==6 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x665, x1, 788, x2) - -inst_208: -// rs1_val==6 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x332, x1, 792, x2) - -inst_209: -// rs1_val==6 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaa9, x1, 796, x2) - -inst_210: -// rs1_val==6 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x554, x1, 800, x2) - -inst_211: -// rs1_val==6 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x2, x1, 804, x2) - -inst_212: -// rs1_val==6 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3f, x1, 808, x2) - -inst_213: -// rs1_val==6 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2d, x1, 812, x2) - -inst_214: -// rs1_val==6 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x666, x1, 816, x2) - -inst_215: -// rs1_val==6 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x333, x1, 820, x2) - -inst_216: -// rs1_val==6 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x5, x1, 824, x2) - -inst_217: -// rs1_val==6 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaaa, x1, 828, x2) - -inst_218: -// rs1_val==6 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x555, x1, 832, x2) - -inst_219: -// rs1_val==6 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x3, x1, 836, x2) - -inst_220: -// rs1_val==2863311531 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x40, x1, 840, x2) - -inst_221: -// rs1_val==2863311531 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x1, x1, 844, x2) - -inst_222: -// rs1_val==2863311531 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2e, x1, 848, x2) - -inst_223: -// rs1_val==2863311531 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x667, x1, 852, x2) - -inst_224: -// rs1_val==2863311531 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x334, x1, 856, x2) - -inst_225: -// rs1_val==2863311531 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x6, x1, 860, x2) - -inst_226: -// rs1_val==2863311531 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaab, x1, 864, x2) - -inst_227: -// rs1_val==2863311531 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x556, x1, 868, x2) - -inst_228: -// rs1_val==2863311531 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x4, x1, 872, x2) - -inst_229: -// rs1_val==2863311531 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3e, x1, 876, x2) - -inst_230: -// rs1_val==2863311531 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x0, x1, 880, x2) - -inst_231: -// rs1_val==2863311531 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2c, x1, 884, x2) - -inst_232: -// rs1_val==2863311531 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x665, x1, 888, x2) - -inst_233: -// rs1_val==2863311531 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x332, x1, 892, x2) - -inst_234: -// rs1_val==2863311531 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaa9, x1, 896, x2) - -inst_235: -// rs1_val==2863311531 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x554, x1, 900, x2) - -inst_236: -// rs1_val==2863311531 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2, x1, 904, x2) - -inst_237: -// rs1_val==2863311531 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3f, x1, 908, x2) - -inst_238: -// rs1_val==2863311531 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2d, x1, 912, x2) - -inst_239: -// rs1_val==2863311531 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x666, x1, 916, x2) - -inst_240: -// rs1_val==2863311531 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x333, x1, 920, x2) - -inst_241: -// rs1_val==2863311531 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x5, x1, 924, x2) - -inst_242: -// rs1_val==2863311531 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaaa, x1, 928, x2) - -inst_243: -// rs1_val==2863311531 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x555, x1, 932, x2) - -inst_244: -// rs1_val==2863311531 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3, x1, 936, x2) - -inst_245: -// rs1_val==1431655766 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x40, x1, 940, x2) - -inst_246: -// rs1_val==1431655766 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x1, x1, 944, x2) - -inst_247: -// rs1_val==1431655766 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2e, x1, 948, x2) - -inst_248: -// rs1_val==1431655766 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x667, x1, 952, x2) - -inst_249: -// rs1_val==1431655766 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x334, x1, 956, x2) - -inst_250: -// rs1_val==1431655766 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x6, x1, 960, x2) - -inst_251: -// rs1_val==1431655766 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaab, x1, 964, x2) - -inst_252: -// rs1_val==1431655766 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x556, x1, 968, x2) - -inst_253: -// rs1_val==1431655766 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x4, x1, 972, x2) - -inst_254: -// rs1_val==1431655766 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3e, x1, 976, x2) - -inst_255: -// rs1_val==1431655766 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x0, x1, 980, x2) - -inst_256: -// rs1_val==1431655766 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2c, x1, 984, x2) - -inst_257: -// rs1_val==1431655766 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x665, x1, 988, x2) - -inst_258: -// rs1_val==1431655766 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x332, x1, 992, x2) - -inst_259: -// rs1_val==1431655766 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaa9, x1, 996, x2) - -inst_260: -// rs1_val==1431655766 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x554, x1, 1000, x2) - -inst_261: -// rs1_val==1431655766 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2, x1, 1004, x2) - -inst_262: -// rs1_val==1431655766 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3f, x1, 1008, x2) - -inst_263: -// rs1_val==1431655766 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2d, x1, 1012, x2) - -inst_264: -// rs1_val==1431655766 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x666, x1, 1016, x2) - -inst_265: -// rs1_val==1431655766 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x333, x1, 1020, x2) - -inst_266: -// rs1_val==1431655766 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x5, x1, 1024, x2) - -inst_267: -// rs1_val==1431655766 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaaa, x1, 1028, x2) - -inst_268: -// rs1_val==1431655766 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x555, x1, 1032, x2) - -inst_269: -// rs1_val==1431655766 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3, x1, 1036, x2) - -inst_270: -// rs1_val==4 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x40, x1, 1040, x2) - -inst_271: -// rs1_val==4 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x1, x1, 1044, x2) - -inst_272: -// rs1_val==4 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2e, x1, 1048, x2) - -inst_273: -// rs1_val==4 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x667, x1, 1052, x2) - -inst_274: -// rs1_val==4 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x334, x1, 1056, x2) - -inst_275: -// rs1_val==4 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x6, x1, 1060, x2) - -inst_276: -// rs1_val==4 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaab, x1, 1064, x2) - -inst_277: -// rs1_val==4 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x556, x1, 1068, x2) - -inst_278: -// rs1_val==4 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3e, x1, 1072, x2) - -inst_279: -// rs1_val==4 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x0, x1, 1076, x2) - -inst_280: -// rs1_val==4 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2c, x1, 1080, x2) - -inst_281: -// rs1_val==4 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x665, x1, 1084, x2) - -inst_282: -// rs1_val==4 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x332, x1, 1088, x2) - -inst_283: -// rs1_val==4 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaa9, x1, 1092, x2) - -inst_284: -// rs1_val==4 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x554, x1, 1096, x2) - -inst_285: -// rs1_val==4 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x2, x1, 1100, x2) - -inst_286: -// rs1_val==4 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3f, x1, 1104, x2) - -inst_287: -// rs1_val==4 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2d, x1, 1108, x2) - -inst_288: -// rs1_val==4 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x666, x1, 1112, x2) - -inst_289: -// rs1_val==4 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x333, x1, 1116, x2) - -inst_290: -// rs1_val==4 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x5, x1, 1120, x2) - -inst_291: -// rs1_val==4 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaaa, x1, 1124, x2) - -inst_292: -// rs1_val==4 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x555, x1, 1128, x2) - -inst_293: -// rs1_val==4 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x3, x1, 1132, x2) - -inst_294: -// rs1_val==65534 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x40, x1, 1136, x2) - -inst_295: -// rs1_val==65534 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x1, x1, 1140, x2) - -inst_296: -// rs1_val==65534 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2e, x1, 1144, x2) - -inst_297: -// rs1_val==65534 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x667, x1, 1148, x2) - -inst_298: -// rs1_val==65534 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x334, x1, 1152, x2) - -inst_299: -// rs1_val==65534 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x6, x1, 1156, x2) - -inst_300: -// rs1_val==65534 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaab, x1, 1160, x2) - -inst_301: -// rs1_val==65534 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x556, x1, 1164, x2) - -inst_302: -// rs1_val==65534 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x4, x1, 1168, x2) - -inst_303: -// rs1_val==65534 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3e, x1, 1172, x2) - -inst_304: -// rs1_val==65534 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x0, x1, 1176, x2) - -inst_305: -// rs1_val==65534 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2c, x1, 1180, x2) - -inst_306: -// rs1_val==65534 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x665, x1, 1184, x2) - -inst_307: -// rs1_val==65534 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x332, x1, 1188, x2) - -inst_308: -// rs1_val==65534 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaa9, x1, 1192, x2) - -inst_309: -// rs1_val==65534 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x554, x1, 1196, x2) - -inst_310: -// rs1_val==65534 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2, x1, 1200, x2) - -inst_311: -// rs1_val==65534 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3f, x1, 1204, x2) - -inst_312: -// rs1_val==65534 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2d, x1, 1208, x2) - -inst_313: -// rs1_val==65534 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x666, x1, 1212, x2) - -inst_314: -// rs1_val==65534 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x333, x1, 1216, x2) - -inst_315: -// rs1_val==65534 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x5, x1, 1220, x2) - -inst_316: -// rs1_val==65534 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaaa, x1, 1224, x2) - -inst_317: -// rs1_val==65534 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x555, x1, 1228, x2) - -inst_318: -// rs1_val==65534 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3, x1, 1232, x2) - -inst_319: -// rs1_val==0 and imm_val==64, rs1_val == 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x40, x1, 1236, x2) - -inst_320: -// rs1_val==0 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x1, x1, 1240, x2) - -inst_321: -// rs1_val==0 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2e, x1, 1244, x2) - -inst_322: -// rs1_val==0 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x667, x1, 1248, x2) - -inst_323: -// rs1_val==0 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x334, x1, 1252, x2) - -inst_324: -// rs1_val==0 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x6, x1, 1256, x2) - -inst_325: -// rs1_val==0 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaab, x1, 1260, x2) - -inst_326: -// rs1_val==0 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x556, x1, 1264, x2) - -inst_327: -// rs1_val==0 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x4, x1, 1268, x2) - -inst_328: -// rs1_val==0 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3e, x1, 1272, x2) - -inst_329: -// rs1_val==0 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x0, 0x0, x1, 1276, x2) - -inst_330: -// rs1_val==0 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2c, x1, 1280, x2) - -inst_331: -// rs1_val==0 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x665, x1, 1284, x2) - -inst_332: -// rs1_val==0 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x332, x1, 1288, x2) - -inst_333: -// rs1_val==0 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaa9, x1, 1292, x2) - -inst_334: -// rs1_val==0 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x554, x1, 1296, x2) - -inst_335: -// rs1_val==0 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2, x1, 1300, x2) - -inst_336: -// rs1_val==0 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3f, x1, 1304, x2) - -inst_337: -// rs1_val==0 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2d, x1, 1308, x2) - -inst_338: -// rs1_val==0 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x666, x1, 1312, x2) - -inst_339: -// rs1_val==0 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x333, x1, 1316, x2) - -inst_340: -// rs1_val==0 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x5, x1, 1320, x2) - -inst_341: -// rs1_val==0 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaaa, x1, 1324, x2) - -inst_342: -// rs1_val==0 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x555, x1, 1328, x2) - -inst_343: -// rs1_val==0 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3, x1, 1332, x2) - -inst_344: -// rs1_val==46339 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x40, x1, 1336, x2) - -inst_345: -// rs1_val==46339 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x1, x1, 1340, x2) - -inst_346: -// rs1_val==46339 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2e, x1, 1344, x2) - -inst_347: -// rs1_val==46339 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x667, x1, 1348, x2) - -inst_348: -// rs1_val==46339 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x334, x1, 1352, x2) - -inst_349: -// rs1_val==46339 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x6, x1, 1356, x2) - -inst_350: -// rs1_val==46339 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaab, x1, 1360, x2) - -inst_351: -// rs1_val==46339 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x556, x1, 1364, x2) - -inst_352: -// rs1_val==46339 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x4, x1, 1368, x2) - -inst_353: -// rs1_val==46339 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3e, x1, 1372, x2) - -inst_354: -// rs1_val==46339 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x0, x1, 1376, x2) - -inst_355: -// rs1_val==46339 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2c, x1, 1380, x2) - -inst_356: -// rs1_val==46339 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x665, x1, 1384, x2) - -inst_357: -// rs1_val==46339 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x332, x1, 1388, x2) - -inst_358: -// rs1_val==46339 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaa9, x1, 1392, x2) - -inst_359: -// rs1_val==46339 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x554, x1, 1396, x2) - -inst_360: -// rs1_val==46339 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2, x1, 1400, x2) - -inst_361: -// rs1_val==46339 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3f, x1, 1404, x2) - -inst_362: -// rs1_val==46339 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2d, x1, 1408, x2) - -inst_363: -// rs1_val==46339 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x666, x1, 1412, x2) - -inst_364: -// rs1_val==46339 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x333, x1, 1416, x2) - -inst_365: -// rs1_val==46339 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x5, x1, 1420, x2) - -inst_366: -// rs1_val==46339 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaaa, x1, 1424, x2) - -inst_367: -// rs1_val==46339 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x555, x1, 1428, x2) - -inst_368: -// rs1_val==46339 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3, x1, 1432, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x40, x1, 1436, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x1, x1, 1440, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1444, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x667, x1, 1448, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x334, x1, 1452, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x6, x1, 1456, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaab, x1, 1460, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x556, x1, 1464, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x4, x1, 1468, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3e, x1, 1472, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x0, x1, 1476, x2) - -inst_380: -// rs1_val==1717986917 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1480, x2) - -inst_381: -// rs1_val==1717986917 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x665, x1, 1484, x2) - -inst_382: -// rs1_val==1717986917 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x332, x1, 1488, x2) - -inst_383: -// rs1_val==1717986917 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaa9, x1, 1492, x2) - -inst_384: -// rs1_val==1717986917 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x554, x1, 1496, x2) - -inst_385: -// rs1_val==1717986917 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2, x1, 1500, x2) - -inst_386: -// rs1_val==1717986917 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3f, x1, 1504, x2) - -inst_387: -// rs1_val==1717986917 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1508, x2) - -inst_388: -// rs1_val==1717986917 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x666, x1, 1512, x2) - -inst_389: -// rs1_val==1717986917 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x333, x1, 1516, x2) - -inst_390: -// rs1_val==1717986917 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x5, x1, 1520, x2) - -inst_391: -// rs1_val==1717986917 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaaa, x1, 1524, x2) - -inst_392: -// rs1_val==1717986917 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x555, x1, 1528, x2) - -inst_393: -// rs1_val==1717986917 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3, x1, 1532, x2) - -inst_394: -// rs1_val==858993458 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x40, x1, 1536, x2) - -inst_395: -// rs1_val==858993458 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x1, x1, 1540, x2) - -inst_396: -// rs1_val==858993458 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1544, x2) - -inst_397: -// rs1_val==858993458 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x667, x1, 1548, x2) - -inst_398: -// rs1_val==858993458 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x334, x1, 1552, x2) - -inst_399: -// rs1_val==858993458 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x6, x1, 1556, x2) - -inst_400: -// rs1_val==858993458 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaab, x1, 1560, x2) - -inst_401: -// rs1_val==858993458 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x556, x1, 1564, x2) - -inst_402: -// rs1_val==858993458 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x4, x1, 1568, x2) - -inst_403: -// rs1_val==858993458 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3e, x1, 1572, x2) - -inst_404: -// rs1_val==858993458 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x0, x1, 1576, x2) - -inst_405: -// rs1_val==858993458 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1580, x2) - -inst_406: -// rs1_val==858993458 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x665, x1, 1584, x2) - -inst_407: -// rs1_val==858993458 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x332, x1, 1588, x2) - -inst_408: -// rs1_val==858993458 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaa9, x1, 1592, x2) - -inst_409: -// rs1_val==858993458 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x554, x1, 1596, x2) - -inst_410: -// rs1_val==858993458 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2, x1, 1600, x2) - -inst_411: -// rs1_val==858993458 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3f, x1, 1604, x2) - -inst_412: -// rs1_val==858993458 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1608, x2) - -inst_413: -// rs1_val==858993458 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x666, x1, 1612, x2) - -inst_414: -// rs1_val==858993458 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x333, x1, 1616, x2) - -inst_415: -// rs1_val==858993458 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x5, x1, 1620, x2) - -inst_416: -// rs1_val==858993458 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaaa, x1, 1624, x2) - -inst_417: -// rs1_val==858993458 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x555, x1, 1628, x2) - -inst_418: -// rs1_val==858993458 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3, x1, 1632, x2) - -inst_419: -// rs1_val==2863311529 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x40, x1, 1636, x2) - -inst_420: -// rs1_val==2863311529 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x1, x1, 1640, x2) - -inst_421: -// rs1_val==2863311529 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2e, x1, 1644, x2) - -inst_422: -// rs1_val==2863311529 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x667, x1, 1648, x2) - -inst_423: -// rs1_val==2863311529 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x334, x1, 1652, x2) - -inst_424: -// rs1_val==2863311529 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x6, x1, 1656, x2) - -inst_425: -// rs1_val==2863311529 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaab, x1, 1660, x2) - -inst_426: -// rs1_val==2863311529 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x556, x1, 1664, x2) - -inst_427: -// rs1_val==2863311529 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x4, x1, 1668, x2) - -inst_428: -// rs1_val==2863311529 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3e, x1, 1672, x2) - -inst_429: -// rs1_val==2863311529 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x0, x1, 1676, x2) - -inst_430: -// rs1_val==2863311529 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2c, x1, 1680, x2) - -inst_431: -// rs1_val==2863311529 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x665, x1, 1684, x2) - -inst_432: -// rs1_val==2863311529 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x332, x1, 1688, x2) - -inst_433: -// rs1_val==2863311529 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaa9, x1, 1692, x2) - -inst_434: -// rs1_val==2863311529 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x554, x1, 1696, x2) - -inst_435: -// rs1_val==2863311529 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2, x1, 1700, x2) - -inst_436: -// rs1_val==2863311529 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3f, x1, 1704, x2) - -inst_437: -// rs1_val==2863311529 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2d, x1, 1708, x2) - -inst_438: -// rs1_val==2863311529 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x666, x1, 1712, x2) - -inst_439: -// rs1_val==2863311529 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x333, x1, 1716, x2) - -inst_440: -// rs1_val==2863311529 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x5, x1, 1720, x2) - -inst_441: -// rs1_val==2863311529 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaaa, x1, 1724, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x555, x1, 1728, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3, x1, 1732, x2) - -inst_444: -// rs1_val==65535 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x40, x1, 1736, x2) - -inst_445: -// rs1_val==65535 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x1, x1, 1740, x2) - -inst_446: -// rs1_val==65535 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2e, x1, 1744, x2) - -inst_447: -// rs1_val==65535 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x667, x1, 1748, x2) - -inst_448: -// rs1_val==65535 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x334, x1, 1752, x2) - -inst_449: -// rs1_val==65535 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x6, x1, 1756, x2) - -inst_450: -// rs1_val==65535 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaab, x1, 1760, x2) - -inst_451: -// rs1_val==65535 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x556, x1, 1764, x2) - -inst_452: -// rs1_val==65535 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x4, x1, 1768, x2) - -inst_453: -// rs1_val==65535 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3e, x1, 1772, x2) - -inst_454: -// rs1_val==65535 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x0, x1, 1776, x2) - -inst_455: -// rs1_val==65535 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2c, x1, 1780, x2) - -inst_456: -// rs1_val==65535 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x665, x1, 1784, x2) - -inst_457: -// rs1_val==65535 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x332, x1, 1788, x2) - -inst_458: -// rs1_val==65535 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaa9, x1, 1792, x2) - -inst_459: -// rs1_val==65535 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x554, x1, 1796, x2) - -inst_460: -// rs1_val==65535 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2, x1, 1800, x2) - -inst_461: -// rs1_val==65535 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3f, x1, 1804, x2) - -inst_462: -// rs1_val==65535 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2d, x1, 1808, x2) - -inst_463: -// rs1_val==65535 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x666, x1, 1812, x2) - -inst_464: -// rs1_val==65535 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x333, x1, 1816, x2) - -inst_465: -// rs1_val==65535 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x5, x1, 1820, x2) - -inst_466: -// rs1_val==65535 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaaa, x1, 1824, x2) - -inst_467: -// rs1_val==65535 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x555, x1, 1828, x2) - -inst_468: -// rs1_val==65535 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3, x1, 1832, x2) - -inst_469: -// rs1_val==46340 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x40, x1, 1836, x2) - -inst_470: -// rs1_val==46340 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x1, x1, 1840, x2) - -inst_471: -// rs1_val==46340 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2e, x1, 1844, x2) - -inst_472: -// rs1_val==46340 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x667, x1, 1848, x2) - -inst_473: -// rs1_val==46340 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x334, x1, 1852, x2) - -inst_474: -// rs1_val==46340 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x6, x1, 1856, x2) - -inst_475: -// rs1_val==46340 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaab, x1, 1860, x2) - -inst_476: -// rs1_val==46340 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x556, x1, 1864, x2) - -inst_477: -// rs1_val==46340 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x4, x1, 1868, x2) - -inst_478: -// rs1_val==46340 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3e, x1, 1872, x2) - -inst_479: -// rs1_val==46340 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x0, x1, 1876, x2) - -inst_480: -// rs1_val==46340 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2c, x1, 1880, x2) - -inst_481: -// rs1_val==46340 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x665, x1, 1884, x2) - -inst_482: -// rs1_val==46340 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x332, x1, 1888, x2) - -inst_483: -// rs1_val==46340 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaa9, x1, 1892, x2) - -inst_484: -// rs1_val==46340 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x554, x1, 1896, x2) - -inst_485: -// rs1_val==46340 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2, x1, 1900, x2) - -inst_486: -// rs1_val==46340 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3f, x1, 1904, x2) - -inst_487: -// rs1_val==46340 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2d, x1, 1908, x2) - -inst_488: -// rs1_val==46340 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x666, x1, 1912, x2) - -inst_489: -// rs1_val==46340 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x333, x1, 1916, x2) - -inst_490: -// rs1_val==46340 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x5, x1, 1920, x2) - -inst_491: -// rs1_val==46340 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaaa, x1, 1924, x2) - -inst_492: -// rs1_val==46340 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x555, x1, 1928, x2) - -inst_493: -// rs1_val==46340 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3, x1, 1932, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x40, x1, 1936, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x1, x1, 1940, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1944, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x667, x1, 1948, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x334, x1, 1952, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x6, x1, 1956, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaab, x1, 1960, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x556, x1, 1964, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x4, x1, 1968, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3e, x1, 1972, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x0, x1, 1976, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1980, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x665, x1, 1984, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x332, x1, 1988, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaa9, x1, 1992, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x554, x1, 1996, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2, x1, 2000, x2) - -inst_511: -// rs1_val==1717986918 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3f, x1, 2004, x2) - -inst_512: -// rs1_val==1717986918 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2d, x1, 2008, x2) - -inst_513: -// rs1_val==1717986918 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x666, x1, 2012, x2) - -inst_514: -// rs1_val==1717986918 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x333, x1, 2016, x2) - -inst_515: -// rs1_val==1717986918 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x5, x1, 2020, x2) - -inst_516: -// rs1_val==1717986918 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaaa, x1, 2024, x2) - -inst_517: -// rs1_val==1717986918 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x555, x1, 2028, x2) - -inst_518: -// rs1_val==1717986918 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3, x1, 2032, x2) - -inst_519: -// rs1_val==858993459 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x40, x1, 2036, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x1, x1, 2040, x2) - -inst_521: -// rs1_val==858993459 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2e, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_522: -// rs1_val==858993459 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x667, x1, 0, x2) - -inst_523: -// rs1_val==858993459 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x334, x1, 4, x2) - -inst_524: -// rs1_val==858993459 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x6, x1, 8, x2) - -inst_525: -// rs1_val==858993459 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaab, x1, 12, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x556, x1, 16, x2) - -inst_527: -// rs1_val==858993459 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x4, x1, 20, x2) - -inst_528: -// rs1_val==858993459 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3e, x1, 24, x2) - -inst_529: -// rs1_val==858993459 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x0, x1, 28, x2) - -inst_530: -// rs1_val==858993459 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2c, x1, 32, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x665, x1, 36, x2) - -inst_532: -// rs1_val==858993459 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x332, x1, 40, x2) - -inst_533: -// rs1_val==858993459 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaa9, x1, 44, x2) - -inst_534: -// rs1_val==858993459 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x554, x1, 48, x2) - -inst_535: -// rs1_val==858993459 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2, x1, 52, x2) - -inst_536: -// rs1_val==858993459 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3f, x1, 56, x2) - -inst_537: -// rs1_val==858993459 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2d, x1, 60, x2) - -inst_538: -// rs1_val==858993459 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x666, x1, 64, x2) - -inst_539: -// rs1_val==858993459 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x333, x1, 68, x2) - -inst_540: -// rs1_val==858993459 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x5, x1, 72, x2) - -inst_541: -// rs1_val==858993459 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaaa, x1, 76, x2) - -inst_542: -// rs1_val==858993459 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x555, x1, 80, x2) - -inst_543: -// rs1_val==858993459 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3, x1, 84, x2) - -inst_544: -// rs1_val==5 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x40, x1, 88, x2) - -inst_545: -// rs1_val==5 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x1, x1, 92, x2) - -inst_546: -// rs1_val==5 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2e, x1, 96, x2) - -inst_547: -// rs1_val==5 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x667, x1, 100, x2) - -inst_548: -// rs1_val==5 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x334, x1, 104, x2) - -inst_549: -// rs1_val==5 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x6, x1, 108, x2) - -inst_550: -// rs1_val==5 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaab, x1, 112, x2) - -inst_551: -// rs1_val==5 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x556, x1, 116, x2) - -inst_552: -// rs1_val==5 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x4, x1, 120, x2) - -inst_553: -// rs1_val==5 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3e, x1, 124, x2) - -inst_554: -// rs1_val==5 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x0, x1, 128, x2) - -inst_555: -// rs1_val==5 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2c, x1, 132, x2) - -inst_556: -// rs1_val==5 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x665, x1, 136, x2) - -inst_557: -// rs1_val==5 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x332, x1, 140, x2) - -inst_558: -// rs1_val==5 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaa9, x1, 144, x2) - -inst_559: -// rs1_val==5 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x554, x1, 148, x2) - -inst_560: -// rs1_val==5 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x2, x1, 152, x2) - -inst_561: -// rs1_val==5 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3f, x1, 156, x2) - -inst_562: -// rs1_val==5 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2d, x1, 160, x2) - -inst_563: -// rs1_val==5 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x666, x1, 164, x2) - -inst_564: -// rs1_val==5 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x333, x1, 168, x2) - -inst_565: -// rs1_val==5 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x5, x1, 172, x2) - -inst_566: -// rs1_val==5 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaaa, x1, 176, x2) - -inst_567: -// rs1_val==5 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x555, x1, 180, x2) - -inst_568: -// rs1_val==5 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x3, x1, 184, x2) - -inst_569: -// rs1_val==2863311530 and imm_val==64, rs1_val == 2863311530 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x40, x1, 188, x2) - -inst_570: -// rs1_val==2 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaa9, x1, 192, x2) - -inst_571: -// rs1_val==2863311530 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x1, x1, 196, x2) - -inst_572: -// rs1_val==2863311530 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2e, x1, 200, x2) - -inst_573: -// rs1_val==2863311530 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x667, x1, 204, x2) - -inst_574: -// rs1_val==2863311530 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x334, x1, 208, x2) - -inst_575: -// rs1_val==2863311530 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x6, x1, 212, x2) - -inst_576: -// rs1_val==2863311530 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaab, x1, 216, x2) - -inst_577: -// rs1_val==2863311530 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x556, x1, 220, x2) - -inst_578: -// rs1_val==2863311530 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x4, x1, 224, x2) - -inst_579: -// rs1_val==2863311530 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3e, x1, 228, x2) - -inst_580: -// rs1_val==2863311530 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x0, x1, 232, x2) - -inst_581: -// rs1_val==2863311530 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2c, x1, 236, x2) - -inst_582: -// rs1_val==2863311530 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x665, x1, 240, x2) - -inst_583: -// rs1_val==2863311530 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x332, x1, 244, x2) - -inst_584: -// rs1_val==2863311530 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaa9, x1, 248, x2) - -inst_585: -// rs1_val==2863311530 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x554, x1, 252, x2) - -inst_586: -// rs1_val==2863311530 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2, x1, 256, x2) - -inst_587: -// rs1_val==2863311530 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3f, x1, 260, x2) - -inst_588: -// rs1_val==2863311530 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2d, x1, 264, x2) - -inst_589: -// rs1_val==2863311530 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x666, x1, 268, x2) - -inst_590: -// rs1_val==2863311530 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x333, x1, 272, x2) - -inst_591: -// rs1_val==2863311530 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x5, x1, 276, x2) - -inst_592: -// rs1_val==2863311530 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaaa, x1, 280, x2) - -inst_593: -// rs1_val==2863311530 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x555, x1, 284, x2) - -inst_594: -// rs1_val==2863311530 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3, x1, 288, x2) - -inst_595: -// rs1_val==1431655765 and imm_val==64, rs1_val == 1431655765 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x40, x1, 292, x2) - -inst_596: -// rs1_val==1431655765 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x1, x1, 296, x2) - -inst_597: -// rs1_val==1431655765 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2e, x1, 300, x2) - -inst_598: -// rs1_val==1431655765 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x667, x1, 304, x2) - -inst_599: -// rs1_val==1431655765 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x334, x1, 308, x2) - -inst_600: -// rs1_val==1431655765 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x6, x1, 312, x2) - -inst_601: -// rs1_val==1431655765 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaab, x1, 316, x2) - -inst_602: -// rs1_val==1431655765 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x556, x1, 320, x2) - -inst_603: -// rs1_val==1431655765 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x4, x1, 324, x2) - -inst_604: -// rs1_val==1431655765 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3e, x1, 328, x2) - -inst_605: -// rs1_val==1431655765 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x0, x1, 332, x2) - -inst_606: -// rs1_val==1431655765 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2c, x1, 336, x2) - -inst_607: -// rs1_val==1431655765 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x665, x1, 340, x2) - -inst_608: -// rs1_val==1431655765 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x332, x1, 344, x2) - -inst_609: -// rs1_val==1431655765 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaa9, x1, 348, x2) - -inst_610: -// rs1_val==1431655765 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x554, x1, 352, x2) - -inst_611: -// rs1_val==1431655765 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2, x1, 356, x2) - -inst_612: -// rs1_val==1431655765 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3f, x1, 360, x2) - -inst_613: -// rs1_val==1431655765 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2d, x1, 364, x2) - -inst_614: -// rs1_val==1431655765 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x666, x1, 368, x2) - -inst_615: -// rs1_val==1431655765 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x333, x1, 372, x2) - -inst_616: -// rs1_val==1431655765 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x5, x1, 376, x2) - -inst_617: -// rs1_val==1431655765 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaaa, x1, 380, x2) - -inst_618: -// rs1_val==1431655765 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x555, x1, 384, x2) - -inst_619: -// rs1_val==1431655765 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3, x1, 388, x2) - -inst_620: -// rs1_val==3 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x40, x1, 392, x2) - -inst_621: -// rs1_val==3 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x1, x1, 396, x2) - -inst_622: -// rs1_val==3 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2e, x1, 400, x2) - -inst_623: -// rs1_val==3 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x667, x1, 404, x2) - -inst_624: -// rs1_val==3 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x334, x1, 408, x2) - -inst_625: -// rs1_val==3 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x6, x1, 412, x2) - -inst_626: -// rs1_val==3 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaab, x1, 416, x2) - -inst_627: -// rs1_val==3 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x556, x1, 420, x2) - -inst_628: -// rs1_val==3 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x4, x1, 424, x2) - -inst_629: -// rs1_val==3 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3e, x1, 428, x2) - -inst_630: -// rs1_val==3 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x0, x1, 432, x2) - -inst_631: -// rs1_val==3 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2c, x1, 436, x2) - -inst_632: -// rs1_val==3 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x665, x1, 440, x2) - -inst_633: -// rs1_val==3 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x332, x1, 444, x2) - -inst_634: -// rs1_val==3 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaa9, x1, 448, x2) - -inst_635: -// rs1_val==3 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x554, x1, 452, x2) - -inst_636: -// rs1_val==3 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x2, x1, 456, x2) - -inst_637: -// rs1_val==3 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3f, x1, 460, x2) - -inst_638: -// rs1_val==3 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2d, x1, 464, x2) - -inst_639: -// rs1_val==3 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x666, x1, 468, x2) - -inst_640: -// rs1_val==3 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x333, x1, 472, x2) - -inst_641: -// rs1_val==3 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x5, x1, 476, x2) - -inst_642: -// rs1_val==3 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaaa, x1, 480, x2) - -inst_643: -// rs1_val==3 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x555, x1, 484, x2) - -inst_644: -// rs1_val==3 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x3, x1, 488, x2) - -inst_645: -// rs1_val==2863311529 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x555, x1, 492, x2) - -inst_646: -// rs1_val==2863311529 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3, x1, 496, x2) - -inst_647: -// rs1_val == (2**(xlen)-1), -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffff, 0x40, x1, 500, x2) - -inst_648: -// rs1_val==1431655764 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x40, x1, 504, x2) - -inst_649: -// rs1_val==1431655764 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x1, x1, 508, x2) - -inst_650: -// rs1_val==1431655764 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2e, x1, 512, x2) - -inst_651: -// rs1_val==1431655764 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x667, x1, 516, x2) - -inst_652: -// rs1_val==1431655764 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x334, x1, 520, x2) - -inst_653: -// rs1_val==1431655764 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x6, x1, 524, x2) - -inst_654: -// rs1_val==1431655764 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaab, x1, 528, x2) - -inst_655: -// rs1_val==1431655764 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x556, x1, 532, x2) - -inst_656: -// rs1_val==1431655764 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x4, x1, 536, x2) - -inst_657: -// rs1_val==1431655764 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3e, x1, 540, x2) - -inst_658: -// rs1_val==1431655764 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x0, x1, 544, x2) - -inst_659: -// rs1_val==1431655764 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2c, x1, 548, x2) - -inst_660: -// rs1_val==1431655764 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x665, x1, 552, x2) - -inst_661: -// rs1_val==1431655764 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x332, x1, 556, x2) - -inst_662: -// rs1_val==1431655764 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaa9, x1, 560, x2) - -inst_663: -// rs1_val==1431655764 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x554, x1, 564, x2) - -inst_664: -// rs1_val==1431655764 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2, x1, 568, x2) - -inst_665: -// rs1_val==1431655764 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3f, x1, 572, x2) - -inst_666: -// rs1_val==1431655764 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2d, x1, 576, x2) - -inst_667: -// rs1_val==1431655764 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x666, x1, 580, x2) - -inst_668: -// rs1_val==1431655764 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x333, x1, 584, x2) - -inst_669: -// rs1_val==1431655764 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x5, x1, 588, x2) - -inst_670: -// rs1_val==1431655764 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaaa, x1, 592, x2) - -inst_671: -// rs1_val==1431655764 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x555, x1, 596, x2) - -inst_672: -// rs1_val==1431655764 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3, x1, 600, x2) - -inst_673: -// rs1_val==2 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x40, x1, 604, x2) - -inst_674: -// rs1_val==2 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x1, x1, 608, x2) - -inst_675: -// rs1_val==2 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2e, x1, 612, x2) - -inst_676: -// rs1_val==2 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x667, x1, 616, x2) - -inst_677: -// rs1_val==2 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x334, x1, 620, x2) - -inst_678: -// rs1_val==2 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x6, x1, 624, x2) - -inst_679: -// rs1_val==2 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaab, x1, 628, x2) - -inst_680: -// rs1_val==2 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x556, x1, 632, x2) - -inst_681: -// rs1_val==2 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x4, x1, 636, x2) - -inst_682: -// rs1_val==2 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3e, x1, 640, x2) - -inst_683: -// rs1_val==2 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x0, x1, 644, x2) - -inst_684: -// rs1_val==2 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2c, x1, 648, x2) - -inst_685: -// rs1_val==2 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x665, x1, 652, x2) - -inst_686: -// rs1_val==2 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x332, x1, 656, x2) - -inst_687: -// rs1_val==2 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x554, x1, 660, x2) - -inst_688: -// rs1_val==2 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x2, x1, 664, x2) - -inst_689: -// rs1_val==2 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3f, x1, 668, x2) - -inst_690: -// rs1_val==2 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2d, x1, 672, x2) - -inst_691: -// rs1_val==2 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x666, x1, 676, x2) - -inst_692: -// rs1_val==2 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x333, x1, 680, x2) - -inst_693: -// rs1_val==2 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x5, x1, 684, x2) - -inst_694: -// rs1_val==2 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaaa, x1, 688, x2) - -inst_695: -// rs1_val == 4261412863, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfdffffff; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfdffffff, 0xc, x1, 692, x2) - -inst_696: -// rs1_val == 4294443007, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfff7ffff, 0xa, x1, 696, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x14_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x14_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 175*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S deleted file mode 100644 index 999007f7c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S +++ /dev/null @@ -1,3695 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltu instruction of the RISC-V E extension for the sltu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltu) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x2, rd==x7, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 16384 -// opcode: sltu ; op1:x12; op2:x2; dest:x7; op1val:0xaaaaaaab; op2val:0x4000 -TEST_RR_OP(sltu, x7, x12, x2, 0x0, 0xaaaaaaab, 0x4000, x4, 0, x9) - -inst_1: -// rs1 == rd != rs2, rs1==x11, rs2==x7, rd==x11, rs2_val == 2147483647, rs1_val == 262144 -// opcode: sltu ; op1:x11; op2:x7; dest:x11; op1val:0x40000; op2val:0x7fffffff -TEST_RR_OP(sltu, x11, x11, x7, 0x1, 0x40000, 0x7fffffff, x4, 4, x9) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs2_val == 3221225471, rs1_val == 131072 -// opcode: sltu ; op1:x6; op2:x10; dest:x10; op1val:0x20000; op2val:0xbfffffff -TEST_RR_OP(sltu, x10, x6, x10, 0x1, 0x20000, 0xbfffffff, x4, 8, x9) - -inst_3: -// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x3, rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x14; op2:x14; dest:x3; op1val:0xfdffffff; op2val:0xfdffffff -TEST_RR_OP(sltu, x3, x14, x14, 0x0, 0xfdffffff, 0xfdffffff, x4, 12, x9) - -inst_4: -// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 4026531839, rs1_val == 0 -// opcode: sltu ; op1:x5; op2:x5; dest:x5; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x5, x5, x5, 0x0, 0x0, 0x0, x4, 16, x9) - -inst_5: -// rs1==x8, rs2==x1, rd==x0, rs2_val == 4160749567, -// opcode: sltu ; op1:x8; op2:x1; dest:x0; op1val:0x0; op2val:0xf7ffffff -TEST_RR_OP(sltu, x0, x8, x1, 0, 0x0, 0xf7ffffff, x4, 20, x9) - -inst_6: -// rs1==x3, rs2==x9, rd==x13, rs2_val == 4227858431, -// opcode: sltu ; op1:x3; op2:x9; dest:x13; op1val:0x0; op2val:0xfbffffff -TEST_RR_OP(sltu, x13, x3, x9, 0x1, 0x0, 0xfbffffff, x4, 24, x7) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_7: -// rs1==x9, rs2==x3, rd==x2, rs2_val == 4261412863, rs1_val == 4294967167 -// opcode: sltu ; op1:x9; op2:x3; dest:x2; op1val:0xffffff7f; op2val:0xfdffffff -TEST_RR_OP(sltu, x2, x9, x3, 0x0, 0xffffff7f, 0xfdffffff, x5, 0, x7) - -inst_8: -// rs1==x15, rs2==x13, rd==x6, rs2_val == 4278190079, rs1_val == 4294950911 -// opcode: sltu ; op1:x15; op2:x13; dest:x6; op1val:0xffffbfff; op2val:0xfeffffff -TEST_RR_OP(sltu, x6, x15, x13, 0x0, 0xffffbfff, 0xfeffffff, x5, 4, x7) - -inst_9: -// rs1==x13, rs2==x0, rd==x12, rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x13; op2:x0; dest:x12; op1val:0xefffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x13, x0, 0x0, 0xefffffff, 0x0, x5, 8, x7) - -inst_10: -// rs1==x1, rs2==x11, rd==x14, rs2_val == 4290772991, rs1_val == 4294901759 -// opcode: sltu ; op1:x1; op2:x11; dest:x14; op1val:0xfffeffff; op2val:0xffbfffff -TEST_RR_OP(sltu, x14, x1, x11, 0x0, 0xfffeffff, 0xffbfffff, x5, 12, x7) - -inst_11: -// rs1==x2, rs2==x8, rd==x4, rs2_val == 4292870143, rs1_val == 8 -// opcode: sltu ; op1:x2; op2:x8; dest:x4; op1val:0x8; op2val:0xffdfffff -TEST_RR_OP(sltu, x4, x2, x8, 0x1, 0x8, 0xffdfffff, x5, 16, x3) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x7, rs2==x4, rd==x8, rs2_val == 4293918719, rs1_val == 2863311530 -// opcode: sltu ; op1:x7; op2:x4; dest:x8; op1val:0xaaaaaaaa; op2val:0xffefffff -TEST_RR_OP(sltu, x8, x7, x4, 0x1, 0xaaaaaaaa, 0xffefffff, x2, 0, x3) - -inst_13: -// rs1==x10, rs2==x12, rd==x15, rs2_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x12; dest:x15; op1val:0xb504; op2val:0xfff7ffff -TEST_RR_OP(sltu, x15, x10, x12, 0x1, 0xb504, 0xfff7ffff, x2, 4, x3) - -inst_14: -// rs1==x4, rs2==x15, rd==x9, rs2_val == 4294705151, rs1_val == 2048 -// opcode: sltu ; op1:x4; op2:x15; dest:x9; op1val:0x800; op2val:0xfffbffff -TEST_RR_OP(sltu, x9, x4, x15, 0x1, 0x800, 0xfffbffff, x2, 8, x3) - -inst_15: -// rs1==x0, rs2==x6, rd==x1, rs2_val == 4294836223, -// opcode: sltu ; op1:x0; op2:x6; dest:x1; op1val:0x0; op2val:0xfffdffff -TEST_RR_OP(sltu, x1, x0, x6, 0x1, 0x0, 0xfffdffff, x2, 12, x3) - -inst_16: -// rs2_val == 4294901759, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xfffeffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xfffeffff, x2, 16, x3) - -inst_17: -// rs2_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xffff7fff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xffff7fff, x2, 20, x1) - -inst_18: -// rs2_val == 4294950911, rs1_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffffbfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xffffbfff, x2, 24, x1) - -inst_19: -// rs2_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffffdfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xffffdfff, x2, 28, x1) - -inst_20: -// rs2_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffffefff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xffffefff, x2, 32, x1) - -inst_21: -// rs2_val == 4294965247, rs1_val == 4293918719 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xfffff7ff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffefffff, 0xfffff7ff, x2, 36, x1) - -inst_22: -// rs2_val == 4294966271, rs1_val == 524288 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80000, 0xfffffbff, x2, 40, x1) - -inst_23: -// rs2_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xfffffdff, x2, 44, x1) - -inst_24: -// rs2_val == 4294967039, rs1_val == 4286578687 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xfffffeff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xff7fffff, 0xfffffeff, x2, 48, x1) - -inst_25: -// rs2_val == 4294967167, rs1_val == 4294966271 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffff7f -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffbff, 0xffffff7f, x2, 52, x1) - -inst_26: -// rs2_val == 4294967231, rs1_val == 64 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xffffffbf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x40, 0xffffffbf, x2, 56, x1) - -inst_27: -// rs2_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xffffffdf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x800, 0xffffffdf, x2, 60, x1) - -inst_28: -// rs2_val == 4294967279, rs1_val == 4294965247 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffffef -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffff7ff, 0xffffffef, x2, 64, x1) - -inst_29: -// rs2_val == 4294967287, rs1_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfffffff7 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400000, 0xfffffff7, x2, 68, x1) - -inst_30: -// rs2_val == 4294967291, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 4294967291 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffb, 0xfffffffb, x2, 72, x1) - -inst_31: -// rs2_val == 4294967293, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xfffffffd, x2, 76, x1) - -inst_32: -// rs2_val == 4294967294, rs1_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffffffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20000000, 0xfffffffe, x2, 80, x1) - -inst_33: -// rs1_val == 2147483647, rs2_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x7fffffff, 0x20000000, x2, 84, x1) - -inst_34: -// rs1_val == 3221225471, rs2_val == 0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xbfffffff, 0x0, x2, 88, x1) - -inst_35: -// rs1_val == 3758096383, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0x12 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xdfffffff, 0x12, x2, 92, x1) - -inst_36: -// rs1_val == 4160749567, rs2_val == 2863311530 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xf7ffffff, 0xaaaaaaaa, x2, 96, x1) - -inst_37: -// rs1_val == 4227858431, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfbffffff, 0x20000000, x2, 100, x1) - -inst_38: -// rs1_val == 4278190079, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfeffffff, 0xfffffdff, x2, 104, x1) - -inst_39: -// rs1_val == 4290772991, rs2_val == 65536 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffbfffff, 0x10000, x2, 108, x1) - -inst_40: -// rs1_val == 4292870143, rs2_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x200000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x200000, x2, 112, x1) - -inst_41: -// rs1_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfff7ffff, 0x3, x2, 116, x1) - -inst_42: -// rs1_val == 4294705151, rs2_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x10 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x10, x2, 120, x1) - -inst_43: -// rs1_val == 4294836223, rs2_val == 32768 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffdffff, 0x8000, x2, 124, x1) - -inst_44: -// rs1_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff7fff, 0xfffffffd, x2, 128, x1) - -inst_45: -// rs1_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x8000, x2, 132, x1) - -inst_46: -// rs1_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffefff, 0xaaaaaaa9, x2, 136, x1) - -inst_47: -// rs1_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffdff, 0xfffffffb, x2, 140, x1) - -inst_48: -// rs1_val == 4294967039, rs2_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x400000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffeff, 0x400000, x2, 144, x1) - -inst_49: -// rs1_val == 4294967231, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffbf, 0xa, x2, 148, x1) - -inst_50: -// rs1_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffdf, 0x5, x2, 152, x1) - -inst_51: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x800 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffef, 0x800, x2, 156, x1) - -inst_52: -// rs1_val == 4294967287, rs2_val == 33554432 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x2000000, x2, 160, x1) - -inst_53: -// rs1_val == 4294967293, rs2_val == 8388608 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x800000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffd, 0x800000, x2, 164, x1) - -inst_54: -// rs1_val == 4294967294, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x6, x2, 168, x1) - -inst_55: -// rs2_val == 2147483648, rs1_val == 2 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x80000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x80000000, x2, 172, x1) - -inst_56: -// rs2_val == 1073741824, rs1_val == 4 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 176, x1) - -inst_57: -// rs2_val == 268435456, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x10000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xd, 0x10000000, x2, 180, x1) - -inst_58: -// rs2_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x8000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x8000000, x2, 184, x1) - -inst_59: -// rs2_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4000000, x2, 188, x1) - -inst_60: -// rs2_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x1000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x1000000, x2, 192, x1) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 196, x1) - -inst_62: -// rs2_val == 524288, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x80000, x2, 200, x1) - -inst_63: -// rs2_val == 262144, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x40000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x40000, x2, 204, x1) - -inst_64: -// rs2_val == 131072, rs1_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x20000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x200000, 0x20000, x2, 208, x1) - -inst_65: -// rs2_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x2000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x2000, x2, 212, x1) - -inst_66: -// rs2_val == 4096, rs1_val == 268435456 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x1000, x2, 216, x1) - -inst_67: -// rs2_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x400, x2, 220, x1) - -inst_68: -// rs2_val == 512, rs1_val == 1048576 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x100000, 0x200, x2, 224, x1) - -inst_69: -// rs2_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x100, x2, 228, x1) - -inst_70: -// rs2_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x80 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x80, x2, 232, x1) - -inst_71: -// rs2_val == 64, rs1_val == 2147483648 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x40 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x80000000, 0x40, x2, 236, x1) - -inst_72: -// rs2_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x20, x2, 240, x1) - -inst_73: -// rs2_val == 8, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x8 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x8, x2, 244, x1) - -inst_74: -// rs2_val == 4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8, 0x4, x2, 248, x1) - -inst_75: -// rs2_val == 2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x2, x2, 252, x1) - -inst_76: -// rs2_val == 1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x1, x2, 256, x1) - -inst_77: -// rs1_val == 1073741824, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x40000000, 0x2000000, x2, 260, x1) - -inst_78: -// rs1_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8000000, 0x200, x2, 264, x1) - -inst_79: -// rs1_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000000, 0xfffe, x2, 268, x1) - -inst_80: -// rs1_val == 33554432, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000000, 0x4, x2, 272, x1) - -inst_81: -// rs1_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000000, 0x33333334, x2, 276, x1) - -inst_82: -// rs1_val == 8388608, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x800000, 0x80000, x2, 280, x1) - -inst_83: -// rs1_val == 65536, rs1_val==65536 and rs2_val==0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x0, x2, 284, x1) - -inst_84: -// rs1_val == 32768, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x8000, 0xaaaaaaa9, x2, 288, x1) - -inst_85: -// rs1_val == 16384, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000, 0x4, x2, 292, x1) - -inst_86: -// rs1_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000, 0x20, x2, 296, x1) - -inst_87: -// rs1_val == 4096, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000, 0xfffffbff, x2, 300, x1) - -inst_88: -// rs1_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xbfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400, 0xbfffffff, x2, 304, x1) - -inst_89: -// rs1_val == 512, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x200, 0x10000, x2, 308, x1) - -inst_90: -// rs1_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xf7ffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x100, 0xf7ffffff, x2, 312, x1) - -inst_91: -// rs1_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80, 0x10000, x2, 316, x1) - -inst_92: -// rs1_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xefffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20, 0xefffffff, x2, 320, x1) - -inst_93: -// rs1_val == 1, rs1_val==1 and rs2_val==46340 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb504, x2, 324, x1) - -inst_94: -// rs1_val==65536 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x10000, x2, 328, x1) - -inst_95: -// rs1_val==65536 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x1, x2, 332, x1) - -inst_96: -// rs1_val==65536 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb505, x2, 336, x1) - -inst_97: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666667, x2, 340, x1) - -inst_98: -// rs1_val==65536 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333334, x2, 344, x1) - -inst_99: -// rs1_val==65536 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x6, x2, 348, x1) - -inst_100: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaab, x2, 352, x1) - -inst_101: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555556, x2, 356, x1) - -inst_102: -// rs1_val==65536 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x4, x2, 360, x1) - -inst_103: -// rs1_val==65536 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x2, 364, x1) - -inst_104: -// rs1_val==65536 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb503, x2, 368, x1) - -inst_105: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666665, x2, 372, x1) - -inst_106: -// rs1_val==65536 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333332, x2, 376, x1) - -inst_107: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaa9, x2, 380, x1) - -inst_108: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555554, x2, 384, x1) - -inst_109: -// rs1_val==65536 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x2, x2, 388, x1) - -inst_110: -// rs1_val==65536 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xffff, x2, 392, x1) - -inst_111: -// rs1_val==65536 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb504, x2, 396, x1) - -inst_112: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666666, x2, 400, x1) - -inst_113: -// rs1_val==65536 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333333, x2, 404, x1) - -inst_114: -// rs1_val==65536 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x5, x2, 408, x1) - -inst_115: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaaa, x2, 412, x1) - -inst_116: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555555, x2, 416, x1) - -inst_117: -// rs1_val==65536 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x3, x2, 420, x1) - -inst_118: -// rs1_val==1 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x10000, x2, 424, x1) - -inst_119: -// rs1_val==1 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x1, x2, 428, x1) - -inst_120: -// rs1_val==1 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb505, x2, 432, x1) - -inst_121: -// rs1_val==1 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666667, x2, 436, x1) - -inst_122: -// rs1_val==1 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333334, x2, 440, x1) - -inst_123: -// rs1_val==1 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x6, x2, 444, x1) - -inst_124: -// rs1_val==1 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x2, 448, x1) - -inst_125: -// rs1_val==1 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555556, x2, 452, x1) - -inst_126: -// rs1_val==1 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x4, x2, 456, x1) - -inst_127: -// rs1_val==1 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xfffe, x2, 460, x1) - -inst_128: -// rs1_val==1 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x0, x2, 464, x1) - -inst_129: -// rs1_val==1 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb503, x2, 468, x1) - -inst_130: -// rs1_val==1 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666665, x2, 472, x1) - -inst_131: -// rs1_val==1 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333332, x2, 476, x1) - -inst_132: -// rs1_val==1 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x2, 480, x1) - -inst_133: -// rs1_val==1 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555554, x2, 484, x1) - -inst_134: -// rs1_val==1 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x2, x2, 488, x1) - -inst_135: -// rs1_val==1 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xffff, x2, 492, x1) - -inst_136: -// rs1_val==1 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666666, x2, 496, x1) - -inst_137: -// rs1_val==1 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333333, x2, 500, x1) - -inst_138: -// rs1_val==1 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x5, x2, 504, x1) - -inst_139: -// rs1_val==1 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x2, 508, x1) - -inst_140: -// rs1_val==1 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555555, x2, 512, x1) - -inst_141: -// rs1_val==1 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x3, x2, 516, x1) - -inst_142: -// rs1_val==46341 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x10000, x2, 520, x1) - -inst_143: -// rs1_val==46341 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x1, x2, 524, x1) - -inst_144: -// rs1_val==46341 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 528, x1) - -inst_145: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 532, x1) - -inst_146: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 536, x1) - -inst_147: -// rs1_val==46341 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 540, x1) - -inst_148: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaab, x2, 544, x1) - -inst_149: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 548, x1) - -inst_150: -// rs1_val==46341 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 552, x1) - -inst_151: -// rs1_val==46341 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xfffe, x2, 556, x1) - -inst_152: -// rs1_val==46341 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 560, x1) - -inst_153: -// rs1_val==46341 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 564, x1) - -inst_154: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 568, x1) - -inst_155: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 572, x1) - -inst_156: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaa9, x2, 576, x1) - -inst_157: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 580, x1) - -inst_158: -// rs1_val==46341 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 584, x1) - -inst_159: -// rs1_val==46341 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xffff, x2, 588, x1) - -inst_160: -// rs1_val==46341 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 592, x1) - -inst_161: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 596, x1) - -inst_162: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 600, x1) - -inst_163: -// rs1_val==46341 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 604, x1) - -inst_164: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaaa, x2, 608, x1) - -inst_165: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 612, x1) - -inst_166: -// rs1_val==46341 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 616, x1) - -inst_167: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x10000, x2, 620, x1) - -inst_168: -// rs1_val==1717986919 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x1, x2, 624, x1) - -inst_169: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 628, x1) - -inst_170: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 632, x1) - -inst_171: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 636, x1) - -inst_172: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 640, x1) - -inst_173: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaab, x2, 644, x1) - -inst_174: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 648, x1) - -inst_175: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 652, x1) - -inst_176: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xfffe, x2, 656, x1) - -inst_177: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 660, x1) - -inst_178: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 664, x1) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 668, x1) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 672, x1) - -inst_181: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaa9, x2, 676, x1) - -inst_182: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 680, x1) - -inst_183: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 684, x1) - -inst_184: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xffff, x2, 688, x1) - -inst_185: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 692, x1) - -inst_186: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 696, x1) - -inst_187: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 700, x1) - -inst_188: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 704, x1) - -inst_189: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaaa, x2, 708, x1) - -inst_190: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 712, x1) - -inst_191: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 716, x1) - -inst_192: -// rs1_val==858993460 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x10000, x2, 720, x1) - -inst_193: -// rs1_val==858993460 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x1, x2, 724, x1) - -inst_194: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 728, x1) - -inst_195: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 732, x1) - -inst_196: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 736, x1) - -inst_197: -// rs1_val==858993460 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 740, x1) - -inst_198: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaab, x2, 744, x1) - -inst_199: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 748, x1) - -inst_200: -// rs1_val==858993460 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 752, x1) - -inst_201: -// rs1_val==858993460 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xfffe, x2, 756, x1) - -inst_202: -// rs1_val==858993460 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 760, x1) - -inst_203: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 764, x1) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 768, x1) - -inst_205: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 772, x1) - -inst_206: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaa9, x2, 776, x1) - -inst_207: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 780, x1) - -inst_208: -// rs1_val==858993460 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 784, x1) - -inst_209: -// rs1_val==858993460 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xffff, x2, 788, x1) - -inst_210: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 792, x1) - -inst_211: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 796, x1) - -inst_212: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 800, x1) - -inst_213: -// rs1_val==858993460 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 804, x1) - -inst_214: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaaa, x2, 808, x1) - -inst_215: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 812, x1) - -inst_216: -// rs1_val==858993460 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 816, x1) - -inst_217: -// rs1_val==6 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x10000, x2, 820, x1) - -inst_218: -// rs1_val==6 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x1, x2, 824, x1) - -inst_219: -// rs1_val==6 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 828, x1) - -inst_220: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 832, x1) - -inst_221: -// rs1_val==6 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 836, x1) - -inst_222: -// rs1_val==6 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x6, x2, 840, x1) - -inst_223: -// rs1_val==6 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaab, x2, 844, x1) - -inst_224: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 848, x1) - -inst_225: -// rs1_val==6 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x4, x2, 852, x1) - -inst_226: -// rs1_val==6 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xfffe, x2, 856, x1) - -inst_227: -// rs1_val==6 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x0, x2, 860, x1) - -inst_228: -// rs1_val==6 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 864, x1) - -inst_229: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 868, x1) - -inst_230: -// rs1_val==6 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 872, x1) - -inst_231: -// rs1_val==6 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaa9, x2, 876, x1) - -inst_232: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 880, x1) - -inst_233: -// rs1_val==6 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x2, x2, 884, x1) - -inst_234: -// rs1_val==6 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xffff, x2, 888, x1) - -inst_235: -// rs1_val==6 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 892, x1) - -inst_236: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 896, x1) - -inst_237: -// rs1_val==6 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 900, x1) - -inst_238: -// rs1_val==6 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x5, x2, 904, x1) - -inst_239: -// rs1_val==6 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaaa, x2, 908, x1) - -inst_240: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 912, x1) - -inst_241: -// rs1_val==6 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x3, x2, 916, x1) - -inst_242: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x10000, x2, 920, x1) - -inst_243: -// rs1_val==2863311531 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x2, 924, x1) - -inst_244: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb505, x2, 928, x1) - -inst_245: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666667, x2, 932, x1) - -inst_246: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333334, x2, 936, x1) - -inst_247: -// rs1_val==2863311531 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x6, x2, 940, x1) - -inst_248: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x2, 944, x1) - -inst_249: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555556, x2, 948, x1) - -inst_250: -// rs1_val==2863311531 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x4, x2, 952, x1) - -inst_251: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xfffe, x2, 956, x1) - -inst_252: -// rs1_val==2863311531 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x2, 960, x1) - -inst_253: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb503, x2, 964, x1) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666665, x2, 968, x1) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333332, x2, 972, x1) - -inst_256: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaa9, x2, 976, x1) - -inst_257: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555554, x2, 980, x1) - -inst_258: -// rs1_val==2863311531 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x2, x2, 984, x1) - -inst_259: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xffff, x2, 988, x1) - -inst_260: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb504, x2, 992, x1) - -inst_261: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666666, x2, 996, x1) - -inst_262: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333333, x2, 1000, x1) - -inst_263: -// rs1_val==2863311531 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x5, x2, 1004, x1) - -inst_264: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaaa, x2, 1008, x1) - -inst_265: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555555, x2, 1012, x1) - -inst_266: -// rs1_val==2863311531 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x2, 1016, x1) - -inst_267: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x10000, x2, 1020, x1) - -inst_268: -// rs1_val==1431655766 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x1, x2, 1024, x1) - -inst_269: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 1028, x1) - -inst_270: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 1032, x1) - -inst_271: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 1036, x1) - -inst_272: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 1040, x1) - -inst_273: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaab, x2, 1044, x1) - -inst_274: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 1048, x1) - -inst_275: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 1052, x1) - -inst_276: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xfffe, x2, 1056, x1) - -inst_277: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 1060, x1) - -inst_278: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 1064, x1) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 1068, x1) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 1072, x1) - -inst_281: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaa9, x2, 1076, x1) - -inst_282: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 1080, x1) - -inst_283: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 1084, x1) - -inst_284: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xffff, x2, 1088, x1) - -inst_285: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 1092, x1) - -inst_286: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 1096, x1) - -inst_287: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 1100, x1) - -inst_288: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 1104, x1) - -inst_289: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaaa, x2, 1108, x1) - -inst_290: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 1112, x1) - -inst_291: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 1116, x1) - -inst_292: -// rs1_val==4 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x10000, x2, 1120, x1) - -inst_293: -// rs1_val==4 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x1, x2, 1124, x1) - -inst_294: -// rs1_val==4 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 1128, x1) - -inst_295: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 1132, x1) - -inst_296: -// rs1_val==4 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 1136, x1) - -inst_297: -// rs1_val==4 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x6, x2, 1140, x1) - -inst_298: -// rs1_val==4 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaab, x2, 1144, x1) - -inst_299: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1148, x1) - -inst_300: -// rs1_val==4 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1152, x1) - -inst_301: -// rs1_val==4 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xfffe, x2, 1156, x1) - -inst_302: -// rs1_val==4 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1160, x1) - -inst_303: -// rs1_val==4 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 1164, x1) - -inst_304: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1168, x1) - -inst_305: -// rs1_val==4 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 1172, x1) - -inst_306: -// rs1_val==4 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaa9, x2, 1176, x1) - -inst_307: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1180, x1) - -inst_308: -// rs1_val==4 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1184, x1) - -inst_309: -// rs1_val==4 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xffff, x2, 1188, x1) - -inst_310: -// rs1_val==4 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 1192, x1) - -inst_311: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1196, x1) - -inst_312: -// rs1_val==4 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1200, x1) - -inst_313: -// rs1_val==4 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1204, x1) - -inst_314: -// rs1_val==4 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaaa, x2, 1208, x1) - -inst_315: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1212, x1) - -inst_316: -// rs1_val==4 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1216, x1) - -inst_317: -// rs1_val==65534 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x10000, x2, 1220, x1) - -inst_318: -// rs1_val==65534 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x1, x2, 1224, x1) - -inst_319: -// rs1_val==65534 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x2, 1228, x1) - -inst_320: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666667, x2, 1232, x1) - -inst_321: -// rs1_val==65534 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333334, x2, 1236, x1) - -inst_322: -// rs1_val==65534 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x6, x2, 1240, x1) - -inst_323: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaab, x2, 1244, x1) - -inst_324: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555556, x2, 1248, x1) - -inst_325: -// rs1_val==65534 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x4, x2, 1252, x1) - -inst_326: -// rs1_val==65534 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x2, 1256, x1) - -inst_327: -// rs1_val==65534 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x0, x2, 1260, x1) - -inst_328: -// rs1_val==65534 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x2, 1264, x1) - -inst_329: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666665, x2, 1268, x1) - -inst_330: -// rs1_val==65534 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333332, x2, 1272, x1) - -inst_331: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaa9, x2, 1276, x1) - -inst_332: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555554, x2, 1280, x1) - -inst_333: -// rs1_val==65534 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x2, x2, 1284, x1) - -inst_334: -// rs1_val==65534 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xffff, x2, 1288, x1) - -inst_335: -// rs1_val==65534 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x2, 1292, x1) - -inst_336: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666666, x2, 1296, x1) - -inst_337: -// rs1_val==65534 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333333, x2, 1300, x1) - -inst_338: -// rs1_val==65534 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x5, x2, 1304, x1) - -inst_339: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaaa, x2, 1308, x1) - -inst_340: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555555, x2, 1312, x1) - -inst_341: -// rs1_val==65534 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x3, x2, 1316, x1) - -inst_342: -// rs1_val==0 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x10000, x2, 1320, x1) - -inst_343: -// rs1_val==0 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x1, x2, 1324, x1) - -inst_344: -// rs1_val==0 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1328, x1) - -inst_345: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1332, x1) - -inst_346: -// rs1_val==0 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1336, x1) - -inst_347: -// rs1_val==0 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1340, x1) - -inst_348: -// rs1_val==0 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaab, x2, 1344, x1) - -inst_349: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1348, x1) - -inst_350: -// rs1_val==0 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1352, x1) - -inst_351: -// rs1_val==0 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xfffe, x2, 1356, x1) - -inst_352: -// rs1_val==0 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1360, x1) - -inst_353: -// rs1_val==0 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1364, x1) - -inst_354: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1368, x1) - -inst_355: -// rs1_val==0 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1372, x1) - -inst_356: -// rs1_val==0 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaa9, x2, 1376, x1) - -inst_357: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1380, x1) - -inst_358: -// rs1_val==0 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1384, x1) - -inst_359: -// rs1_val==0 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xffff, x2, 1388, x1) - -inst_360: -// rs1_val==0 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1392, x1) - -inst_361: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1396, x1) - -inst_362: -// rs1_val==0 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1400, x1) - -inst_363: -// rs1_val==0 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1404, x1) - -inst_364: -// rs1_val==0 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaaa, x2, 1408, x1) - -inst_365: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1412, x1) - -inst_366: -// rs1_val==0 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1416, x1) - -inst_367: -// rs1_val==46339 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x10000, x2, 1420, x1) - -inst_368: -// rs1_val==46339 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x1, x2, 1424, x1) - -inst_369: -// rs1_val==46339 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1428, x1) - -inst_370: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1432, x1) - -inst_371: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1436, x1) - -inst_372: -// rs1_val==46339 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1440, x1) - -inst_373: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaab, x2, 1444, x1) - -inst_374: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1448, x1) - -inst_375: -// rs1_val==46339 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1452, x1) - -inst_376: -// rs1_val==46339 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xfffe, x2, 1456, x1) - -inst_377: -// rs1_val==46339 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1460, x1) - -inst_378: -// rs1_val==46339 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1464, x1) - -inst_379: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1468, x1) - -inst_380: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1472, x1) - -inst_381: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaa9, x2, 1476, x1) - -inst_382: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1480, x1) - -inst_383: -// rs1_val==46339 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1484, x1) - -inst_384: -// rs1_val==46339 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xffff, x2, 1488, x1) - -inst_385: -// rs1_val==46339 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1492, x1) - -inst_386: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1496, x1) - -inst_387: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1500, x1) - -inst_388: -// rs1_val==46339 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1504, x1) - -inst_389: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaaa, x2, 1508, x1) - -inst_390: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1512, x1) - -inst_391: -// rs1_val==46339 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1516, x1) - -inst_392: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x10000, x2, 1520, x1) - -inst_393: -// rs1_val==1717986917 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x1, x2, 1524, x1) - -inst_394: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1528, x1) - -inst_395: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1532, x1) - -inst_396: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1536, x1) - -inst_397: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1540, x1) - -inst_398: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaab, x2, 1544, x1) - -inst_399: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1548, x1) - -inst_400: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1552, x1) - -inst_401: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xfffe, x2, 1556, x1) - -inst_402: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1560, x1) - -inst_403: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1564, x1) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1568, x1) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1572, x1) - -inst_406: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaa9, x2, 1576, x1) - -inst_407: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1580, x1) - -inst_408: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1584, x1) - -inst_409: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xffff, x2, 1588, x1) - -inst_410: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1592, x1) - -inst_411: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1596, x1) - -inst_412: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1600, x1) - -inst_413: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1604, x1) - -inst_414: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaaa, x2, 1608, x1) - -inst_415: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1612, x1) - -inst_416: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1616, x1) - -inst_417: -// rs1_val==858993458 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x10000, x2, 1620, x1) - -inst_418: -// rs1_val==858993458 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x1, x2, 1624, x1) - -inst_419: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1628, x1) - -inst_420: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1632, x1) - -inst_421: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1636, x1) - -inst_422: -// rs1_val==858993458 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1640, x1) - -inst_423: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaab, x2, 1644, x1) - -inst_424: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1648, x1) - -inst_425: -// rs1_val==858993458 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1652, x1) - -inst_426: -// rs1_val==858993458 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xfffe, x2, 1656, x1) - -inst_427: -// rs1_val==858993458 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1660, x1) - -inst_428: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1664, x1) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1668, x1) - -inst_430: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1672, x1) - -inst_431: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaa9, x2, 1676, x1) - -inst_432: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1680, x1) - -inst_433: -// rs1_val==858993458 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1684, x1) - -inst_434: -// rs1_val==858993458 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xffff, x2, 1688, x1) - -inst_435: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1692, x1) - -inst_436: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1696, x1) - -inst_437: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1700, x1) - -inst_438: -// rs1_val==858993458 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1704, x1) - -inst_439: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaaa, x2, 1708, x1) - -inst_440: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1712, x1) - -inst_441: -// rs1_val==858993458 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1716, x1) - -inst_442: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x10000, x2, 1720, x1) - -inst_443: -// rs1_val==2863311529 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x2, 1724, x1) - -inst_444: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb505, x2, 1728, x1) - -inst_445: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666667, x2, 1732, x1) - -inst_446: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333334, x2, 1736, x1) - -inst_447: -// rs1_val==2863311529 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x6, x2, 1740, x1) - -inst_448: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaab, x2, 1744, x1) - -inst_449: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555556, x2, 1748, x1) - -inst_450: -// rs1_val==2863311529 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x4, x2, 1752, x1) - -inst_451: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xfffe, x2, 1756, x1) - -inst_452: -// rs1_val==2863311529 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x2, 1760, x1) - -inst_453: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb503, x2, 1764, x1) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666665, x2, 1768, x1) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333332, x2, 1772, x1) - -inst_456: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x2, 1776, x1) - -inst_457: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555554, x2, 1780, x1) - -inst_458: -// rs1_val==2863311529 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x2, x2, 1784, x1) - -inst_459: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xffff, x2, 1788, x1) - -inst_460: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb504, x2, 1792, x1) - -inst_461: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666666, x2, 1796, x1) - -inst_462: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333333, x2, 1800, x1) - -inst_463: -// rs1_val==2863311529 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x5, x2, 1804, x1) - -inst_464: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1808, x1) - -inst_465: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x2, 1812, x1) - -inst_466: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1816, x1) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1820, x1) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1824, x1) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1828, x1) - -inst_470: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaa9, x2, 1832, x1) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1836, x1) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1840, x1) - -inst_473: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xffff, x2, 1844, x1) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1848, x1) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1852, x1) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1856, x1) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1860, x1) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaaa, x2, 1864, x1) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1868, x1) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1872, x1) - -inst_481: -// rs1_val==2 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x10000, x2, 1876, x1) - -inst_482: -// rs1_val==2 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x1, x2, 1880, x1) - -inst_483: -// rs1_val==2 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1884, x1) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1888, x1) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1892, x1) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1896, x1) - -inst_487: -// rs1_val==2 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x2, 1900, x1) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1904, x1) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1908, x1) - -inst_490: -// rs1_val==2 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xfffe, x2, 1912, x1) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1916, x1) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1920, x1) - -inst_493: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1924, x1) - -inst_494: -// rs1_val==2 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1928, x1) - -inst_495: -// rs1_val==2 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x2, 1932, x1) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1936, x1) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1940, x1) - -inst_498: -// rs1_val==2 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xffff, x2, 1944, x1) - -inst_499: -// rs1_val==2 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1948, x1) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1952, x1) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1956, x1) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1960, x1) - -inst_503: -// rs1_val==2 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x2, 1964, x1) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1968, x1) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1972, x1) - -inst_506: -// rs1_val==65535 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x10000, x2, 1976, x1) - -inst_507: -// rs1_val==65535 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x1, x2, 1980, x1) - -inst_508: -// rs1_val==65535 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb505, x2, 1984, x1) - -inst_509: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666667, x2, 1988, x1) - -inst_510: -// rs1_val==65535 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333334, x2, 1992, x1) - -inst_511: -// rs1_val==65535 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x6, x2, 1996, x1) - -inst_512: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaab, x2, 2000, x1) - -inst_513: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555556, x2, 2004, x1) - -inst_514: -// rs1_val==65535 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x4, x2, 2008, x1) - -inst_515: -// rs1_val==65535 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x2, 2012, x1) - -inst_516: -// rs1_val==65535 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x0, x2, 2016, x1) - -inst_517: -// rs1_val==65535 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb503, x2, 2020, x1) - -inst_518: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666665, x2, 2024, x1) - -inst_519: -// rs1_val==65535 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333332, x2, 2028, x1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaa9, x2, 2032, x1) - -inst_521: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555554, x2, 2036, x1) - -inst_522: -// rs1_val==65535 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x2, x2, 2040, x1) - -inst_523: -// rs1_val==65535 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xffff, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_524: -// rs1_val==65535 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb504, x2, 0, x1) - -inst_525: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666666, x2, 4, x1) - -inst_526: -// rs1_val==65535 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333333, x2, 8, x1) - -inst_527: -// rs1_val==65535 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x5, x2, 12, x1) - -inst_528: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaaa, x2, 16, x1) - -inst_529: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555555, x2, 20, x1) - -inst_530: -// rs1_val==65535 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x3, x2, 24, x1) - -inst_531: -// rs1_val==46340 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x10000, x2, 28, x1) - -inst_532: -// rs1_val==46340 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x1, x2, 32, x1) - -inst_533: -// rs1_val==46340 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 36, x1) - -inst_534: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 40, x1) - -inst_535: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 44, x1) - -inst_536: -// rs1_val==46340 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 48, x1) - -inst_537: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaab, x2, 52, x1) - -inst_538: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 56, x1) - -inst_539: -// rs1_val==46340 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 60, x1) - -inst_540: -// rs1_val==46340 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xfffe, x2, 64, x1) - -inst_541: -// rs1_val==46340 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 68, x1) - -inst_542: -// rs1_val==46340 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 72, x1) - -inst_543: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 76, x1) - -inst_544: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 80, x1) - -inst_545: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaa9, x2, 84, x1) - -inst_546: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 88, x1) - -inst_547: -// rs1_val==46340 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 92, x1) - -inst_548: -// rs1_val==46340 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xffff, x2, 96, x1) - -inst_549: -// rs1_val==46340 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 100, x1) - -inst_550: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 104, x1) - -inst_551: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 108, x1) - -inst_552: -// rs1_val==46340 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 112, x1) - -inst_553: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaaa, x2, 116, x1) - -inst_554: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 120, x1) - -inst_555: -// rs1_val==46340 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 124, x1) - -inst_556: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x10000, x2, 128, x1) - -inst_557: -// rs1_val==1717986918 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x1, x2, 132, x1) - -inst_558: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 136, x1) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 140, x1) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 144, x1) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 148, x1) - -inst_562: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaab, x2, 152, x1) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 156, x1) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 160, x1) - -inst_565: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xfffe, x2, 164, x1) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 168, x1) - -inst_567: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 172, x1) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 176, x1) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 180, x1) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaa9, x2, 184, x1) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 188, x1) - -inst_572: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 192, x1) - -inst_573: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xffff, x2, 196, x1) - -inst_574: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 200, x1) - -inst_575: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 204, x1) - -inst_576: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 208, x1) - -inst_577: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 212, x1) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaaa, x2, 216, x1) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 220, x1) - -inst_580: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 224, x1) - -inst_581: -// rs1_val==858993459 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x10000, x2, 228, x1) - -inst_582: -// rs1_val==858993459 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x1, x2, 232, x1) - -inst_583: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 236, x1) - -inst_584: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 240, x1) - -inst_585: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 244, x1) - -inst_586: -// rs1_val==858993459 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 248, x1) - -inst_587: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaab, x2, 252, x1) - -inst_588: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 256, x1) - -inst_589: -// rs1_val==858993459 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 260, x1) - -inst_590: -// rs1_val==858993459 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xfffe, x2, 264, x1) - -inst_591: -// rs1_val==858993459 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 268, x1) - -inst_592: -// rs1_val==2863311529 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x3, x2, 272, x1) - -inst_593: -// rs2_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xffffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xffffffff, x2, 276, x1) - -inst_594: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 280, x1) - -inst_595: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 284, x1) - -inst_596: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 288, x1) - -inst_597: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaa9, x2, 292, x1) - -inst_598: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 296, x1) - -inst_599: -// rs1_val==858993459 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 300, x1) - -inst_600: -// rs1_val==858993459 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xffff, x2, 304, x1) - -inst_601: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 308, x1) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 312, x1) - -inst_603: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 316, x1) - -inst_604: -// rs1_val==858993459 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 320, x1) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaaa, x2, 324, x1) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 328, x1) - -inst_607: -// rs1_val==858993459 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 332, x1) - -inst_608: -// rs1_val==5 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x10000, x2, 336, x1) - -inst_609: -// rs1_val==5 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x1, x2, 340, x1) - -inst_610: -// rs1_val==5 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 344, x1) - -inst_611: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 348, x1) - -inst_612: -// rs1_val==5 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 352, x1) - -inst_613: -// rs1_val==5 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x6, x2, 356, x1) - -inst_614: -// rs1_val==5 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaab, x2, 360, x1) - -inst_615: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 364, x1) - -inst_616: -// rs1_val==5 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x4, x2, 368, x1) - -inst_617: -// rs1_val==5 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffe, x2, 372, x1) - -inst_618: -// rs1_val==5 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x0, x2, 376, x1) - -inst_619: -// rs1_val==5 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 380, x1) - -inst_620: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 384, x1) - -inst_621: -// rs1_val==5 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 388, x1) - -inst_622: -// rs1_val==5 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaa9, x2, 392, x1) - -inst_623: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 396, x1) - -inst_624: -// rs1_val==5 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x2, x2, 400, x1) - -inst_625: -// rs1_val==5 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xffff, x2, 404, x1) - -inst_626: -// rs1_val==5 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 408, x1) - -inst_627: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 412, x1) - -inst_628: -// rs1_val==5 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 416, x1) - -inst_629: -// rs1_val==5 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x5, x2, 420, x1) - -inst_630: -// rs1_val==5 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaaa, x2, 424, x1) - -inst_631: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 428, x1) - -inst_632: -// rs1_val==5 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x3, x2, 432, x1) - -inst_633: -// rs1_val==2863311530 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x10000, x2, 436, x1) - -inst_634: -// rs1_val==2863311530 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x2, 440, x1) - -inst_635: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb505, x2, 444, x1) - -inst_636: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666667, x2, 448, x1) - -inst_637: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333334, x2, 452, x1) - -inst_638: -// rs1_val==2863311530 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x6, x2, 456, x1) - -inst_639: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaab, x2, 460, x1) - -inst_640: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555556, x2, 464, x1) - -inst_641: -// rs1_val==2863311530 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x4, x2, 468, x1) - -inst_642: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xfffe, x2, 472, x1) - -inst_643: -// rs1_val==2863311530 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x2, 476, x1) - -inst_644: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb503, x2, 480, x1) - -inst_645: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666665, x2, 484, x1) - -inst_646: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333332, x2, 488, x1) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaa9, x2, 492, x1) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555554, x2, 496, x1) - -inst_649: -// rs1_val==2863311530 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x2, 500, x1) - -inst_650: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xffff, x2, 504, x1) - -inst_651: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb504, x2, 508, x1) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666666, x2, 512, x1) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333333, x2, 516, x1) - -inst_654: -// rs1_val==2863311530 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x2, 520, x1) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x2, 524, x1) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x2, 528, x1) - -inst_657: -// rs1_val==2863311530 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x3, x2, 532, x1) - -inst_658: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x10000, x2, 536, x1) - -inst_659: -// rs1_val==1431655765 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x1, x2, 540, x1) - -inst_660: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 544, x1) - -inst_661: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 548, x1) - -inst_662: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 552, x1) - -inst_663: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 556, x1) - -inst_664: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaab, x2, 560, x1) - -inst_665: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 564, x1) - -inst_666: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 568, x1) - -inst_667: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xfffe, x2, 572, x1) - -inst_668: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 576, x1) - -inst_669: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 580, x1) - -inst_670: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 584, x1) - -inst_671: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 588, x1) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaa9, x2, 592, x1) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 596, x1) - -inst_674: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 600, x1) - -inst_675: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xffff, x2, 604, x1) - -inst_676: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 608, x1) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 612, x1) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 616, x1) - -inst_679: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 620, x1) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaaa, x2, 624, x1) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 628, x1) - -inst_682: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 632, x1) - -inst_683: -// rs1_val==3 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x10000, x2, 636, x1) - -inst_684: -// rs1_val==3 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x1, x2, 640, x1) - -inst_685: -// rs1_val==3 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 644, x1) - -inst_686: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 648, x1) - -inst_687: -// rs1_val==3 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 652, x1) - -inst_688: -// rs1_val==3 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x6, x2, 656, x1) - -inst_689: -// rs1_val==3 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaab, x2, 660, x1) - -inst_690: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 664, x1) - -inst_691: -// rs1_val==3 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x4, x2, 668, x1) - -inst_692: -// rs1_val==3 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xfffe, x2, 672, x1) - -inst_693: -// rs1_val==3 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x0, x2, 676, x1) - -inst_694: -// rs1_val==3 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 680, x1) - -inst_695: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 684, x1) - -inst_696: -// rs1_val==3 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 688, x1) - -inst_697: -// rs1_val==3 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x2, 692, x1) - -inst_698: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 696, x1) - -inst_699: -// rs1_val==3 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x2, x2, 700, x1) - -inst_700: -// rs1_val==3 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xffff, x2, 704, x1) - -inst_701: -// rs1_val==3 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 708, x1) - -inst_702: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 712, x1) - -inst_703: -// rs1_val==3 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 716, x1) - -inst_704: -// rs1_val==3 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x5, x2, 720, x1) - -inst_705: -// rs1_val==3 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x2, 724, x1) - -inst_706: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 728, x1) - -inst_707: -// rs1_val==3 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x3, x2, 732, x1) - -inst_708: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaaa, x2, 736, x1) - -inst_709: -// rs1_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffff, 0xfffffbff, x2, 740, x1) - -inst_710: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555555, x2, 744, x1) - -inst_711: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x10000, x2, 748, x1) - -inst_712: -// rs1_val==1431655764 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 752, x1) - -inst_713: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 756, x1) - -inst_714: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 760, x1) - -inst_715: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 764, x1) - -inst_716: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 768, x1) - -inst_717: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaab, x2, 772, x1) - -inst_718: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 776, x1) - -inst_719: -// rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xdfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfdffffff, 0xdfffffff, x2, 780, x1) - -inst_720: -// rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xff7fffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xff7fffff, x2, 784, x1) - -inst_721: -// rs2_val == 4294836223, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffdffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffdffff, x2, 788, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 198*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S deleted file mode 100644 index 98801fb44..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S +++ /dev/null @@ -1,530 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sra instruction of the RISC-V E extension for the sra covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sra) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x9, rd==x12, rs1_val < 0 and rs2_val == 0, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sra ; op1:x11; op2:x9; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sra, x12, x11, x9, -0x55555556, -0x55555556, 0x0, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x8, rs2==x12, rd==x8, rs2_val == 15, rs1_val == -524289, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x8; op2:x12; dest:x8; op1val:-0x80001; op2val:0xf -TEST_RR_OP(sra, x8, x8, x12, -0x11, -0x80001, 0xf, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x10, rs2==x2, rd==x2, rs2_val == 23, rs1_val==3, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x2; dest:x2; op1val:0x3; op2val:0x17 -TEST_RR_OP(sra, x2, x10, x2, 0x0, 0x3, 0x17, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x4, rs2_val == 27, -// opcode: sra ; op1:x6; op2:x6; dest:x4; op1val:-0x8; op2val:-0x8 -TEST_RR_OP(sra, x4, x6, x6, -0x1, -0x8, -0x8, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x13; op2:x13; dest:x13; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sra, x13, x13, x13, -0xaaaab, -0x55555555, -0x55555555, x3, 16, x5) - -inst_5: -// rs1==x0, rs2==x10, rd==x7, rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x0; op2:x10; dest:x7; op1val:0x0; op2val:0x1e -TEST_RR_OP(sra, x7, x0, x10, 0x0, 0x0, 0x1e, x3, 20, x5) - -inst_6: -// rs1==x1, rs2==x15, rd==x14, rs1_val == 2147483647, rs2_val == 21, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x1; op2:x15; dest:x14; op1val:0x7fffffff; op2val:0x15 -TEST_RR_OP(sra, x14, x1, x15, 0x3ff, 0x7fffffff, 0x15, x3, 24, x5) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x3, rs2==x7, rd==x5, rs1_val == -1073741825, rs2_val == 1 -// opcode: sra ; op1:x3; op2:x7; dest:x5; op1val:-0x40000001; op2val:0x1 -TEST_RR_OP(sra, x5, x3, x7, -0x20000001, -0x40000001, 0x1, x4, 0, x6) - -inst_8: -// rs1==x2, rs2==x8, rd==x15, rs1_val == -536870913, -// opcode: sra ; op1:x2; op2:x8; dest:x15; op1val:-0x20000001; op2val:0x7 -TEST_RR_OP(sra, x15, x2, x8, -0x400001, -0x20000001, 0x7, x4, 4, x6) - -inst_9: -// rs1==x7, rs2==x11, rd==x1, rs1_val == -268435457, -// opcode: sra ; op1:x7; op2:x11; dest:x1; op1val:-0x10000001; op2val:0x9 -TEST_RR_OP(sra, x1, x7, x11, -0x80001, -0x10000001, 0x9, x4, 8, x6) - -inst_10: -// rs1==x12, rs2==x0, rd==x9, rs1_val == -134217729, -// opcode: sra ; op1:x12; op2:x0; dest:x9; op1val:-0x8000001; op2val:0x0 -TEST_RR_OP(sra, x9, x12, x0, -0x8000001, -0x8000001, 0x0, x4, 12, x6) - -inst_11: -// rs1==x5, rs2==x14, rd==x10, rs1_val == -67108865, -// opcode: sra ; op1:x5; op2:x14; dest:x10; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sra, x10, x5, x14, -0x9, -0x4000001, 0x17, x4, 16, x6) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x4, rs2==x5, rd==x0, rs1_val == -33554433, -// opcode: sra ; op1:x4; op2:x5; dest:x0; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x0, x4, x5, 0, -0x2000001, 0x9, x2, 0, x7) - -inst_13: -// rs1==x15, rs2==x4, rd==x3, rs1_val == -16777217, -// opcode: sra ; op1:x15; op2:x4; dest:x3; op1val:-0x1000001; op2val:0xe -TEST_RR_OP(sra, x3, x15, x4, -0x401, -0x1000001, 0xe, x2, 4, x7) - -inst_14: -// rs1==x9, rs2==x3, rd==x11, rs1_val == -8388609, -// opcode: sra ; op1:x9; op2:x3; dest:x11; op1val:-0x800001; op2val:0x11 -TEST_RR_OP(sra, x11, x9, x3, -0x41, -0x800001, 0x11, x2, 8, x7) - -inst_15: -// rs1==x14, rs2==x1, rd==x6, rs1_val == -4194305, -// opcode: sra ; op1:x14; op2:x1; dest:x6; op1val:-0x400001; op2val:0x1b -TEST_RR_OP(sra, x6, x14, x1, -0x1, -0x400001, 0x1b, x2, 12, x7) - -inst_16: -// rs1_val == -2097153, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x41, -0x200001, 0xf, x2, 16, x7) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x40001, -0x100001, 0x2, x2, 20, x1) - -inst_18: -// rs1_val == -262145, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x201, -0x40001, 0x9, x2, 24, x1) - -inst_19: -// rs1_val == -131073, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x20001, 0x1e, x2, 28, x1) - -inst_20: -// rs1_val == -65537, rs2_val == 16 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x2, -0x10001, 0x10, x2, 32, x1) - -inst_21: -// rs1_val == -32769, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x8001, 0x1b, x2, 36, x1) - -inst_22: -// rs1_val == -16385, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x1001, -0x4001, 0x2, x2, 40, x1) - -inst_23: -// rs1_val == -8193, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2001, 0x1e, x2, 44, x1) - -inst_24: -// rs1_val == -4097, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x1001, 0xf, x2, 48, x1) - -inst_25: -// rs1_val == -2049, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x801, 0x12, x2, 52, x1) - -inst_26: -// rs1_val == -1025, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x401, 0x12, x2, 56, x1) - -inst_27: -// rs1_val == -513, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x201, 0x1b, x2, 60, x1) - -inst_28: -// rs1_val == -257, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x101, 0x9, x2, 64, x1) - -inst_29: -// rs1_val == -129, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x6 -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x81, 0x6, x2, 68, x1) - -inst_30: -// rs1_val == -65, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x41, 0x1d, x2, 72, x1) - -inst_31: -// rs1_val == -33, rs2_val == 8 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x21, 0x8, x2, 76, x1) - -inst_32: -// rs1_val == -17, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x11, 0xe, x2, 80, x1) - -inst_33: -// rs1_val == -9, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x9, 0x9, x2, 84, x1) - -inst_34: -// rs1_val == -5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x5, 0xe, x2, 88, x1) - -inst_35: -// rs1_val == -3, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x3, 0x15, x2, 92, x1) - -inst_36: -// rs1_val == -2, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2, 0x10, x2, 96, x1) - -inst_37: -// rs2_val == 4, rs1_val==2, rs1_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2, 0x4, x2, 100, x1) - -inst_38: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, -0x1000, -0x80000000, 0x13, x2, 104, x1) - -inst_39: -// rs1_val == 1073741824, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x40000000, 0x1b, x2, 108, x1) - -inst_40: -// rs1_val == 536870912, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x100000, 0x20000000, 0x9, x2, 112, x1) - -inst_41: -// rs1_val == 268435456, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x20000, 0x10000000, 0xb, x2, 116, x1) - -inst_42: -// rs1_val == 134217728, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x17 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x8000000, 0x17, x2, 120, x1) - -inst_43: -// rs1_val == 67108864, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xc -TEST_RR_OP(sra, x12, x10, x11, 0x4000, 0x4000000, 0xc, x2, 124, x1) - -inst_44: -// rs1_val == 33554432, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x100, 0x2000000, 0x11, x2, 128, x1) - -inst_45: -// rs1_val == 16777216, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x80, 0x1000000, 0x11, x2, 132, x1) - -inst_46: -// rs1_val == 8388608, rs1_val > 0 and rs2_val == 0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x0 -TEST_RR_OP(sra, x12, x10, x11, 0x800000, 0x800000, 0x0, x2, 136, x1) - -inst_47: -// rs1_val == 4194304, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x800, 0x400000, 0xb, x2, 140, x1) - -inst_48: -// rs1_val == 2097152, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x1000, 0x200000, 0x9, x2, 144, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1 -TEST_RR_OP(sra, x12, x10, x11, 0x80000, 0x100000, 0x1, x2, 148, x1) - -inst_50: -// rs1_val == 524288, rs2_val == 10 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x200, 0x80000, 0xa, x2, 152, x1) - -inst_51: -// rs1_val == 262144, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1f -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x40000, 0x1f, x2, 156, x1) - -inst_52: -// rs1_val == 131072, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x20000, 0xb, x2, 160, x1) - -inst_53: -// rs1_val == 65536, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x10000, 0xa, x2, 164, x1) - -inst_54: -// rs1_val == 32768, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x8000, 0x10, x2, 168, x1) - -inst_55: -// rs1_val == 8192, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2000, 0xf, x2, 172, x1) - -inst_56: -// rs1_val == 4096, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1000, 0x1d, x2, 176, x1) - -inst_57: -// rs1_val == 2048, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x800, 0x8, x2, 180, x1) - -inst_58: -// rs1_val == 1024, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x20, 0x400, 0x5, x2, 184, x1) - -inst_59: -// rs1_val == 512, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x200, 0x1b, x2, 188, x1) - -inst_60: -// rs1_val == 256, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xd -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x100, 0xd, x2, 192, x1) - -inst_61: -// rs1_val == 128, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x80, 0x3, x2, 196, x1) - -inst_62: -// rs1_val == 64, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x2, 0x40, 0x5, x2, 200, x1) - -inst_63: -// rs1_val == 32, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x20, 0x5, x2, 204, x1) - -inst_64: -// rs1_val == 16, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x10, 0x8, x2, 208, x1) - -inst_65: -// rs1_val == 8, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x8, 0x3, x2, 212, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4, 0xb, x2, 216, x1) - -inst_67: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1, 0x8, x2, 220, x1) - -inst_68: -// rs1_val==46341, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0xb505, 0x1e, x2, 224, x1) - -inst_69: -// rs1_val==-46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0xb6, -0xb503, 0x8, x2, 228, x1) - -inst_70: -// rs1_val==1717986919, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x6666, 0x66666667, 0x10, x2, 232, x1) - -inst_71: -// rs1_val==858993460, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0xccccc, 0x33333334, 0xa, x2, 236, x1) - -inst_72: -// rs1_val==6, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x6, 0x13, x2, 240, x1) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x2aa, 0x55555555, 0x15, x2, 244, x1) - -inst_74: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x0, 0xa, x2, 248, x1) - -inst_75: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x7, 0x7, x2, 252, x1) - -inst_76: -// rs1_val==1431655766, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0xaaa, 0x55555556, 0x13, x2, 256, x1) - -inst_77: -// rs1_val==46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x16a, 0xb503, 0x7, x2, 260, x1) - -inst_78: -// rs1_val==1717986917, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0xc, 0x66666665, 0x1b, x2, 264, x1) - -inst_79: -// rs1_val==858993458, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x199, 0x33333332, 0x15, x2, 268, x1) - -inst_80: -// rs1_val==1431655764, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0xaaaaaa, 0x55555554, 0x7, x2, 272, x1) - -inst_81: -// rs1_val==46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0xb504, 0xf, x2, 276, x1) - -inst_82: -// rs1_val==-46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0xb504, 0x15, x2, 280, x1) - -inst_83: -// rs1_val==1717986918, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x66666666, 0x1e, x2, 284, x1) - -inst_84: -// rs1_val==858993459, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x33333333, 0x1d, x2, 288, x1) - -inst_85: -// rs1_val==5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x5, 0x1d, x2, 292, x1) - -inst_86: -// rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x55555555, 0x1d, x2, 296, x1) - -inst_87: -// rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4000, 0x1e, x2, 300, x1) - -inst_88: -// rs1_val == -134217729, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x8000001, 0xb, x2, 304, x1) - -inst_89: -// rs1_val == -33554433, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x2000001, 0x9, x2, 308, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 78*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S deleted file mode 100644 index 7e5a571ad..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S +++ /dev/null @@ -1,515 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srai instruction of the RISC-V E extension for the srai covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srai) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x0, rd==x2, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x0; dest:x2; op1val:0x0; immval:0x1f -TEST_IMM_OP( srai, x2, x0, 0x0, 0x0, 0x1f, x1, 0, x5) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x14; dest:x14; op1val:0x7fffffff; immval:0xc -TEST_IMM_OP( srai, x14, x14, 0x7ffff, 0x7fffffff, 0xc, x1, 4, x5) - -inst_2: -// rs1==x3, rd==x11, rs1_val == -1073741825, -// opcode: srai ; op1:x3; dest:x11; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( srai, x11, x3, -0x800001, -0x40000001, 0x7, x1, 8, x5) - -inst_3: -// rs1==x15, rd==x4, rs1_val == -268435457, rs1_val < 0 and imm_val == 0 -// opcode: srai ; op1:x15; dest:x4; op1val:-0x10000001; immval:0x0 -TEST_IMM_OP( srai, x4, x15, -0x10000001, -0x10000001, 0x0, x1, 12, x5) - -inst_4: -// rs1==x7, rd==x10, rs1_val == -134217729, imm_val == 21 -// opcode: srai ; op1:x7; dest:x10; op1val:-0x8000001; immval:0x15 -TEST_IMM_OP( srai, x10, x7, -0x41, -0x8000001, 0x15, x1, 16, x5) - -inst_5: -// rs1==x8, rd==x6, rs1_val == -67108865, imm_val == 10 -// opcode: srai ; op1:x8; dest:x6; op1val:-0x4000001; immval:0xa -TEST_IMM_OP( srai, x6, x8, -0x10001, -0x4000001, 0xa, x1, 20, x5) - -inst_6: -// rs1==x9, rd==x13, rs1_val == -33554433, imm_val == 2 -// opcode: srai ; op1:x9; dest:x13; op1val:-0x2000001; immval:0x2 -TEST_IMM_OP( srai, x13, x9, -0x800001, -0x2000001, 0x2, x1, 24, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x5, rd==x0, rs1_val == -16777217, -// opcode: srai ; op1:x5; dest:x0; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x0, x5, 0, -0x1000001, 0x12, x3, 0, x6) - -inst_8: -// rs1==x10, rd==x8, rs1_val == -8388609, imm_val == 30 -// opcode: srai ; op1:x10; dest:x8; op1val:-0x800001; immval:0x1e -TEST_IMM_OP( srai, x8, x10, -0x1, -0x800001, 0x1e, x3, 4, x6) - -inst_9: -// rs1==x13, rd==x5, rs1_val == -4194305, -// opcode: srai ; op1:x13; dest:x5; op1val:-0x400001; immval:0x9 -TEST_IMM_OP( srai, x5, x13, -0x2001, -0x400001, 0x9, x3, 8, x6) - -inst_10: -// rs1==x4, rd==x12, rs1_val == -2097153, -// opcode: srai ; op1:x4; dest:x12; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srai, x12, x4, -0x4001, -0x200001, 0x7, x3, 12, x6) - -inst_11: -// rs1==x12, rd==x7, rs1_val == -1048577, -// opcode: srai ; op1:x12; dest:x7; op1val:-0x100001; immval:0x7 -TEST_IMM_OP( srai, x7, x12, -0x2001, -0x100001, 0x7, x3, 16, x6) - -inst_12: -// rs1==x2, rd==x15, rs1_val == -524289, -// opcode: srai ; op1:x2; dest:x15; op1val:-0x80001; immval:0x7 -TEST_IMM_OP( srai, x15, x2, -0x1001, -0x80001, 0x7, x3, 20, x6) - -inst_13: -// rs1==x1, rd==x9, rs1_val == -262145, imm_val == 8 -// opcode: srai ; op1:x1; dest:x9; op1val:-0x40001; immval:0x8 -TEST_IMM_OP( srai, x9, x1, -0x401, -0x40001, 0x8, x3, 24, x6) - -inst_14: -// rs1==x6, rd==x1, rs1_val == -131073, -// opcode: srai ; op1:x6; dest:x1; op1val:-0x20001; immval:0x13 -TEST_IMM_OP( srai, x1, x6, -0x1, -0x20001, 0x13, x3, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rs1==x11, rd==x3, rs1_val == -65537, imm_val == 4 -// opcode: srai ; op1:x11; dest:x3; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( srai, x3, x11, -0x1001, -0x10001, 0x4, x1, 0, x2) - -inst_16: -// rs1_val == -32769, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x8001; immval:0x7 -TEST_IMM_OP( srai, x11, x10, -0x101, -0x8001, 0x7, x1, 4, x2) - -inst_17: -// rs1_val == -16385, imm_val == 16 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x4001; immval:0x10 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x4001, 0x10, x1, 8, x2) - -inst_18: -// rs1_val == -8193, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2001, 0x13, x1, 12, x2) - -inst_19: -// rs1_val == -4097, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x1001, 0x1e, x1, 16, x2) - -inst_20: -// rs1_val == -2049, imm_val == 15 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x801; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x801, 0xf, x1, 20, x2) - -inst_21: -// rs1_val == -1025, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x401; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x401, 0x11, x1, 24, x2) - -inst_22: -// rs1_val == -513, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x201; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0x1, -0x201, 0xb, x1, 28, x2) - -inst_23: -// rs1_val == -257, imm_val == 1 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x101; immval:0x1 -TEST_IMM_OP( srai, x11, x10, -0x81, -0x101, 0x1, x1, 32, x2) - -inst_24: -// rs1_val == -129, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x81; immval:0xc -TEST_IMM_OP( srai, x11, x10, -0x1, -0x81, 0xc, x1, 36, x2) - -inst_25: -// rs1_val == -65, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x41; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x41, 0x13, x1, 40, x2) - -inst_26: -// rs1_val == -33, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x21; immval:0xd -TEST_IMM_OP( srai, x11, x10, -0x1, -0x21, 0xd, x1, 44, x2) - -inst_27: -// rs1_val == -17, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x11; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x11, 0x1e, x1, 48, x2) - -inst_28: -// rs1_val == -9, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x9; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x9, 0x12, x1, 52, x2) - -inst_29: -// rs1_val == -5, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x5; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x5, 0xf, x1, 56, x2) - -inst_30: -// rs1_val == -3, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x3, 0x9, x1, 60, x2) - -inst_31: -// rs1_val == -2, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2, 0x1f, x1, 64, x2) - -inst_32: -// imm_val == 23, rs1_val == 4096 -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1000, 0x17, x1, 68, x2) - -inst_33: -// imm_val == 27, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x1b -TEST_IMM_OP( srai, x11, x10, -0xb, -0x55555556, 0x1b, x1, 72, x2) - -inst_34: -// imm_val == 29, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: srai ; op1:x10; dest:x11; op1val:0x0; immval:0x1d -TEST_IMM_OP( srai, x11, x10, 0x0, 0x0, 0x1d, x1, 76, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, -0x20000000, -0x80000000, 0x2, x1, 80, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x10000000, 0x40000000, 0x2, x1, 84, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x2000000, 0x20000000, 0x4, x1, 88, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x10000000, 0xe, x1, 92, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000000; immval:0x15 -TEST_IMM_OP( srai, x11, x10, 0x40, 0x8000000, 0x15, x1, 96, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000000; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x4000000, 0xc, x1, 100, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x10000, 0x2000000, 0x9, x1, 104, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x400, 0x1000000, 0xe, x1, 108, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800000; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x1000, 0x800000, 0xb, x1, 112, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x400000, 0x11, x1, 116, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x20000, 0x200000, 0x4, x1, 120, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100000; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100000, 0x1b, x1, 124, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x80000, 0x17, x1, 128, x2) - -inst_48: -// rs1_val == 262144, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x40000, 0x11, x1, 132, x2) - -inst_49: -// rs1_val == 131072, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x20000, 0x9, x1, 136, x2) - -inst_50: -// rs1_val == 65536, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x1, 0x10000, 0x10, x1, 140, x2) - -inst_51: -// rs1_val == 32768, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000; immval:0xa -TEST_IMM_OP( srai, x11, x10, 0x20, 0x8000, 0xa, x1, 144, x2) - -inst_52: -// rs1_val == 16384, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4000, 0x12, x1, 148, x2) - -inst_53: -// rs1_val == 8192, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x2000, 0x5, x1, 152, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800; immval:0x6 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x800, 0x6, x1, 156, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x400, 0x17, x1, 160, x2) - -inst_56: -// rs1_val == 512, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x200, 0xb, x1, 164, x2) - -inst_57: -// rs1_val == 256, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100, 0x9, x1, 168, x2) - -inst_58: -// rs1_val == 128, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x80, 0x2, x1, 172, x2) - -inst_59: -// rs1_val == 64, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x40, 0x11, x1, 176, x2) - -inst_60: -// rs1_val == 32, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x20, 0xb, x1, 180, x2) - -inst_61: -// rs1_val == 16, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10; immval:0xd -TEST_IMM_OP( srai, x11, x10, 0x0, 0x10, 0xd, x1, 184, x2) - -inst_62: -// rs1_val == 8, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srai ; op1:x10; dest:x11; op1val:0x8; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x8, 0x1f, x1, 188, x2) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srai ; op1:x10; dest:x11; op1val:0x4; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4, 0x1f, x1, 192, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x0, 0x2, 0xc, x1, 196, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:0x1; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1, 0x9, x1, 200, x2) - -inst_66: -// rs1_val==46341, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb505; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x16, 0xb505, 0xb, x1, 204, x2) - -inst_67: -// rs1_val==-46339, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb503; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x2, -0xb503, 0xf, x1, 208, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666667; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x666666, 0x66666667, 0x8, x1, 212, x2) - -inst_69: -// rs1_val==858993460, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333334; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x33333, 0x33333334, 0xc, x1, 216, x2) - -inst_70: -// rs1_val==6, -// opcode: srai ; op1:x10; dest:x11; op1val:0x6; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x6, 0x17, x1, 220, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555555; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0xaaaab, -0x55555555, 0xb, x1, 224, x2) - -inst_72: -// rs1_val==3, -// opcode: srai ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x3, 0x8, x1, 228, x2) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x1, 0x55555555, 0x1e, x1, 232, x2) - -inst_74: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, rs1_val==5 -// opcode: srai ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x5, 0x5, x1, 236, x2) - -inst_75: -// rs1_val > 0 and imm_val == 0, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x2, 0x0, x1, 240, x2) - -inst_76: -// rs1_val==1431655766, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x15555555, 0x55555556, 0x2, x1, 244, x2) - -inst_77: -// rs1_val==46339, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( srai, x11, x10, 0x5a81, 0xb503, 0x1, x1, 248, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666665; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x6666, 0x66666665, 0x10, x1, 252, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333332; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333332, 0x12, x1, 256, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0xa, 0x55555554, 0x1b, x1, 260, x2) - -inst_81: -// rs1_val==46340, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb504; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x0, 0xb504, 0x1e, x1, 264, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb504; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0xb504, 0x11, x1, 268, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666666; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x19999, 0x66666666, 0xe, x1, 272, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333333; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333333, 0x12, x1, 276, x2) - -inst_85: -// rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x20000001; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x20000001, 0x1f, x1, 280, x2) - -inst_86: -// rs1_val == -16777217, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x41, -0x1000001, 0x12, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S deleted file mode 100644 index b392ecba8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S +++ /dev/null @@ -1,525 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srl instruction of the RISC-V E extension for the srl covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srl) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x4, rd==x7, rs1_val < 0 and rs2_val == 0, rs1_val == -9 -// opcode: srl ; op1:x10; op2:x4; dest:x7; op1val:-0x9; op2val:0x0 -TEST_RR_OP(srl, x7, x10, x4, 0xfffffff7, -0x9, 0x0, x1, 0, x6) - -inst_1: -// rs1 == rd != rs2, rs1==x15, rs2==x0, rd==x15, rs2_val == 15, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x15; op2:x0; dest:x15; op1val:-0x6; op2val:0x0 -TEST_RR_OP(srl, x15, x15, x0, 0xfffffffa, -0x6, 0x0, x1, 4, x6) - -inst_2: -// rs2 == rd != rs1, rs1==x2, rs2==x3, rd==x3, rs2_val == 23, rs1_val == -2049 -// opcode: srl ; op1:x2; op2:x3; dest:x3; op1val:-0x801; op2val:0x17 -TEST_RR_OP(srl, x3, x2, x3, 0x1ff, -0x801, 0x17, x1, 8, x6) - -inst_3: -// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x12, rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x5; op2:x5; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(srl, x12, x5, x5, 0x1, -0x100001, -0x100001, x1, 12, x6) - -inst_4: -// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x14; op2:x14; dest:x14; op1val:-0x1000001; op2val:-0x1000001 -TEST_RR_OP(srl, x14, x14, x14, 0x1, -0x1000001, -0x1000001, x1, 16, x6) - -inst_5: -// rs1==x12, rs2==x10, rd==x2, rs2_val == 30, rs1_val == -1073741825 -// opcode: srl ; op1:x12; op2:x10; dest:x2; op1val:-0x40000001; op2val:0x1e -TEST_RR_OP(srl, x2, x12, x10, 0x2, -0x40000001, 0x1e, x1, 20, x6) - -inst_6: -// rs1==x11, rs2==x15, rd==x9, rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x11; op2:x15; dest:x9; op1val:0x7fffffff; op2val:0x1b -TEST_RR_OP(srl, x9, x11, x15, 0xf, 0x7fffffff, 0x1b, x1, 24, x6) - -inst_7: -// rs1==x4, rs2==x6, rd==x10, rs1_val == -536870913, -// opcode: srl ; op1:x4; op2:x6; dest:x10; op1val:-0x20000001; op2val:0x13 -TEST_RR_OP(srl, x10, x4, x6, 0x1bff, -0x20000001, 0x13, x1, 28, x2) -RVTEST_SIGBASE( x10,signature_x10_0) - -inst_8: -// rs1==x0, rs2==x9, rd==x8, rs1_val == -268435457, -// opcode: srl ; op1:x0; op2:x9; dest:x8; op1val:0x0; op2val:0xe -TEST_RR_OP(srl, x8, x0, x9, 0x0, 0x0, 0xe, x10, 0, x2) - -inst_9: -// rs1==x1, rs2==x7, rd==x11, rs1_val == -134217729, -// opcode: srl ; op1:x1; op2:x7; dest:x11; op1val:-0x8000001; op2val:0x13 -TEST_RR_OP(srl, x11, x1, x7, 0x1eff, -0x8000001, 0x13, x10, 4, x2) - -inst_10: -// rs1==x7, rs2==x12, rd==x5, rs1_val == -67108865, -// opcode: srl ; op1:x7; op2:x12; dest:x5; op1val:-0x4000001; op2val:0x1b -TEST_RR_OP(srl, x5, x7, x12, 0x1f, -0x4000001, 0x1b, x10, 8, x2) - -inst_11: -// rs1==x6, rs2==x13, rd==x1, rs1_val == -33554433, -// opcode: srl ; op1:x6; op2:x13; dest:x1; op1val:-0x2000001; op2val:0x6 -TEST_RR_OP(srl, x1, x6, x13, 0x3f7ffff, -0x2000001, 0x6, x10, 12, x2) - -inst_12: -// rs1==x9, rs2==x8, rd==x4, rs1_val == -8388609, -// opcode: srl ; op1:x9; op2:x8; dest:x4; op1val:-0x800001; op2val:0xe -TEST_RR_OP(srl, x4, x9, x8, 0x3fdff, -0x800001, 0xe, x10, 16, x2) - -inst_13: -// rs1==x3, rs2==x11, rd==x6, rs1_val == -4194305, rs2_val == 8 -// opcode: srl ; op1:x3; op2:x11; dest:x6; op1val:-0x400001; op2val:0x8 -TEST_RR_OP(srl, x6, x3, x11, 0xffbfff, -0x400001, 0x8, x10, 20, x2) - -inst_14: -// rs1==x13, rs2==x1, rd==x0, rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x13; op2:x1; dest:x0; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x0, x13, x1, 0, -0x200001, 0x4, x10, 24, x3) - -inst_15: -// rs1==x8, rs2==x2, rd==x13, rs1_val == -524289, -// opcode: srl ; op1:x8; op2:x2; dest:x13; op1val:-0x80001; op2val:0x1d -TEST_RR_OP(srl, x13, x8, x2, 0x7, -0x80001, 0x1d, x10, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -262145, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xfffbfff, -0x40001, 0x4, x1, 0, x3) - -inst_17: -// rs1_val == -131073, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0xfffdff, -0x20001, 0x8, x1, 4, x3) - -inst_18: -// rs1_val == -65537, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x10001, 0x1e, x1, 8, x3) - -inst_19: -// rs1_val == -32769, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x8001, 0x1d, x1, 12, x3) - -inst_20: -// rs1_val == -16385, rs2_val == 10 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x3fffef, -0x4001, 0xa, x1, 16, x3) - -inst_21: -// rs1_val == -8193, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x2001, 0xe, x1, 20, x3) - -inst_22: -// rs1_val == -4097, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x1001, 0x1f, x1, 24, x3) - -inst_23: -// rs1_val == -1025, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x401, 0x1e, x1, 28, x3) - -inst_24: -// rs1_val == -513, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x7fff, -0x201, 0x11, x1, 32, x3) - -inst_25: -// rs1_val == -257, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x101, 0x1d, x1, 36, x3) - -inst_26: -// rs1_val == -129, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x7ffff, -0x81, 0xd, x1, 40, x3) - -inst_27: -// rs1_val == -65, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x7fffff, -0x41, 0x9, x1, 44, x3) - -inst_28: -// rs1_val == -33, rs2_val == 21 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x7ff, -0x21, 0x15, x1, 48, x3) - -inst_29: -// rs1_val == -17, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x11, 0xe, x1, 52, x3) - -inst_30: -// rs1_val == -5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0xfffffffb, -0x5, 0x0, x1, 56, x3) - -inst_31: -// rs1_val == -3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x3, 0x1f, x1, 60, x3) - -inst_32: -// rs1_val == -2, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x5 -TEST_RR_OP(srl, x12, x10, x11, 0x7ffffff, -0x2, 0x5, x1, 64, x3) - -inst_33: -// rs2_val == 16, rs1_val == 524288 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x80000, 0x10, x1, 68, x3) - -inst_34: -// rs2_val == 2, rs1_val==46341 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x2d41, 0xb505, 0x2, x1, 72, x3) - -inst_35: -// rs2_val == 1, rs1_val == 268435456 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(srl, x12, x10, x11, 0x8000000, 0x10000000, 0x1, x1, 76, x3) - -inst_36: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x17 -TEST_RR_OP(srl, x12, x10, x11, 0x100, -0x80000000, 0x17, x1, 80, x3) - -inst_37: -// rs1_val == 1073741824, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x1000000, 0x40000000, 0x6, x1, 84, x3) - -inst_38: -// rs1_val == 536870912, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x80000, 0x20000000, 0xa, x1, 88, x3) - -inst_39: -// rs1_val == 134217728, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x400, 0x8000000, 0x11, x1, 92, x3) - -inst_40: -// rs1_val == 67108864, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1000, 0x4000000, 0xe, x1, 96, x3) - -inst_41: -// rs1_val == 33554432, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x80, 0x2000000, 0x12, x1, 100, x3) - -inst_42: -// rs1_val == 16777216, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x4000, 0x1000000, 0xa, x1, 104, x3) - -inst_43: -// rs1_val == 8388608, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x10, 0x800000, 0x13, x1, 108, x3) - -inst_44: -// rs1_val == 4194304, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(srl, x12, x10, x11, 0x800, 0x400000, 0xb, x1, 112, x3) - -inst_45: -// rs1_val == 2097152, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x2000, 0x200000, 0x8, x1, 116, x3) - -inst_46: -// rs1_val == 1048576, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x100000, 0xe, x1, 120, x3) - -inst_47: -// rs1_val == 262144, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x2, 0x40000, 0x11, x1, 124, x3) - -inst_48: -// rs1_val == 131072, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x20000, 0xe, x1, 128, x3) - -inst_49: -// rs1_val == 65536, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10000, 0x13, x1, 132, x3) - -inst_50: -// rs1_val == 32768, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x8000, 0xf, x1, 136, x3) - -inst_51: -// rs1_val == 16384, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x4000, 0xe, x1, 140, x3) - -inst_52: -// rs1_val == 8192, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x20, 0x2000, 0x8, x1, 144, x3) - -inst_53: -// rs1_val == 4096, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x1000, 0x6, x1, 148, x3) - -inst_54: -// rs1_val == 2048, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x800, 0x1b, x1, 152, x3) - -inst_55: -// rs1_val == 1024, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x400, 0x13, x1, 156, x3) - -inst_56: -// rs1_val == 512, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x3 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x200, 0x3, x1, 160, x3) - -inst_57: -// rs1_val == 256, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x100, 0x1e, x1, 164, x3) - -inst_58: -// rs1_val == 128, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x80, 0xa, x1, 168, x3) - -inst_59: -// rs1_val == 64, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0x4, 0x40, 0x4, x1, 172, x3) - -inst_60: -// rs1_val == 32, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x20, 0xd, x1, 176, x3) - -inst_61: -// rs1_val == 16, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10, 0x11, x1, 180, x3) - -inst_62: -// rs1_val == 8, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x8, 0x12, x1, 184, x3) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x4, 0x10, x1, 188, x3) - -inst_64: -// rs1_val == 2, rs1_val==2, rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x2, 0x2, x1, 192, x3) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x1, 0x1f, x1, 196, x3) - -inst_66: -// rs1_val==-46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x3fffd2b, -0xb503, 0x6, x1, 200, x3) - -inst_67: -// rs1_val==1717986919, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x19999, 0x66666667, 0xe, x1, 204, x3) - -inst_68: -// rs1_val==858993460, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x199999, 0x33333334, 0x9, x1, 208, x3) - -inst_69: -// rs1_val==6, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x6, 0xd, x1, 212, x3) - -inst_70: -// rs1_val==-1431655765, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x2aaaa, -0x55555555, 0xe, x1, 216, x3) - -inst_71: -// rs1_val==1431655766, rs1_val > 0 and rs2_val == 0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 220, x3) - -inst_72: -// rs1_val==46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x5, 0xb503, 0xd, x1, 224, x3) - -inst_73: -// rs1_val==3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x3, 0xf, x1, 228, x3) - -inst_74: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaaa, -0x55555556, 0x4, x1, 232, x3) - -inst_75: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaa, 0x55555555, 0x7, x1, 236, x3) - -inst_76: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x0, 0x7, x1, 240, x3) - -inst_77: -// rs1_val==1717986917, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666665, 0x1b, x1, 244, x3) - -inst_78: -// rs1_val==858993458, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x1999, 0x33333332, 0x11, x1, 248, x3) - -inst_79: -// rs1_val==1431655764, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0xaaa, 0x55555554, 0x13, x1, 252, x3) - -inst_80: -// rs1_val==46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0xb504, 0x12, x1, 256, x3) - -inst_81: -// rs1_val==-46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffff4af, -0xb504, 0x4, x1, 260, x3) - -inst_82: -// rs1_val==1717986918, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666666, 0x1b, x1, 264, x3) - -inst_83: -// rs1_val==858993459, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x199, 0x33333333, 0x15, x1, 268, x3) - -inst_84: -// rs1_val==5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x5, 0x10, x1, 272, x3) - -inst_85: -// rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x1f, -0x100001, 0x1b, x1, 276, x3) - -inst_86: -// rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x1000001, 0x1d, x1, 280, x3) - -inst_87: -// rs1_val == -268435457, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3bfff, -0x10000001, 0xe, x1, 284, x3) - -inst_88: -// rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffdffff, -0x200001, 0x4, x1, 288, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x10_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 73*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S deleted file mode 100644 index d47f805a4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S +++ /dev/null @@ -1,520 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srli instruction of the RISC-V E extension for the srli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srli) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x4, rd==x5, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -65, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srli ; op1:x4; dest:x5; op1val:-0x41; immval:0x1f -TEST_IMM_OP( srli, x5, x4, 0x1, -0x41, 0x1f, x1, 0, x10) - -inst_1: -// rs1 == rd, rs1==x9, rd==x9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen, imm_val == 8 -// opcode: srli ; op1:x9; dest:x9; op1val:0x7fffffff; immval:0x8 -TEST_IMM_OP( srli, x9, x9, 0x7fffff, 0x7fffffff, 0x8, x1, 4, x10) - -inst_2: -// rs1==x0, rd==x6, rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x0; dest:x6; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x6, x0, 0x0, 0x0, 0x17, x1, 8, x10) - -inst_3: -// rs1==x12, rd==x4, rs1_val == -536870913, -// opcode: srli ; op1:x12; dest:x4; op1val:-0x20000001; immval:0x6 -TEST_IMM_OP( srli, x4, x12, 0x37fffff, -0x20000001, 0x6, x1, 12, x10) - -inst_4: -// rs1==x8, rd==x14, rs1_val == -268435457, imm_val == 10 -// opcode: srli ; op1:x8; dest:x14; op1val:-0x10000001; immval:0xa -TEST_IMM_OP( srli, x14, x8, 0x3bffff, -0x10000001, 0xa, x1, 16, x10) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, imm_val == 2 -// opcode: srli ; op1:x7; dest:x3; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( srli, x3, x7, 0x3dffffff, -0x8000001, 0x2, x1, 20, x10) - -inst_6: -// rs1==x6, rd==x2, rs1_val == -67108865, -// opcode: srli ; op1:x6; dest:x2; op1val:-0x4000001; immval:0x12 -TEST_IMM_OP( srli, x2, x6, 0x3eff, -0x4000001, 0x12, x1, 24, x10) - -inst_7: -// rs1==x11, rd==x15, rs1_val == -33554433, imm_val == 16 -// opcode: srli ; op1:x11; dest:x15; op1val:-0x2000001; immval:0x10 -TEST_IMM_OP( srli, x15, x11, 0xfdff, -0x2000001, 0x10, x1, 28, x10) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x5, rd==x13, rs1_val == -16777217, imm_val == 1 -// opcode: srli ; op1:x5; dest:x13; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( srli, x13, x5, 0x7f7fffff, -0x1000001, 0x1, x4, 0, x6) - -inst_9: -// rs1==x15, rd==x11, rs1_val == -8388609, -// opcode: srli ; op1:x15; dest:x11; op1val:-0x800001; immval:0x11 -TEST_IMM_OP( srli, x11, x15, 0x7fbf, -0x800001, 0x11, x4, 4, x6) - -inst_10: -// rs1==x2, rd==x12, rs1_val == -4194305, imm_val == 15 -// opcode: srli ; op1:x2; dest:x12; op1val:-0x400001; immval:0xf -TEST_IMM_OP( srli, x12, x2, 0x1ff7f, -0x400001, 0xf, x4, 8, x6) - -inst_11: -// rs1==x14, rd==x7, rs1_val == -2097153, -// opcode: srli ; op1:x14; dest:x7; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srli, x7, x14, 0x1ffbfff, -0x200001, 0x7, x4, 12, x6) - -inst_12: -// rs1==x13, rd==x0, rs1_val == -1048577, -// opcode: srli ; op1:x13; dest:x0; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x0, x13, 0, -0x100001, 0xc, x4, 16, x6) - -inst_13: -// rs1==x3, rd==x10, rs1_val == -524289, imm_val == 21 -// opcode: srli ; op1:x3; dest:x10; op1val:-0x80001; immval:0x15 -TEST_IMM_OP( srli, x10, x3, 0x7ff, -0x80001, 0x15, x4, 20, x6) - -inst_14: -// rs1==x10, rd==x1, rs1_val == -262145, -// opcode: srli ; op1:x10; dest:x1; op1val:-0x40001; immval:0x10 -TEST_IMM_OP( srli, x1, x10, 0xfffb, -0x40001, 0x10, x4, 24, x6) - -inst_15: -// rs1==x1, rd==x8, rs1_val == -131073, -// opcode: srli ; op1:x1; dest:x8; op1val:-0x20001; immval:0x11 -TEST_IMM_OP( srli, x8, x1, 0x7ffe, -0x20001, 0x11, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -65537, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x1fffdf, -0x10001, 0xb, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x8001, 0x12, x1, 4, x2) - -inst_18: -// rs1_val == -16385, rs1_val < 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0xffffbfff, -0x4001, 0x0, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x2001, 0x13, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x3ffffb, -0x1001, 0xa, x1, 16, x2) - -inst_21: -// rs1_val == -2049, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x801; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x7ff, -0x801, 0x15, x1, 20, x2) - -inst_22: -// rs1_val == -1025, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffef, -0x401, 0x6, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x201; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x201, 0x13, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x101; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xfffffe, -0x101, 0x8, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x81; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffffff, -0x81, 0x8, x1, 36, x2) - -inst_26: -// rs1_val == -33, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff7, -0x21, 0x2, x1, 40, x2) - -inst_27: -// rs1_val == -17, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x11; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x11, 0x12, x1, 44, x2) - -inst_28: -// rs1_val == -9, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x9; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffffd, -0x9, 0x2, x1, 48, x2) - -inst_29: -// rs1_val == -5, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x5; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff, -0x5, 0x6, x1, 52, x2) - -inst_30: -// rs1_val == -3, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x3; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x1ffff, -0x3, 0xf, x1, 56, x2) - -inst_31: -// rs1_val == -2, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xfffff, -0x2, 0xc, x1, 60, x2) - -inst_32: -// imm_val == 27, rs1_val == 262144 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000; immval:0x1b -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40000, 0x1b, x1, 64, x2) - -inst_33: -// imm_val == 29, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000000; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x6, -0x40000000, 0x1d, x1, 68, x2) - -inst_34: -// imm_val == 30, rs1_val == 64 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40, 0x1e, x1, 72, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x200000, -0x80000000, 0xa, x1, 76, x2) - -inst_36: -// rs1_val == 1073741824, rs1_val > 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x40000000, 0x40000000, 0x0, x1, 80, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x1000, 0x20000000, 0x11, x1, 84, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x10000000, 0x11, x1, 88, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x8000000, 0xb, x1, 92, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000000; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4000000, 0x1e, x1, 96, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x40, 0x2000000, 0x13, x1, 100, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000000; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x800, 0x1000000, 0xd, x1, 104, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x800000, 0x7, x1, 108, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x400000, 0x6, x1, 112, x2) - -inst_45: -// rs1_val == 2097152, imm_val == 4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x20000, 0x200000, 0x4, x1, 116, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100000; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x100000, 0x9, x1, 120, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x100, 0x80000, 0xb, x1, 124, x2) - -inst_48: -// rs1_val == 131072, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x2, 0x20000, 0x10, x1, 128, x2) - -inst_49: -// rs1_val == 65536, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x10000, 0x0, x1, 132, x2) - -inst_50: -// rs1_val == 32768, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8000, 0x10, x1, 136, x2) - -inst_51: -// rs1_val == 16384, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x100, 0x4000, 0x6, x1, 140, x2) - -inst_52: -// rs1_val == 8192, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x200, 0x2000, 0x4, x1, 144, x2) - -inst_53: -// rs1_val == 4096, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1000, 0xf, x1, 148, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x8, 0x800, 0x8, x1, 152, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x400, 0x12, x1, 156, x2) - -inst_56: -// rs1_val == 512, -// opcode: srli ; op1:x10; dest:x11; op1val:0x200; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x200, 0xe, x1, 160, x2) - -inst_57: -// rs1_val == 256, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x100, 0x13, x1, 164, x2) - -inst_58: -// rs1_val == 128, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x80, 0x8, x1, 168, x2) - -inst_59: -// rs1_val == 32, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x20, 0xb, x1, 172, x2) - -inst_60: -// rs1_val == 16, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x10, 0x17, x1, 176, x2) - -inst_61: -// rs1_val == 8, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8, 0x1d, x1, 180, x2) - -inst_62: -// rs1_val == 4, rs1_val==4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x9, x1, 184, x2) - -inst_63: -// rs1_val == 2, rs1_val==2 -// opcode: srli ; op1:x10; dest:x11; op1val:0x2; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x2, 0x11, x1, 188, x2) - -inst_64: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srli ; op1:x10; dest:x11; op1val:0x1; immval:0x1f -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1, 0x1f, x1, 192, x2) - -inst_65: -// rs1_val==46341, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb505; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x5, 0xb505, 0xd, x1, 196, x2) - -inst_66: -// rs1_val==-46339, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x1fffe95, -0xb503, 0x7, x1, 200, x2) - -inst_67: -// rs1_val==1717986919, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666667; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xccccc, 0x66666667, 0xb, x1, 204, x2) - -inst_68: -// rs1_val==858993460, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333334; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0xcccc, 0x33333334, 0xe, x1, 208, x2) - -inst_69: -// rs1_val==6, -// opcode: srli ; op1:x10; dest:x11; op1val:0x6; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x6, 0xe, x1, 212, x2) - -inst_70: -// rs1_val==-1431655765, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x1 -TEST_IMM_OP( srli, x11, x10, 0x55555555, -0x55555555, 0x1, x1, 216, x2) - -inst_71: -// rs1_val==1431655766, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x5555, 0x55555556, 0x10, x1, 220, x2) - -inst_72: -// rs1_val==46339, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb503; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb503, 0x15, x1, 224, x2) - -inst_73: -// rs1_val==0, rs1_val == 0 and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x0, 0x17, x1, 228, x2) - -inst_74: -// rs1_val==3, -// opcode: srli ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x3, 0x8, x1, 232, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 236, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555555; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xaaaaa, 0x55555555, 0xb, x1, 240, x2) - -inst_77: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x4, x1, 244, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666665; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x333, 0x66666665, 0x15, x1, 248, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0xcccccc, 0x33333332, 0x6, x1, 252, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x1555555, 0x55555554, 0x6, x1, 256, x2) - -inst_81: -// rs1_val==46340, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb504; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb504, 0x17, x1, 260, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffff4a, -0xb504, 0x8, x1, 264, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x333333, 0x66666666, 0x9, x1, 268, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x33333333, 0x1e, x1, 272, x2) - -inst_85: -// rs1_val==5, -// opcode: srli ; op1:x10; dest:x11; op1val:0x5; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x5, 0xb, x1, 276, x2) - -inst_86: -// rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x17f, -0x40000001, 0x17, x1, 280, x2) - -inst_87: -// rs1_val == -1048577, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xffeff, -0x100001, 0xc, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S deleted file mode 100644 index e09411fdc..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S +++ /dev/null @@ -1,3005 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sub instruction of the RISC-V E extension for the sub covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sub) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x14, rs2==x9, rd==x4, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 4194304, rs1_val == 33554432 -// opcode: sub ; op1:x14; op2:x9; dest:x4; op1val:0x2000000; op2val:0x400000 -TEST_RR_OP(sub, x4, x14, x9, 0x1c00000, 0x2000000, 0x400000, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x1, rs2==x0, rd==x1, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x1; op2:x0; dest:x1; op1val:-0x400001; op2val:0x0 -TEST_RR_OP(sub, x1, x1, x0, 0xffbfffff, -0x400001, 0x0, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x11, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: sub ; op1:x11; op2:x6; dest:x6; op1val:-0x6; op2val:-0x40000001 -TEST_RR_OP(sub, x6, x11, x6, 0x3ffffffb, -0x6, -0x40000001, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x15, rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x12; op2:x12; dest:x15; op1val:-0x800001; op2val:-0x800001 -TEST_RR_OP(sub, x15, x12, x12, 0x0, -0x800001, -0x800001, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x13; op2:x13; dest:x13; op1val:-0x2000001; op2val:-0x2000001 -TEST_RR_OP(sub, x13, x13, x13, 0x0, -0x2000001, -0x2000001, x3, 16, x5) - -inst_5: -// rs1==x10, rs2==x1, rd==x12, rs2_val == -134217729, rs1_val == -5 -// opcode: sub ; op1:x10; op2:x1; dest:x12; op1val:-0x5; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x1, 0x7fffffc, -0x5, -0x8000001, x3, 20, x5) - -inst_6: -// rs1==x7, rs2==x2, rd==x8, rs2_val == -67108865, rs1_val == 0 -// opcode: sub ; op1:x7; op2:x2; dest:x8; op1val:0x0; op2val:-0x4000001 -TEST_RR_OP(sub, x8, x7, x2, 0x4000001, 0x0, -0x4000001, x3, 24, x5) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x5, rs2==x11, rd==x9, rs2_val == -33554433, rs1_val == -1025 -// opcode: sub ; op1:x5; op2:x11; dest:x9; op1val:-0x401; op2val:-0x2000001 -TEST_RR_OP(sub, x9, x5, x11, 0x1fffc00, -0x401, -0x2000001, x1, 0, x10) - -inst_8: -// rs1==x8, rs2==x3, rd==x14, rs2_val == -16777217, rs1_val == 16, rs1_val > 0 and rs2_val < 0 -// opcode: sub ; op1:x8; op2:x3; dest:x14; op1val:0x10; op2val:-0x1000001 -TEST_RR_OP(sub, x14, x8, x3, 0x1000011, 0x10, -0x1000001, x1, 4, x10) - -inst_9: -// rs1==x4, rs2==x15, rd==x5, rs2_val == -8388609, rs1_val == 128 -// opcode: sub ; op1:x4; op2:x15; dest:x5; op1val:0x80; op2val:-0x800001 -TEST_RR_OP(sub, x5, x4, x15, 0x800081, 0x80, -0x800001, x1, 8, x10) - -inst_10: -// rs1==x15, rs2==x4, rd==x2, rs2_val == -4194305, rs1_val == 2 -// opcode: sub ; op1:x15; op2:x4; dest:x2; op1val:0x2; op2val:-0x400001 -TEST_RR_OP(sub, x2, x15, x4, 0x400003, 0x2, -0x400001, x1, 12, x10) - -inst_11: -// rs1==x2, rs2==x14, rd==x7, rs2_val == -2097153, rs1_val == 4 -// opcode: sub ; op1:x2; op2:x14; dest:x7; op1val:0x4; op2val:-0x200001 -TEST_RR_OP(sub, x7, x2, x14, 0x200005, 0x4, -0x200001, x1, 16, x10) - -inst_12: -// rs1==x6, rs2==x7, rd==x3, rs2_val == -1048577, rs1_val == -513 -// opcode: sub ; op1:x6; op2:x7; dest:x3; op1val:-0x201; op2val:-0x100001 -TEST_RR_OP(sub, x3, x6, x7, 0xffe00, -0x201, -0x100001, x1, 20, x10) - -inst_13: -// rs1==x3, rs2==x8, rd==x0, rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x3; op2:x8; dest:x0; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x0, x3, x8, 0, -0x2, -0x80001, x1, 24, x2) - -inst_14: -// rs1==x0, rs2==x10, rd==x11, rs2_val == -262145, rs1_val == rs2_val, rs1_val == -262145 -// opcode: sub ; op1:x0; op2:x10; dest:x11; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(sub, x11, x0, x10, 0x40001, 0x0, -0x40001, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_15: -// rs1==x9, rs2==x5, rd==x10, rs2_val == -131073, rs1_val == -2097153 -// opcode: sub ; op1:x9; op2:x5; dest:x10; op1val:-0x200001; op2val:-0x20001 -TEST_RR_OP(sub, x10, x9, x5, 0xffe20000, -0x200001, -0x20001, x1, 0, x2) - -inst_16: -// rs2_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc, -0x5, -0x10001, x1, 4, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 64 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0x8041, 0x40, -0x8001, x1, 8, x2) - -inst_18: -// rs2_val == -16385, rs1_val == -268435457 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x4001 -TEST_RR_OP(sub, x12, x10, x11, 0xf0004000, -0x10000001, -0x4001, x1, 12, x2) - -inst_19: -// rs2_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 -TEST_RR_OP(sub, x12, x10, x11, 0x33335333, 0x33333332, -0x2001, x1, 16, x2) - -inst_20: -// rs2_val == -4097, rs1_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x1001 -TEST_RR_OP(sub, x12, x10, x11, 0x20001001, 0x20000000, -0x1001, x1, 20, x2) - -inst_21: -// rs2_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x801 -TEST_RR_OP(sub, x12, x10, x11, 0xffc00800, -0x400001, -0x801, x1, 24, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 32 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x401 -TEST_RR_OP(sub, x12, x10, x11, 0x421, 0x20, -0x401, x1, 28, x2) - -inst_23: -// rs2_val == -513, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x201 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc0200, -0x40001, -0x201, x1, 32, x2) - -inst_24: -// rs2_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x101 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00100, -0x200001, -0x101, x1, 36, x2) - -inst_25: -// rs2_val == -129, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b7e, -0xb503, -0x81, x1, 40, x2) - -inst_26: -// rs2_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x41 -TEST_RR_OP(sub, x12, x10, x11, 0x55555597, 0x55555556, -0x41, x1, 44, x2) - -inst_27: -// rs2_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x21 -TEST_RR_OP(sub, x12, x10, x11, 0x23, 0x2, -0x21, x1, 48, x2) - -inst_28: -// rs2_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaabc, -0x55555555, -0x11, x1, 52, x2) - -inst_29: -// rs2_val == -9, rs1_val == 65536 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:-0x9 -TEST_RR_OP(sub, x12, x10, x11, 0x10009, 0x10000, -0x9, x1, 56, x2) - -inst_30: -// rs2_val == -5, rs1_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x40005, 0x40000, -0x5, x1, 60, x2) - -inst_31: -// rs2_val == -3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0xb505, -0x3, x1, 64, x2) - -inst_32: -// rs2_val == -2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, -0xb503, -0x2, x1, 68, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xd5555555, 0x7fffffff, -0x55555556, x1, 72, x2) - -inst_34: -// rs1_val == -1073741825, rs2_val == 67108864 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbbffffff, -0x40000001, 0x4000000, x1, 76, x2) - -inst_35: -// rs1_val == -536870913, rs2_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfffffff, -0x20000001, 0x20000000, x1, 80, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0xf8008000, -0x8000001, -0x8001, x1, 84, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 0 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xfbffffff, -0x4000001, 0x0, x1, 88, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcbcccccb, -0x1000001, 0x33333334, x1, 92, x2) - -inst_39: -// rs1_val == -1048577, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccbccccb, -0x100001, 0x33333334, x1, 96, x2) - -inst_40: -// rs1_val == -524289, rs2_val == 8388608 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff77ffff, -0x80001, 0x800000, x1, 100, x2) - -inst_41: -// rs1_val == -131073, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffdfff9, -0x20001, 0x6, x1, 104, x2) - -inst_42: -// rs1_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff0080, -0x10001, -0x81, x1, 108, x2) - -inst_43: -// rs1_val == -32769, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffecafc, -0x8001, 0xb503, x1, 112, x2) - -inst_44: -// rs1_val == -16385, rs2_val == 8 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x8 -TEST_RR_OP(sub, x12, x10, x11, 0xffffbff7, -0x4001, 0x8, x1, 116, x2) - -inst_45: -// rs1_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffe003, -0x2001, -0x4, x1, 120, x2) - -inst_46: -// rs1_val == -4097, rs2_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(sub, x12, x10, x11, 0xfffbefff, -0x1001, 0x40000, x1, 124, x2) - -inst_47: -// rs1_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff800, -0x801, -0x20000001, x1, 128, x2) - -inst_48: -// rs1_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff00, -0x101, -0x8000001, x1, 132, x2) - -inst_49: -// rs1_val == -129, rs2_val == 2048 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x800 -TEST_RR_OP(sub, x12, x10, x11, 0xfffff77f, -0x81, 0x800, x1, 136, x2) - -inst_50: -// rs1_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xffc0, -0x41, -0x10001, x1, 140, x2) - -inst_51: -// rs1_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffe0, -0x21, -0x80001, x1, 144, x2) - -inst_52: -// rs1_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x200001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff0, -0x11, -0x200001, x1, 148, x2) - -inst_53: -// rs1_val == -9, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7fffff8, -0x9, -0x8000001, x1, 152, x2) - -inst_54: -// rs1_val == -3, rs2_val == 8192 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x2000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffdffd, -0x3, 0x2000, x1, 156, x2) - -inst_55: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x2aaaaaab, -0x55555555, -0x80000000, x1, 160, x2) - -inst_56: -// rs2_val == 1073741824, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x40000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfff7fff, -0x8001, 0x40000000, x1, 164, x2) - -inst_57: -// rs2_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x10000000 -TEST_RR_OP(sub, x12, x10, x11, 0xf2000000, 0x2000000, 0x10000000, x1, 168, x2) - -inst_58: -// rs2_val == 134217728, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x8000000 -TEST_RR_OP(sub, x12, x10, x11, 0xa2aaaaab, -0x55555555, 0x8000000, x1, 172, x2) - -inst_59: -// rs2_val == 33554432, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfdffdfff, -0x2001, 0x2000000, x1, 176, x2) - -inst_60: -// rs2_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfeffffef, -0x11, 0x1000000, x1, 180, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x200000 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00010, 0x10, 0x200000, x1, 184, x2) - -inst_62: -// rs2_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x100000 -TEST_RR_OP(sub, x12, x10, x11, 0x33233333, 0x33333333, 0x100000, x1, 188, x2) - -inst_63: -// rs2_val == 524288, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x80000 -TEST_RR_OP(sub, x12, x10, x11, 0xfef7ffff, -0x1000001, 0x80000, x1, 192, x2) - -inst_64: -// rs2_val == 131072, rs1_val == 524288 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x20000 -TEST_RR_OP(sub, x12, x10, x11, 0x60000, 0x80000, 0x20000, x1, 196, x2) - -inst_65: -// rs2_val == 65536, rs1_val == 134217728 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 -TEST_RR_OP(sub, x12, x10, x11, 0x7ff0000, 0x8000000, 0x10000, x1, 200, x2) - -inst_66: -// rs2_val == 32768, rs1_val == 2097152 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8000 -TEST_RR_OP(sub, x12, x10, x11, 0x1f8000, 0x200000, 0x8000, x1, 204, x2) - -inst_67: -// rs2_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xf7ffbfff, -0x8000001, 0x4000, x1, 208, x2) - -inst_68: -// rs2_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1000 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff000, 0x20000000, 0x1000, x1, 212, x2) - -inst_69: -// rs2_val == 1024, rs1_val == 1073741824 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffffc00, 0x40000000, 0x400, x1, 216, x2) - -inst_70: -// rs2_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x200 -TEST_RR_OP(sub, x12, x10, x11, 0xffff7dff, -0x8001, 0x200, x1, 220, x2) - -inst_71: -// rs2_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x100 -TEST_RR_OP(sub, x12, x10, x11, 0x55555454, 0x55555554, 0x100, x1, 224, x2) - -inst_72: -// rs2_val == 128, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0x333332b3, 0x33333333, 0x80, x1, 228, x2) - -inst_73: -// rs2_val == 64, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffc4, 0x4, 0x40, x1, 232, x2) - -inst_74: -// rs2_val == 32, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x20 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffbdf, -0x401, 0x20, x1, 236, x2) - -inst_75: -// rs2_val == 16, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffff2, 0x2, 0x10, x1, 240, x2) - -inst_76: -// rs2_val == 4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 244, x2) - -inst_77: -// rs2_val == 2, rs1_val==2 and rs2_val==2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x2, 0x2, x1, 248, x2) - -inst_78: -// rs2_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1 -TEST_RR_OP(sub, x12, x10, x11, 0x1fffffff, 0x20000000, 0x1, x1, 252, x2) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffffc00, -0x80000000, 0x400, x1, 256, x2) - -inst_80: -// rs1_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffe, 0x10000000, 0x2, x1, 260, x2) - -inst_81: -// rs1_val == 67108864, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4000000, 0x4000000, x1, 264, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x40001 -TEST_RR_OP(sub, x12, x10, x11, 0x1040001, 0x1000000, -0x40001, x1, 268, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x8800001, 0x800000, -0x8000001, x1, 272, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x99d9999a, 0x400000, 0x66666666, x1, 276, x2) - -inst_85: -// rs1_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80100000, 0x100000, -0x80000000, x1, 280, x2) - -inst_86: -// rs1_val == 131072, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x2000001 -TEST_RR_OP(sub, x12, x10, x11, 0x2020001, 0x20000, -0x2000001, x1, 284, x2) - -inst_87: -// rs1_val == 32768, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x8000, 0x8000, 0x0, x1, 288, x2) - -inst_88: -// rs1_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffb, 0x4000, 0x5, x1, 292, x2) - -inst_89: -// rs1_val == 8192, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff6afb, 0x2000, 0xb505, x1, 296, x2) - -inst_90: -// rs1_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0xf80, 0x1000, 0x80, x1, 300, x2) - -inst_91: -// rs1_val == 2048, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80000800, 0x800, -0x80000000, x1, 304, x2) - -inst_92: -// rs1_val == 1024, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff800400, 0x400, 0x800000, x1, 308, x2) - -inst_93: -// rs1_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x204, 0x200, -0x4, x1, 312, x2) - -inst_94: -// rs1_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xe0000100, 0x100, 0x20000000, x1, 316, x2) - -inst_95: -// rs1_val == 8, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffc008, 0x8, 0x4000, x1, 320, x2) - -inst_96: -// rs1_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x20001 -TEST_RR_OP(sub, x12, x10, x11, 0x20002, 0x1, -0x20001, x1, 324, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 328, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 332, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 336, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 340, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 344, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 348, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 352, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 356, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 360, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 364, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 368, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 372, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 376, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb505, 0x2, x1, 380, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 384, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 388, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 392, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 396, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 400, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 404, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 408, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb505, 0x3, x1, 412, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 416, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 420, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 424, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 428, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 432, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 436, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 440, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 444, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 448, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 452, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 456, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 460, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 464, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 468, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 472, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 476, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 480, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 484, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 488, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 492, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 496, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 500, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 504, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 508, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 512, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 516, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 520, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 524, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 528, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 532, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 536, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 540, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 544, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 548, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 552, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 556, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 560, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 564, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 568, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 572, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 576, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 580, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 584, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 588, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 592, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 596, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 600, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 604, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 608, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 612, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 616, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 620, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 624, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 628, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 632, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 636, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 640, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 644, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 648, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 652, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 656, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 660, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 664, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 668, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 672, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 676, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 680, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x6, -0xb503, x1, 684, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 688, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 692, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x6, 0x6, x1, 696, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 700, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 704, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x6, 0x4, x1, 708, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 712, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x6, 0x6, 0x0, x1, 716, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 720, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 724, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 728, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x6, 0x2, x1, 732, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 736, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb50a, 0x6, -0xb504, x1, 740, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 744, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 748, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x6, 0x5, x1, 752, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 756, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 760, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x6, 0x3, x1, 764, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 768, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 772, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 776, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 780, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 784, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 788, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 792, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 796, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 800, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 804, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 808, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 812, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 816, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 820, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 824, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 828, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 832, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 836, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 840, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 844, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 848, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 852, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 856, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 860, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 864, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 868, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 872, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 876, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 880, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 884, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 888, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 892, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 896, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 900, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 904, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 908, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 912, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 916, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 920, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 924, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 928, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 932, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 936, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 940, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 944, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x4, -0xb503, x1, 948, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 952, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 956, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 960, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 964, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 968, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4, 0x4, x1, 972, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 976, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x4, 0x0, x1, 980, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 984, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 988, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 992, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x4, 0x2, x1, 996, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1000, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x4, -0xb504, x1, 1004, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1008, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1012, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1016, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1020, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1024, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1028, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1032, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1036, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1040, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1044, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1048, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1052, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1056, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1060, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1064, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1068, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1072, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1076, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1080, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1084, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1088, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1092, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1096, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1100, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1104, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1108, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1112, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1116, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1120, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0x0, -0xb503, x1, 1124, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1128, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1132, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1136, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1140, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1144, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1148, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1152, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1156, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1160, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1164, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1168, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1172, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1176, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0x0, -0xb504, x1, 1180, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1184, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1188, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1192, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1196, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1200, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1204, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1208, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1212, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1216, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1220, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1224, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1228, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1232, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1236, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1240, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1244, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1264, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1268, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1272, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1284, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1296, x2) - -inst_340: -// rs1_val==5 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1300, x2) - -inst_341: -// rs1_val==5 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x5, -0xb503, x1, 1304, x2) - -inst_342: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1308, x2) - -inst_343: -// rs1_val==5 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1312, x2) - -inst_344: -// rs1_val==5 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1316, x2) - -inst_345: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1320, x2) - -inst_346: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1324, x2) - -inst_347: -// rs1_val==5 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1328, x2) - -inst_348: -// rs1_val==5 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1332, x2) - -inst_349: -// rs1_val==5 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_350: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1340, x2) - -inst_351: -// rs1_val==5 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1344, x2) - -inst_352: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1348, x2) - -inst_353: -// rs1_val==5 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x5, 0x2, x1, 1352, x2) - -inst_354: -// rs1_val==5 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1356, x2) - -inst_355: -// rs1_val==5 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x5, -0xb504, x1, 1360, x2) - -inst_356: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1364, x2) - -inst_357: -// rs1_val==5 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1368, x2) - -inst_358: -// rs1_val==5 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1372, x2) - -inst_359: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1376, x2) - -inst_360: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1380, x2) - -inst_361: -// rs1_val==5 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x5, 0x3, x1, 1384, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1388, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1392, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1404, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1416, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1420, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1440, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1444, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1448, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1460, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1472, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1476, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1480, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1492, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1504, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1508, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1528, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1532, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1536, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1548, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1560, x2) - -inst_406: -// rs1_val==3 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1564, x2) - -inst_407: -// rs1_val==3 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x3, -0xb503, x1, 1568, x2) - -inst_408: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1572, x2) - -inst_409: -// rs1_val==3 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1576, x2) - -inst_410: -// rs1_val==3 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1580, x2) - -inst_411: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1584, x2) - -inst_412: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1588, x2) - -inst_413: -// rs1_val==3 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1592, x2) - -inst_414: -// rs1_val==3 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1596, x2) - -inst_415: -// rs1_val==3 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_416: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1604, x2) - -inst_417: -// rs1_val==3 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1608, x2) - -inst_418: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1612, x2) - -inst_419: -// rs1_val==3 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1616, x2) - -inst_420: -// rs1_val==3 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1620, x2) - -inst_421: -// rs1_val==3 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x3, -0xb504, x1, 1624, x2) - -inst_422: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1628, x2) - -inst_423: -// rs1_val==3 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1632, x2) - -inst_424: -// rs1_val==3 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1636, x2) - -inst_425: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1640, x2) - -inst_426: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1644, x2) - -inst_427: -// rs1_val==3 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1648, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1652, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1656, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1660, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1664, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1668, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1672, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1676, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1680, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1684, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1688, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1692, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1696, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1700, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1704, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1708, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1712, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1716, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1720, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1724, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1728, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1732, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1736, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1740, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1744, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1748, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1752, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1756, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1760, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1764, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1768, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1772, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1776, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1780, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1784, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1788, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1792, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1796, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1800, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1804, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1808, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1812, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1816, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1820, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1824, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1828, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1832, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1836, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1840, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1844, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1848, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1852, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1856, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1860, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1864, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1868, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1872, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1876, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0x2, -0xb503, x1, 1880, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1884, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1888, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1892, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1896, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1900, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1904, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1908, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1912, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1916, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1920, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1924, x2) - -inst_497: -// rs1_val==2 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1928, x2) - -inst_498: -// rs1_val==2 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x2, -0xb504, x1, 1932, x2) - -inst_499: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 1936, x2) - -inst_500: -// rs1_val==2 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 1940, x2) - -inst_501: -// rs1_val==2 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 1944, x2) - -inst_502: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 1948, x2) - -inst_503: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 1952, x2) - -inst_504: -// rs1_val==2 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x2, 0x3, x1, 1956, x2) - -inst_505: -// rs1_val==46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 1960, x2) - -inst_506: -// rs1_val==46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 1964, x2) - -inst_507: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 1968, x2) - -inst_508: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 1972, x2) - -inst_509: -// rs1_val==46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 1976, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 1980, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 1984, x2) - -inst_512: -// rs1_val==46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1988, x2) - -inst_513: -// rs1_val==46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1992, x2) - -inst_514: -// rs1_val==46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 2000, x2) - -inst_516: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 2004, x2) - -inst_517: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 2008, x2) - -inst_518: -// rs1_val==46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb504, 0x2, x1, 2012, x2) - -inst_519: -// rs1_val==46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2016, x2) - -inst_520: -// rs1_val==46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 2020, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 2024, x2) - -inst_522: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 2028, x2) - -inst_523: -// rs1_val==46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 2032, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 2036, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 2040, x2) - -inst_526: -// rs1_val==46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_527: -// rs1_val==-46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 0, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 4, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 8, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 12, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 16, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 20, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 24, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 28, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 32, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 40, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 44, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 48, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 52, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 56, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 60, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 64, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 68, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 72, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 76, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 80, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 84, x2) - -inst_549: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 88, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 92, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 96, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 100, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 104, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 108, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 112, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 116, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 120, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 128, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 132, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 136, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 140, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 144, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 148, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 152, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 156, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 160, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 164, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 168, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 172, x2) - -inst_571: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 176, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 180, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 184, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 188, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 192, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 196, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 200, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 204, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 208, x2) - -inst_580: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(sub, x12, x10, x11, 0x7fc00000, -0x400001, 0x7fffffff, x1, 212, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1f800000, -0x800001, -0x20000001, x1, 216, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x10000001 -TEST_RR_OP(sub, x12, x10, x11, 0xe000000, -0x2000001, -0x10000001, x1, 220, x2) - -inst_583: -// rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff, -0x2, -0x80001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S deleted file mode 100644 index aae0ca476..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S +++ /dev/null @@ -1,415 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sw instruction of the RISC-V E extension for the sw-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2, rs1==x13, rs2==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0, rs2_val == 67108864 -// opcode: sw; op1:x13; op2:x1; op2val:0x4000000; immval:0x4; align:0 -TEST_STORE(x2,x9,0,x13,x1,0x4000000,0x4,0,sw,0) - -inst_1: -// rs1==x7, rs2==x6, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: sw; op1:x7; op2:x6; op2val:0x7fffffff; immval:0x20; align:0 -TEST_STORE(x2,x9,0,x7,x6,0x7fffffff,0x20,4,sw,0) - -inst_2: -// rs1==x5, rs2==x0, rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x5; op2:x0; op2val:0x0; immval:-0x4; align:0 -TEST_STORE(x2,x9,0,x5,x0,0x0,-0x4,8,sw,0) - -inst_3: -// rs1==x4, rs2==x5, rs2_val == -536870913, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sw; op1:x4; op2:x5; op2val:-0x20000001; immval:-0x11; align:0 -TEST_STORE(x2,x9,0,x4,x5,-0x20000001,-0x11,12,sw,0) - -inst_4: -// rs1==x12, rs2==x3, rs2_val == -268435457, -// opcode: sw; op1:x12; op2:x3; op2val:-0x10000001; immval:-0x101; align:0 -TEST_STORE(x2,x9,0,x12,x3,-0x10000001,-0x101,16,sw,0) - -inst_5: -// rs1==x8, rs2==x10, rs2_val == -134217729, -// opcode: sw; op1:x8; op2:x10; op2val:-0x8000001; immval:-0x1; align:0 -TEST_STORE(x2,x9,0,x8,x10,-0x8000001,-0x1,20,sw,0) - -inst_6: -// rs1==x1, rs2==x8, rs2_val == -67108865, -// opcode: sw; op1:x1; op2:x8; op2val:-0x4000001; immval:-0x401; align:0 -TEST_STORE(x2,x9,0,x1,x8,-0x4000001,-0x401,24,sw,0) - -inst_7: -// rs1==x6, rs2==x15, rs2_val == -33554433, -// opcode: sw; op1:x6; op2:x15; op2val:-0x2000001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x6,x15,-0x2000001,0x40,28,sw,0) - -inst_8: -// rs1==x11, rs2==x14, rs2_val == -16777217, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sw; op1:x11; op2:x14; op2val:-0x1000001; immval:0x555; align:0 -TEST_STORE(x2,x5,0,x11,x14,-0x1000001,0x555,32,sw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x15, rs2==x7, rs2_val == -8388609, -// opcode: sw; op1:x15; op2:x7; op2val:-0x800001; immval:-0x201; align:0 -TEST_STORE(x1,x5,0,x15,x7,-0x800001,-0x201,0,sw,0) - -inst_10: -// rs1==x3, rs2==x12, rs2_val == -4194305, -// opcode: sw; op1:x3; op2:x12; op2val:-0x400001; immval:-0x8; align:0 -TEST_STORE(x1,x5,0,x3,x12,-0x400001,-0x8,4,sw,0) - -inst_11: -// rs1==x14, rs2==x9, rs2_val == -2097153, -// opcode: sw; op1:x14; op2:x9; op2val:-0x200001; immval:-0x800; align:0 -TEST_STORE(x1,x5,0,x14,x9,-0x200001,-0x800,8,sw,0) - -inst_12: -// rs1==x9, rs2==x13, rs2_val == -1048577, -// opcode: sw; op1:x9; op2:x13; op2val:-0x100001; immval:0x9; align:0 -TEST_STORE(x1,x5,0,x9,x13,-0x100001,0x9,12,sw,0) - -inst_13: -// rs1==x10, rs2==x4, rs2_val == -524289, -// opcode: sw; op1:x10; op2:x4; op2val:-0x80001; immval:0x7; align:0 -TEST_STORE(x1,x5,0,x10,x4,-0x80001,0x7,16,sw,0) - -inst_14: -// rs1==x2, rs2==x11, rs2_val == -262145, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sw; op1:x2; op2:x11; op2val:-0x40001; immval:0x6; align:0 -TEST_STORE(x1,x3,0,x2,x11,-0x40001,0x6,20,sw,0) - -inst_15: -// rs2==x2, rs2_val == -131073, -// opcode: sw; op1:x9; op2:x2; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x9,x2,-0x20001,0x20,24,sw,0) - -inst_16: -// rs2_val == -65537, -// opcode: sw; op1:x10; op2:x11; op2val:-0x10001; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x10001,-0x81,28,sw,0) - -inst_17: -// rs2_val == -32769, -// opcode: sw; op1:x10; op2:x11; op2val:-0x8001; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x11,32,sw,0) - -inst_18: -// rs2_val == -16385, imm_val == 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,36,sw,0) - -inst_19: -// rs2_val == -8193, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,0x3ff,40,sw,0) - -inst_20: -// rs2_val == -4097, -// opcode: sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x200,44,sw,0) - -inst_21: -// rs2_val == -2049, -// opcode: sw; op1:x10; op2:x11; op2val:-0x801; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,0x4,48,sw,0) - -inst_22: -// rs2_val == -1025, -// opcode: sw; op1:x10; op2:x11; op2val:-0x401; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x401,52,sw,0) - -inst_23: -// rs2_val == -513, -// opcode: sw; op1:x10; op2:x11; op2val:-0x201; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,0x3ff,56,sw,0) - -inst_24: -// rs2_val == -257, -// opcode: sw; op1:x10; op2:x11; op2val:-0x101; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x81,60,sw,0) - -inst_25: -// rs2_val == -129, -// opcode: sw; op1:x10; op2:x11; op2val:-0x81; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x1,64,sw,0) - -inst_26: -// rs2_val == -65, -// opcode: sw; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,-0xa,68,sw,0) - -inst_27: -// rs2_val == -33, -// opcode: sw; op1:x10; op2:x11; op2val:-0x21; immval:0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,0x3,72,sw,0) - -inst_28: -// rs2_val == -17, -// opcode: sw; op1:x10; op2:x11; op2val:-0x11; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,0x2,76,sw,0) - -inst_29: -// rs2_val == -9, -// opcode: sw; op1:x10; op2:x11; op2val:-0x9; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0xa,80,sw,0) - -inst_30: -// rs2_val == -5, -// opcode: sw; op1:x10; op2:x11; op2val:-0x5; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,0x40,84,sw,0) - -inst_31: -// rs2_val == -3, -// opcode: sw; op1:x10; op2:x11; op2val:-0x3; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x1,88,sw,0) - -inst_32: -// rs2_val == -2, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x201,92,sw,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x20,96,sw,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x401,100,sw,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,-0x8,104,sw,0) - -inst_36: -// rs2_val == 1, -// opcode: sw; op1:x10; op2:x11; op2val:0x1; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1,-0x2,108,sw,0) - -inst_37: -// rs2_val == -1431655766, -// opcode: sw; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,112,sw,0) - -inst_38: -// rs2_val == 1431655765, -// opcode: sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,0x40,116,sw,0) - -inst_39: -// rs2_val == 0, -// opcode: sw; op1:x10; op2:x11; op2val:0x0; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0xa,120,sw,0) - -inst_40: -// rs2_val == 268435456, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000000; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x4,124,sw,0) - -inst_41: -// rs2_val == 134217728, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x7ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,0x7ff,128,sw,0) - -inst_42: -// rs2_val == 33554432, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,0x555,132,sw,0) - -inst_43: -// rs2_val == 16777216, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,0x1,136,sw,0) - -inst_44: -// rs2_val == 8388608, -// opcode: sw; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x5,140,sw,0) - -inst_45: -// rs2_val == 4194304, -// opcode: sw; op1:x10; op2:x11; op2val:0x400000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,0x2,144,sw,0) - -inst_46: -// rs2_val == 2097152, -// opcode: sw; op1:x10; op2:x11; op2val:0x200000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,-0x1,148,sw,0) - -inst_47: -// rs2_val == 1048576, -// opcode: sw; op1:x10; op2:x11; op2val:0x100000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,-0x9,152,sw,0) - -inst_48: -// rs2_val == 524288, -// opcode: sw; op1:x10; op2:x11; op2val:0x80000; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,0x400,156,sw,0) - -inst_49: -// rs2_val == 262144, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x555,160,sw,0) - -inst_50: -// rs2_val == 131072, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x8,164,sw,0) - -inst_51: -// rs2_val == 65536, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x2,168,sw,0) - -inst_52: -// rs2_val == 32768, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,-0xa,172,sw,0) - -inst_53: -// rs2_val == 16384, -// opcode: sw; op1:x10; op2:x11; op2val:0x4000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x1,176,sw,0) - -inst_54: -// rs2_val == 8192, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,180,sw,0) - -inst_55: -// rs2_val == 4096, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,0x7,184,sw,0) - -inst_56: -// rs2_val == 2048, -// opcode: sw; op1:x10; op2:x11; op2val:0x800; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x81,188,sw,0) - -inst_57: -// rs2_val == 1024, -// opcode: sw; op1:x10; op2:x11; op2val:0x400; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x401,192,sw,0) - -inst_58: -// rs2_val == 512, -// opcode: sw; op1:x10; op2:x11; op2val:0x200; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x7,196,sw,0) - -inst_59: -// rs2_val == 256, -// opcode: sw; op1:x10; op2:x11; op2val:0x100; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x101,200,sw,0) - -inst_60: -// rs2_val == 128, -// opcode: sw; op1:x10; op2:x11; op2val:0x80; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x21,204,sw,0) - -inst_61: -// rs2_val == 64, -// opcode: sw; op1:x10; op2:x11; op2val:0x40; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,0x40,208,sw,0) - -inst_62: -// rs2_val == 32, -// opcode: sw; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,212,sw,0) - -inst_63: -// rs2_val == 16, -// opcode: sw; op1:x10; op2:x11; op2val:0x10; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,0x10,216,sw,0) - -inst_64: -// rs2_val == 8, -// opcode: sw; op1:x10; op2:x11; op2val:0x8; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,0x400,220,sw,0) - -inst_65: -// rs2_val == 4, -// opcode: sw; op1:x10; op2:x11; op2val:0x4; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x401,224,sw,0) - -inst_66: -// rs2_val == 2, -// opcode: sw; op1:x10; op2:x11; op2val:0x2; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,-0x400,228,sw,0) - -inst_67: -// rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x40000001; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x40000001,-0x4,232,sw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 59*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S deleted file mode 100644 index cb30a2617..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S +++ /dev/null @@ -1,3000 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xor instruction of the RISC-V E extension for the xor covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xor) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x14, rd==x1, rs1_val != rs2_val, rs2_val == -1048577, rs1_val > 0 and rs2_val < 0, rs1_val == 131072 -// opcode: xor ; op1:x5; op2:x14; dest:x1; op1val:0x20000; op2val:-0x100001 -TEST_RR_OP(xor, x1, x5, x14, 0xffedffff, 0x20000, -0x100001, x7, 0, x10) - -inst_1: -// rs1 == rd != rs2, rs1==x3, rs2==x15, rd==x3, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -1048577, rs2_val == (2**(xlen-1)-1) -// opcode: xor ; op1:x3; op2:x15; dest:x3; op1val:-0x100001; op2val:0x7fffffff -TEST_RR_OP(xor, x3, x3, x15, 0x80100000, -0x100001, 0x7fffffff, x7, 4, x10) - -inst_2: -// rs2 == rd != rs1, rs1==x4, rs2==x0, rd==x0, rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x4; op2:x0; dest:x0; op1val:0x400; op2val:0x0 -TEST_RR_OP(xor, x0, x4, x0, 0, 0x400, 0x0, x7, 8, x10) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x6, rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x8; op2:x8; dest:x6; op1val:0x2000; op2val:0x2000 -TEST_RR_OP(xor, x6, x8, x8, 0x0, 0x2000, 0x2000, x7, 12, x10) - -inst_4: -// rs1 == rs2 == rd, rs1==x12, rs2==x12, rd==x12, rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x12; op2:x12; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(xor, x12, x12, x12, 0x0, 0x1, 0x1, x7, 16, x10) - -inst_5: -// rs1==x1, rs2==x4, rd==x15, rs2_val == -134217729, -// opcode: xor ; op1:x1; op2:x4; dest:x15; op1val:0x66666667; op2val:-0x8000001 -TEST_RR_OP(xor, x15, x1, x4, 0x91999998, 0x66666667, -0x8000001, x7, 20, x10) - -inst_6: -// rs1==x9, rs2==x1, rd==x14, rs2_val == -67108865, rs1_val < 0 and rs2_val < 0, rs1_val == -65 -// opcode: xor ; op1:x9; op2:x1; dest:x14; op1val:-0x41; op2val:-0x4000001 -TEST_RR_OP(xor, x14, x9, x1, 0x4000040, -0x41, -0x4000001, x7, 24, x10) - -inst_7: -// rs1==x15, rs2==x13, rd==x2, rs2_val == -33554433, rs1_val == 32768 -// opcode: xor ; op1:x15; op2:x13; dest:x2; op1val:0x8000; op2val:-0x2000001 -TEST_RR_OP(xor, x2, x15, x13, 0xfdff7fff, 0x8000, -0x2000001, x7, 28, x10) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x10, rs2==x9, rd==x11, rs2_val == -16777217, -// opcode: xor ; op1:x10; op2:x9; dest:x11; op1val:0xb503; op2val:-0x1000001 -TEST_RR_OP(xor, x11, x10, x9, 0xfeff4afc, 0xb503, -0x1000001, x1, 0, x2) - -inst_9: -// rs1==x7, rs2==x5, rd==x10, rs2_val == -8388609, rs1_val == -17 -// opcode: xor ; op1:x7; op2:x5; dest:x10; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(xor, x10, x7, x5, 0x800010, -0x11, -0x800001, x1, 4, x2) - -inst_10: -// rs1==x6, rs2==x7, rd==x8, rs2_val == -4194305, rs1_val == 262144 -// opcode: xor ; op1:x6; op2:x7; dest:x8; op1val:0x40000; op2val:-0x400001 -TEST_RR_OP(xor, x8, x6, x7, 0xffbbffff, 0x40000, -0x400001, x1, 8, x2) - -inst_11: -// rs1==x13, rs2==x11, rd==x4, rs2_val == -2097153, rs1_val == 2097152 -// opcode: xor ; op1:x13; op2:x11; dest:x4; op1val:0x200000; op2val:-0x200001 -TEST_RR_OP(xor, x4, x13, x11, 0xffffffff, 0x200000, -0x200001, x1, 12, x2) - -inst_12: -// rs1==x14, rs2==x6, rd==x9, rs2_val == -524289, rs1_val == 524288 -// opcode: xor ; op1:x14; op2:x6; dest:x9; op1val:0x80000; op2val:-0x80001 -TEST_RR_OP(xor, x9, x14, x6, 0xffffffff, 0x80000, -0x80001, x1, 16, x2) - -inst_13: -// rs1==x0, rs2==x3, rd==x7, rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(xor, x7, x0, x3, 0xfffbffff, 0x0, -0x40001, x1, 20, x2) - -inst_14: -// rs1==x11, rs2==x10, rd==x5, rs2_val == -131073, -// opcode: xor ; op1:x11; op2:x10; dest:x5; op1val:-0x8; op2val:-0x20001 -TEST_RR_OP(xor, x5, x11, x10, 0x20007, -0x8, -0x20001, x1, 24, x3) - -inst_15: -// rs1==x2, rs2_val == -65537, rs1_val == 2 -// opcode: xor ; op1:x2; op2:x9; dest:x14; op1val:0x2; op2val:-0x10001 -TEST_RR_OP(xor, x14, x2, x9, 0xfffefffd, 0x2, -0x10001, x1, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_16: -// rs2==x2, rs2_val == -32769, rs1_val == -262145 -// opcode: xor ; op1:x10; op2:x2; dest:x14; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(xor, x14, x10, x2, 0x48000, -0x40001, -0x8001, x1, 0, x3) - -inst_17: -// rd==x13, rs2_val == -16385, -// opcode: xor ; op1:x7; op2:x11; dest:x13; op1val:0x2; op2val:-0x4001 -TEST_RR_OP(xor, x13, x7, x11, 0xffffbffd, 0x2, -0x4001, x1, 4, x3) - -inst_18: -// rs2_val == -8193, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x2001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 8, x3) - -inst_19: -// rs2_val == -4097, rs1_val == -67108865 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0x4001000, -0x4000001, -0x1001, x1, 12, x3) - -inst_20: -// rs2_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7fd, 0x2, -0x801, x1, 16, x3) - -inst_21: -// rs2_val == -1025, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbfd, 0x2, -0x401, x1, 20, x3) - -inst_22: -// rs2_val == -513, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x201 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdfc, 0x3, -0x201, x1, 24, x3) - -inst_23: -// rs2_val == -257, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x101 -TEST_RR_OP(xor, x12, x10, x11, 0xffff7eff, 0x8000, -0x101, x1, 28, x3) - -inst_24: -// rs2_val == -129, rs1_val == -1073741825 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x81 -TEST_RR_OP(xor, x12, x10, x11, 0x40000080, -0x40000001, -0x81, x1, 32, x3) - -inst_25: -// rs2_val == -65, rs1_val == -134217729 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x41 -TEST_RR_OP(xor, x12, x10, x11, 0x8000040, -0x8000001, -0x41, x1, 36, x3) - -inst_26: -// rs2_val == -33, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffd8, 0x7, -0x21, x1, 40, x3) - -inst_27: -// rs2_val == -17, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffec, 0x3, -0x11, x1, 44, x3) - -inst_28: -// rs2_val == -9, rs1_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff7, 0x0, -0x9, x1, 48, x3) - -inst_29: -// rs2_val == -5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x6, -0x5, x1, 52, x3) - -inst_30: -// rs2_val == -3, rs1_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x55555555, -0x3, x1, 56, x3) - -inst_31: -// rs2_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x0, -0x2, x1, 60, x3) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x2aaaaaaa, 0x7fffffff, 0x55555555, x1, 64, x3) - -inst_33: -// rs1_val == -536870913, rs2_val == 2097152 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x200000 -TEST_RR_OP(xor, x12, x10, x11, 0xdfdfffff, -0x20000001, 0x200000, x1, 68, x3) - -inst_34: -// rs1_val == -268435457, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1000b503, -0x10000001, -0xb504, x1, 72, x3) - -inst_35: -// rs1_val == -33554433, rs2_val == 2 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfffffd, -0x2000001, 0x2, x1, 76, x3) - -inst_36: -// rs1_val == -16777217, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x1000005, -0x1000001, -0x6, x1, 80, x3) - -inst_37: -// rs1_val == -8388609, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0x800020, -0x800001, -0x21, x1, 84, x3) - -inst_38: -// rs1_val == -4194305, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x400002, -0x400001, -0x3, x1, 88, x3) - -inst_39: -// rs1_val == -2097153, rs2_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55755555, -0x200001, -0x55555556, x1, 92, x3) - -inst_40: -// rs1_val == -524289, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x3ff7ffff, -0x80001, -0x40000000, x1, 96, x3) - -inst_41: -// rs1_val == -131073, rs2_val == 1048576 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x100000 -TEST_RR_OP(xor, x12, x10, x11, 0xffedffff, -0x20001, 0x100000, x1, 100, x3) - -inst_42: -// rs1_val == -32769, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafb, -0x8001, 0xb504, x1, 104, x3) - -inst_43: -// rs1_val == -16385, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4005, -0x4001, -0x6, x1, 108, x3) - -inst_44: -// rs1_val == -8193, rs2_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x400 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdbff, -0x2001, 0x400, x1, 112, x3) - -inst_45: -// rs1_val == -4097, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1000001 -TEST_RR_OP(xor, x12, x10, x11, 0x1001000, -0x1001, -0x1000001, x1, 116, x3) - -inst_46: -// rs1_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7f6, -0x801, 0x9, x1, 120, x3) - -inst_47: -// rs1_val == -1025, rs2_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfefffbff, -0x401, 0x1000000, x1, 124, x3) - -inst_48: -// rs1_val == -513, rs2_val == 67108864 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x4000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 128, x3) - -inst_49: -// rs1_val == -257, rs2_val == 268435456 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x10000000 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffeff, -0x101, 0x10000000, x1, 132, x3) - -inst_50: -// rs1_val == -129, rs2_val == 131072 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffdff7f, -0x81, 0x20000, x1, 136, x3) - -inst_51: -// rs1_val == -33, rs2_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffdf, -0x21, 0x0, x1, 140, x3) - -inst_52: -// rs1_val == -9, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccc4, -0x9, 0x33333333, x1, 144, x3) - -inst_53: -// rs1_val == -5, rs2_val == 536870912 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(xor, x12, x10, x11, 0xdffffffb, -0x5, 0x20000000, x1, 148, x3) - -inst_54: -// rs1_val == -3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0x3, 0xb503, x1, 152, x3) - -inst_55: -// rs1_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x400001 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, -0x2, -0x400001, x1, 156, x3) - -inst_56: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x80000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000009, 0x9, -0x80000000, x1, 160, x3) - -inst_57: -// rs2_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000000, -0x40000000, 0x40000000, x1, 164, x3) - -inst_58: -// rs2_val == 134217728, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000007, 0x7, 0x8000000, x1, 168, x3) - -inst_59: -// rs2_val == 33554432, rs1_val == 4 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2000000 -TEST_RR_OP(xor, x12, x10, x11, 0x2000004, 0x4, 0x2000000, x1, 172, x3) - -inst_60: -// rs2_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 -TEST_RR_OP(xor, x12, x10, x11, 0x33b33333, 0x33333333, 0x800000, x1, 176, x3) - -inst_61: -// rs2_val == 4194304, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x400000 -TEST_RR_OP(xor, x12, x10, x11, 0xfdbfffff, -0x2000001, 0x400000, x1, 180, x3) - -inst_62: -// rs2_val == 524288, rs1_val == 256 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x80000 -TEST_RR_OP(xor, x12, x10, x11, 0x80100, 0x100, 0x80000, x1, 184, x3) - -inst_63: -// rs2_val == 262144, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x40000 -TEST_RR_OP(xor, x12, x10, x11, 0x33373333, 0x33333333, 0x40000, x1, 188, x3) - -inst_64: -// rs2_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x10000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffeffff, -0x1, 0x10000, x1, 192, x3) - -inst_65: -// rs2_val == 32768, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x8000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafc, -0xb504, 0x8000, x1, 196, x3) - -inst_66: -// rs2_val == 16384, rs1_val == 32 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000 -TEST_RR_OP(xor, x12, x10, x11, 0x4020, 0x20, 0x4000, x1, 200, x3) - -inst_67: -// rs2_val == 8192, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdff6, -0xa, 0x2000, x1, 204, x3) - -inst_68: -// rs2_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1000 -TEST_RR_OP(xor, x12, x10, x11, 0x33332333, 0x33333333, 0x1000, x1, 208, x3) - -inst_69: -// rs2_val == 2048, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x800 -TEST_RR_OP(xor, x12, x10, x11, 0x33333b34, 0x33333334, 0x800, x1, 212, x3) - -inst_70: -// rs2_val == 512, rs1_val == 2048 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x200 -TEST_RR_OP(xor, x12, x10, x11, 0xa00, 0x800, 0x200, x1, 216, x3) - -inst_71: -// rs2_val == 256, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x100 -TEST_RR_OP(xor, x12, x10, x11, 0x100, 0x0, 0x100, x1, 220, x3) - -inst_72: -// rs2_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x80 -TEST_RR_OP(xor, x12, x10, x11, 0x20080, 0x20000, 0x80, x1, 224, x3) - -inst_73: -// rs2_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x40 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaeb, -0x55555555, 0x40, x1, 228, x3) - -inst_74: -// rs2_val == 32, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x20 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffcf, -0x11, 0x20, x1, 232, x3) - -inst_75: -// rs2_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x10 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdef, -0x201, 0x10, x1, 236, x3) - -inst_76: -// rs2_val == 8, rs1_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8 -TEST_RR_OP(xor, x12, x10, x11, 0x1000008, 0x1000000, 0x8, x1, 240, x3) - -inst_77: -// rs2_val == 4, rs1_val == 134217728 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x8000004, 0x8000000, 0x4, x1, 244, x3) - -inst_78: -// rs2_val == 1, rs1_val == 4194304 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x1 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, 0x400000, 0x1, x1, 248, x3) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7fff4afd, -0x80000000, -0xb503, x1, 252, x3) - -inst_80: -// rs1_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xafffffff, 0x40000000, -0x10000001, x1, 256, x3) - -inst_81: -// rs1_val == 536870912, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffffdf, 0x20000000, -0x21, x1, 260, x3) - -inst_82: -// rs1_val == 268435456, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0x10020000, 0x10000000, 0x20000, x1, 264, x3) - -inst_83: -// rs1_val == 67108864, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4000000, 0x4000000, 0x0, x1, 268, x3) - -inst_84: -// rs1_val == 33554432, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfff7ff, 0x2000000, -0x801, x1, 272, x3) - -inst_85: -// rs1_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x80b503, 0x800000, 0xb503, x1, 276, x3) - -inst_86: -// rs1_val == 1048576, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x100003, 0x100000, 0x3, x1, 280, x3) - -inst_87: -// rs1_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66676667, 0x10000, 0x66666667, x1, 284, x3) - -inst_88: -// rs1_val == 16384, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffafff, 0x4000, -0x1001, x1, 288, x3) - -inst_89: -// rs1_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55554555, 0x1000, 0x55555555, x1, 292, x3) - -inst_90: -// rs1_val == 512, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000200, 0x200, 0x8000000, x1, 296, x3) - -inst_91: -// rs1_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x86, 0x80, 0x6, x1, 300, x3) - -inst_92: -// rs1_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbbf, 0x40, -0x401, x1, 304, x3) - -inst_93: -// rs1_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffeb, 0x10, -0x5, x1, 308, x3) - -inst_94: -// rs1_val == 8, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffe7, 0x8, -0x11, x1, 312, x3) - -inst_95: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 316, x3) - -inst_96: -// rs1_val==46341 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 320, x3) - -inst_97: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 324, x3) - -inst_98: -// rs1_val==46341 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 328, x3) - -inst_99: -// rs1_val==46341 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb505, 0x6, x1, 332, x3) - -inst_100: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 336, x3) - -inst_101: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 340, x3) - -inst_102: -// rs1_val==46341 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 344, x3) - -inst_103: -// rs1_val==46341 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb505, 0xb503, x1, 348, x3) - -inst_104: -// rs1_val==46341 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 352, x3) - -inst_105: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 356, x3) - -inst_106: -// rs1_val==46341 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 360, x3) - -inst_107: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 364, x3) - -inst_108: -// rs1_val==46341 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 368, x3) - -inst_109: -// rs1_val==46341 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 372, x3) - -inst_110: -// rs1_val==46341 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 376, x3) - -inst_111: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 380, x3) - -inst_112: -// rs1_val==46341 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 384, x3) - -inst_113: -// rs1_val==46341 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 388, x3) - -inst_114: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 392, x3) - -inst_115: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 396, x3) - -inst_116: -// rs1_val==46341 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb505, 0x3, x1, 400, x3) - -inst_117: -// rs1_val==-46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 404, x3) - -inst_118: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 408, x3) - -inst_119: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 412, x3) - -inst_120: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 416, x3) - -inst_121: -// rs1_val==-46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 420, x3) - -inst_122: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 424, x3) - -inst_123: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 428, x3) - -inst_124: -// rs1_val==-46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 432, x3) - -inst_125: -// rs1_val==-46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 436, x3) - -inst_126: -// rs1_val==-46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 440, x3) - -inst_127: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 444, x3) - -inst_128: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 448, x3) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 452, x3) - -inst_130: -// rs1_val==-46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 456, x3) - -inst_131: -// rs1_val==-46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 460, x3) - -inst_132: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 464, x3) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 468, x3) - -inst_134: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 472, x3) - -inst_135: -// rs1_val==-46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 476, x3) - -inst_136: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 480, x3) - -inst_137: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 484, x3) - -inst_138: -// rs1_val==-46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 488, x3) - -inst_139: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 492, x3) - -inst_140: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 496, x3) - -inst_141: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 500, x3) - -inst_142: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 504, x3) - -inst_143: -// rs1_val==1717986919 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 508, x3) - -inst_144: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 512, x3) - -inst_145: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 516, x3) - -inst_146: -// rs1_val==1717986919 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 520, x3) - -inst_147: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 524, x3) - -inst_148: -// rs1_val==1717986919 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 528, x3) - -inst_149: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 532, x3) - -inst_150: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 536, x3) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 540, x3) - -inst_152: -// rs1_val==1717986919 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 544, x3) - -inst_153: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 548, x3) - -inst_154: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 552, x3) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 556, x3) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 560, x3) - -inst_157: -// rs1_val==1717986919 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 564, x3) - -inst_158: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 568, x3) - -inst_159: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 572, x3) - -inst_160: -// rs1_val==1717986919 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 576, x3) - -inst_161: -// rs1_val==858993460 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 580, x3) - -inst_162: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 584, x3) - -inst_163: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 588, x3) - -inst_164: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 592, x3) - -inst_165: -// rs1_val==858993460 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 596, x3) - -inst_166: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 600, x3) - -inst_167: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 604, x3) - -inst_168: -// rs1_val==858993460 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 608, x3) - -inst_169: -// rs1_val==858993460 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 612, x3) - -inst_170: -// rs1_val==858993460 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 616, x3) - -inst_171: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 620, x3) - -inst_172: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 624, x3) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 628, x3) - -inst_174: -// rs1_val==858993460 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 632, x3) - -inst_175: -// rs1_val==858993460 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 636, x3) - -inst_176: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 640, x3) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 644, x3) - -inst_178: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 648, x3) - -inst_179: -// rs1_val==858993460 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 652, x3) - -inst_180: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 656, x3) - -inst_181: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 660, x3) - -inst_182: -// rs1_val==858993460 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 664, x3) - -inst_183: -// rs1_val==6 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x6, 0xb505, x1, 668, x3) - -inst_184: -// rs1_val==6 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 672, x3) - -inst_185: -// rs1_val==6 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 676, x3) - -inst_186: -// rs1_val==6 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 680, x3) - -inst_187: -// rs1_val==6 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x6, 0x6, x1, 684, x3) - -inst_188: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 688, x3) - -inst_189: -// rs1_val==6 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 692, x3) - -inst_190: -// rs1_val==6 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x6, 0x4, x1, 696, x3) - -inst_191: -// rs1_val==6 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x6, 0xb503, x1, 700, x3) - -inst_192: -// rs1_val==6 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x6, 0x0, x1, 704, x3) - -inst_193: -// rs1_val==6 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 708, x3) - -inst_194: -// rs1_val==6 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 712, x3) - -inst_195: -// rs1_val==6 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 716, x3) - -inst_196: -// rs1_val==6 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x6, 0x2, x1, 720, x3) - -inst_197: -// rs1_val==6 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0x6, 0xb504, x1, 724, x3) - -inst_198: -// rs1_val==6 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 728, x3) - -inst_199: -// rs1_val==6 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 732, x3) - -inst_200: -// rs1_val==6 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 736, x3) - -inst_201: -// rs1_val==6 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x6, 0x5, x1, 740, x3) - -inst_202: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 744, x3) - -inst_203: -// rs1_val==6 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 748, x3) - -inst_204: -// rs1_val==6 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x6, 0x3, x1, 752, x3) - -inst_205: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 756, x3) - -inst_206: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 760, x3) - -inst_207: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 764, x3) - -inst_208: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 768, x3) - -inst_209: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 772, x3) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 776, x3) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 780, x3) - -inst_212: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 784, x3) - -inst_213: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 788, x3) - -inst_214: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 792, x3) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 796, x3) - -inst_216: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 800, x3) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 804, x3) - -inst_218: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 808, x3) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 812, x3) - -inst_220: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 816, x3) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 820, x3) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 824, x3) - -inst_223: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 828, x3) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 832, x3) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 836, x3) - -inst_226: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 840, x3) - -inst_227: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 844, x3) - -inst_228: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 848, x3) - -inst_229: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 852, x3) - -inst_230: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 856, x3) - -inst_231: -// rs1_val==1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 860, x3) - -inst_232: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 864, x3) - -inst_233: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 868, x3) - -inst_234: -// rs1_val==1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 872, x3) - -inst_235: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 876, x3) - -inst_236: -// rs1_val==1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 880, x3) - -inst_237: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 884, x3) - -inst_238: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 888, x3) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 892, x3) - -inst_240: -// rs1_val==1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 896, x3) - -inst_241: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 900, x3) - -inst_242: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 904, x3) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 908, x3) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 912, x3) - -inst_245: -// rs1_val==1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 916, x3) - -inst_246: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 920, x3) - -inst_247: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 924, x3) - -inst_248: -// rs1_val==1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 928, x3) - -inst_249: -// rs1_val==4 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x4, 0xb505, x1, 932, x3) - -inst_250: -// rs1_val==4 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 936, x3) - -inst_251: -// rs1_val==4 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 940, x3) - -inst_252: -// rs1_val==4 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 944, x3) - -inst_253: -// rs1_val==4 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x4, 0x6, x1, 948, x3) - -inst_254: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 952, x3) - -inst_255: -// rs1_val==4 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 956, x3) - -inst_256: -// rs1_val==4 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x4, 0x4, x1, 960, x3) - -inst_257: -// rs1_val==4 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 964, x3) - -inst_258: -// rs1_val==4 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x4, 0x0, x1, 968, x3) - -inst_259: -// rs1_val==4 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 972, x3) - -inst_260: -// rs1_val==4 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 976, x3) - -inst_261: -// rs1_val==4 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 980, x3) - -inst_262: -// rs1_val==4 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x4, 0x2, x1, 984, x3) - -inst_263: -// rs1_val==4 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x4, 0xb504, x1, 988, x3) - -inst_264: -// rs1_val==4 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 992, x3) - -inst_265: -// rs1_val==4 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 996, x3) - -inst_266: -// rs1_val==4 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1000, x3) - -inst_267: -// rs1_val==4 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x4, 0x5, x1, 1004, x3) - -inst_268: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1008, x3) - -inst_269: -// rs1_val==4 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1012, x3) - -inst_270: -// rs1_val==4 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1016, x3) - -inst_271: -// rs1_val==46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb503, 0xb505, x1, 1020, x3) - -inst_272: -// rs1_val==46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1024, x3) - -inst_273: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1028, x3) - -inst_274: -// rs1_val==46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1032, x3) - -inst_275: -// rs1_val==46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb503, 0x6, x1, 1036, x3) - -inst_276: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1040, x3) - -inst_277: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1044, x3) - -inst_278: -// rs1_val==46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1048, x3) - -inst_279: -// rs1_val==46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1052, x3) - -inst_280: -// rs1_val==46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1056, x3) - -inst_281: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1060, x3) - -inst_282: -// rs1_val==46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1064, x3) - -inst_283: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1068, x3) - -inst_284: -// rs1_val==46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1072, x3) - -inst_285: -// rs1_val==46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb503, 0xb504, x1, 1076, x3) - -inst_286: -// rs1_val==46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1080, x3) - -inst_287: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1084, x3) - -inst_288: -// rs1_val==46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1088, x3) - -inst_289: -// rs1_val==46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb503, 0x5, x1, 1092, x3) - -inst_290: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1096, x3) - -inst_291: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1100, x3) - -inst_292: -// rs1_val==46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1104, x3) - -inst_293: -// rs1_val==0 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1108, x3) - -inst_294: -// rs1_val==0 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1112, x3) - -inst_295: -// rs1_val==0 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1116, x3) - -inst_296: -// rs1_val==0 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1120, x3) - -inst_297: -// rs1_val==0 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1124, x3) - -inst_298: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1128, x3) - -inst_299: -// rs1_val==0 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1132, x3) - -inst_300: -// rs1_val==0 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1136, x3) - -inst_301: -// rs1_val==0 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1140, x3) - -inst_302: -// rs1_val==0 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1144, x3) - -inst_303: -// rs1_val==0 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1148, x3) - -inst_304: -// rs1_val==0 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1152, x3) - -inst_305: -// rs1_val==0 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1156, x3) - -inst_306: -// rs1_val==0 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1160, x3) - -inst_307: -// rs1_val==0 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1164, x3) - -inst_308: -// rs1_val==0 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1168, x3) - -inst_309: -// rs1_val==0 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1172, x3) - -inst_310: -// rs1_val==0 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1176, x3) - -inst_311: -// rs1_val==0 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1180, x3) - -inst_312: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1184, x3) - -inst_313: -// rs1_val==0 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1188, x3) - -inst_314: -// rs1_val==0 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1192, x3) - -inst_315: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1196, x3) - -inst_316: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1200, x3) - -inst_317: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1204, x3) - -inst_318: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1208, x3) - -inst_319: -// rs1_val==1717986917 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1212, x3) - -inst_320: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1216, x3) - -inst_321: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1220, x3) - -inst_322: -// rs1_val==1717986917 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1224, x3) - -inst_323: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1228, x3) - -inst_324: -// rs1_val==1717986917 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1232, x3) - -inst_325: -// rs1_val==858993459 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1236, x3) - -inst_326: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1240, x3) - -inst_327: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1244, x3) - -inst_328: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1248, x3) - -inst_329: -// rs1_val==858993459 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1252, x3) - -inst_330: -// rs1_val==858993459 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1256, x3) - -inst_331: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1260, x3) - -inst_332: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1264, x3) - -inst_333: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1268, x3) - -inst_334: -// rs1_val==858993459 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1272, x3) - -inst_335: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1276, x3) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1280, x3) - -inst_337: -// rs1_val==858993459 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1284, x3) - -inst_338: -// rs1_val==5 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x5, 0xb505, x1, 1288, x3) - -inst_339: -// rs1_val==5 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1292, x3) - -inst_340: -// rs1_val==5 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1296, x3) - -inst_341: -// rs1_val==5 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1300, x3) - -inst_342: -// rs1_val==5 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x5, 0x6, x1, 1304, x3) - -inst_343: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1308, x3) - -inst_344: -// rs1_val==5 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1312, x3) - -inst_345: -// rs1_val==5 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1316, x3) - -inst_346: -// rs1_val==5 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x5, 0xb503, x1, 1320, x3) - -inst_347: -// rs1_val==5 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1324, x3) - -inst_348: -// rs1_val==5 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1328, x3) - -inst_349: -// rs1_val==5 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1332, x3) - -inst_350: -// rs1_val==5 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1336, x3) - -inst_351: -// rs1_val==5 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1340, x3) - -inst_352: -// rs1_val==5 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x5, 0xb504, x1, 1344, x3) - -inst_353: -// rs1_val==5 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1348, x3) - -inst_354: -// rs1_val==5 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1352, x3) - -inst_355: -// rs1_val==5 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1356, x3) - -inst_356: -// rs1_val==5 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1360, x3) - -inst_357: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1364, x3) - -inst_358: -// rs1_val==5 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1368, x3) - -inst_359: -// rs1_val==5 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x5, 0x3, x1, 1372, x3) - -inst_360: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1376, x3) - -inst_361: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1380, x3) - -inst_362: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1384, x3) - -inst_363: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1388, x3) - -inst_364: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1392, x3) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1400, x3) - -inst_367: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1404, x3) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1408, x3) - -inst_369: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1412, x3) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1416, x3) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1420, x3) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1424, x3) - -inst_373: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1428, x3) - -inst_374: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1432, x3) - -inst_375: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1436, x3) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1440, x3) - -inst_377: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1444, x3) - -inst_378: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1448, x3) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1452, x3) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1456, x3) - -inst_381: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1460, x3) - -inst_382: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1464, x3) - -inst_383: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1468, x3) - -inst_384: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1472, x3) - -inst_385: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1476, x3) - -inst_386: -// rs1_val==1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1480, x3) - -inst_387: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1484, x3) - -inst_388: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1488, x3) - -inst_389: -// rs1_val==1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1492, x3) - -inst_390: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1496, x3) - -inst_391: -// rs1_val==1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1500, x3) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1504, x3) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1508, x3) - -inst_394: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) - -inst_395: -// rs1_val==1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1516, x3) - -inst_396: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1520, x3) - -inst_397: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1524, x3) - -inst_398: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1528, x3) - -inst_399: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1532, x3) - -inst_400: -// rs1_val==1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1536, x3) - -inst_401: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1540, x3) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1544, x3) - -inst_403: -// rs1_val==1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1548, x3) - -inst_404: -// rs1_val==3 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x3, 0xb505, x1, 1552, x3) - -inst_405: -// rs1_val==3 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1556, x3) - -inst_406: -// rs1_val==3 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1560, x3) - -inst_407: -// rs1_val==3 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1564, x3) - -inst_408: -// rs1_val==3 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x3, 0x6, x1, 1568, x3) - -inst_409: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1572, x3) - -inst_410: -// rs1_val==3 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1576, x3) - -inst_411: -// rs1_val==3 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1580, x3) - -inst_412: -// rs1_val==3 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x3, 0xb503, x1, 1584, x3) - -inst_413: -// rs1_val==3 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1588, x3) - -inst_414: -// rs1_val==3 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1592, x3) - -inst_415: -// rs1_val==3 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1596, x3) - -inst_416: -// rs1_val==3 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1600, x3) - -inst_417: -// rs1_val==3 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) - -inst_418: -// rs1_val==3 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1608, x3) - -inst_419: -// rs1_val==3 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1612, x3) - -inst_420: -// rs1_val==3 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1616, x3) - -inst_421: -// rs1_val==3 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1620, x3) - -inst_422: -// rs1_val==3 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x3, 0x5, x1, 1624, x3) - -inst_423: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1628, x3) - -inst_424: -// rs1_val==3 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1632, x3) - -inst_425: -// rs1_val==3 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1636, x3) - -inst_426: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1640, x3) - -inst_427: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1644, x3) - -inst_428: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1648, x3) - -inst_429: -// rs1_val==1717986917 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1652, x3) - -inst_430: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1656, x3) - -inst_431: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1660, x3) - -inst_432: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1664, x3) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1668, x3) - -inst_434: -// rs1_val==1717986917 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1672, x3) - -inst_435: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1676, x3) - -inst_436: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1680, x3) - -inst_437: -// rs1_val==1717986917 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1684, x3) - -inst_438: -// rs1_val==858993458 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1688, x3) - -inst_439: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1692, x3) - -inst_440: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1696, x3) - -inst_441: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1700, x3) - -inst_442: -// rs1_val==858993458 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1704, x3) - -inst_443: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1708, x3) - -inst_444: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1712, x3) - -inst_445: -// rs1_val==858993458 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1716, x3) - -inst_446: -// rs1_val==858993458 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1720, x3) - -inst_447: -// rs1_val==858993458 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1724, x3) - -inst_448: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1728, x3) - -inst_449: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1732, x3) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1736, x3) - -inst_451: -// rs1_val==858993458 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1740, x3) - -inst_452: -// rs1_val==858993458 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1744, x3) - -inst_453: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1748, x3) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1752, x3) - -inst_455: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1756, x3) - -inst_456: -// rs1_val==858993458 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1760, x3) - -inst_457: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1764, x3) - -inst_458: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1768, x3) - -inst_459: -// rs1_val==858993458 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1772, x3) - -inst_460: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1776, x3) - -inst_461: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1780, x3) - -inst_462: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1784, x3) - -inst_463: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1788, x3) - -inst_464: -// rs1_val==1431655764 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1792, x3) - -inst_465: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1796, x3) - -inst_466: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1800, x3) - -inst_467: -// rs1_val==1431655764 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1804, x3) - -inst_468: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1808, x3) - -inst_469: -// rs1_val==1431655764 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1812, x3) - -inst_470: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1816, x3) - -inst_471: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1820, x3) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1824, x3) - -inst_473: -// rs1_val==1431655764 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1828, x3) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1832, x3) - -inst_475: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1836, x3) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1840, x3) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1844, x3) - -inst_478: -// rs1_val==1431655764 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1848, x3) - -inst_479: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1852, x3) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1856, x3) - -inst_481: -// rs1_val==1431655764 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1860, x3) - -inst_482: -// rs1_val==2 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1864, x3) - -inst_483: -// rs1_val==2 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1868, x3) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1872, x3) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1876, x3) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x2, 0x6, x1, 1880, x3) - -inst_487: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1884, x3) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1888, x3) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1892, x3) - -inst_490: -// rs1_val==2 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x2, 0xb503, x1, 1896, x3) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1900, x3) - -inst_492: -// rs1_val==2 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1904, x3) - -inst_493: -// rs1_val==2 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1908, x3) - -inst_494: -// rs1_val==2 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1912, x3) - -inst_495: -// rs1_val==2 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1916, x3) - -inst_496: -// rs1_val==2 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1920, x3) - -inst_497: -// rs1_val==2 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1924, x3) - -inst_498: -// rs1_val==2 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1928, x3) - -inst_499: -// rs1_val==2 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1932, x3) - -inst_500: -// rs1_val==2 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1936, x3) - -inst_501: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 1940, x3) - -inst_502: -// rs1_val==2 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1944, x3) - -inst_503: -// rs1_val==2 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x2, 0x3, x1, 1948, x3) - -inst_504: -// rs1_val==46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb504, 0xb505, x1, 1952, x3) - -inst_505: -// rs1_val==46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 1956, x3) - -inst_506: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 1960, x3) - -inst_507: -// rs1_val==46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 1964, x3) - -inst_508: -// rs1_val==46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0xb504, 0x6, x1, 1968, x3) - -inst_509: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 1972, x3) - -inst_510: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 1976, x3) - -inst_511: -// rs1_val==46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1980, x3) - -inst_512: -// rs1_val==46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb504, 0xb503, x1, 1984, x3) - -inst_513: -// rs1_val==46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1988, x3) - -inst_514: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 1992, x3) - -inst_515: -// rs1_val==46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 1996, x3) - -inst_516: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 2000, x3) - -inst_517: -// rs1_val==46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2004, x3) - -inst_518: -// rs1_val==46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2008, x3) - -inst_519: -// rs1_val==46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 2012, x3) - -inst_520: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 2016, x3) - -inst_521: -// rs1_val==46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 2020, x3) - -inst_522: -// rs1_val==46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb504, 0x5, x1, 2024, x3) - -inst_523: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 2028, x3) - -inst_524: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 2032, x3) - -inst_525: -// rs1_val==46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2036, x3) - -inst_526: -// rs1_val==-46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 2040, x3) - -inst_527: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 2044, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_528: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 0, x3) - -inst_529: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 4, x3) - -inst_530: -// rs1_val==-46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 8, x3) - -inst_531: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 12, x3) - -inst_532: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 16, x3) - -inst_533: -// rs1_val==-46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 20, x3) - -inst_534: -// rs1_val==-46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 24, x3) - -inst_535: -// rs1_val==-46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 28, x3) - -inst_536: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 32, x3) - -inst_537: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 36, x3) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 40, x3) - -inst_539: -// rs1_val==-46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 44, x3) - -inst_540: -// rs1_val==-46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 48, x3) - -inst_541: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 52, x3) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 56, x3) - -inst_543: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 60, x3) - -inst_544: -// rs1_val==-46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 64, x3) - -inst_545: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 68, x3) - -inst_546: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 72, x3) - -inst_547: -// rs1_val==-46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 76, x3) - -inst_548: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 80, x3) - -inst_549: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 84, x3) - -inst_550: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 88, x3) - -inst_551: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 92, x3) - -inst_552: -// rs1_val==1717986918 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 96, x3) - -inst_553: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 100, x3) - -inst_554: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 104, x3) - -inst_555: -// rs1_val==1717986918 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 108, x3) - -inst_556: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 112, x3) - -inst_557: -// rs1_val==1717986918 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 116, x3) - -inst_558: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 120, x3) - -inst_559: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 124, x3) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 128, x3) - -inst_561: -// rs1_val==1717986918 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 132, x3) - -inst_562: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 136, x3) - -inst_563: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 140, x3) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 144, x3) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 148, x3) - -inst_566: -// rs1_val==1717986918 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 152, x3) - -inst_567: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 156, x3) - -inst_568: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 160, x3) - -inst_569: -// rs1_val==1717986918 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 164, x3) - -inst_570: -// rs1_val==858993459 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 168, x3) - -inst_571: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 172, x3) - -inst_572: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 176, x3) - -inst_573: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 180, x3) - -inst_574: -// rs1_val==858993459 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 184, x3) - -inst_575: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 188, x3) - -inst_576: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 192, x3) - -inst_577: -// rs1_val==858993459 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 196, x3) - -inst_578: -// rs1_val==858993459 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 200, x3) - -inst_579: -// rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000001 -TEST_RR_OP(xor, x12, x10, x11, 0xbffffbff, 0x400, -0x40000001, x1, 204, x3) - -inst_580: -// rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x20000001 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffdfff, 0x2000, -0x20000001, x1, 208, x3) - -inst_581: -// rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffffe, 0x1, -0x10000001, x1, 212, x3) - -inst_582: -// rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x40001 -TEST_RR_OP(xor, x12, x10, x11, 0x50000, -0x10001, -0x40001, x1, 216, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 55*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S deleted file mode 100644 index 00d85cb19..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S +++ /dev/null @@ -1,2880 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xori instruction of the RISC-V E extension for the xori covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x13, imm_val == (-2**(12-1)), rs1_val != imm_val, rs1_val < 0 and imm_val < 0, imm_val == -2048, rs1_val == -131073 -// opcode: xori ; op1:x12; dest:x13; op1val:-0x20001; immval:-0x800 -TEST_IMM_OP( xori, x13, x12, 0x207ff, -0x20001, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val < 0, rs1_val == (2**(xlen-1)-1), imm_val == -1366 -// opcode: xori ; op1:x10; dest:x10; op1val:0x7fffffff; immval:-0x556 -TEST_IMM_OP( xori, x10, x10, 0x80000555, 0x7fffffff, -0x556, x1, 4, x2) - -inst_2: -// rs1==x8, rd==x4, rs1_val == -1073741825, imm_val == -257 -// opcode: xori ; op1:x8; dest:x4; op1val:-0x40000001; immval:-0x101 -TEST_IMM_OP( xori, x4, x8, 0x40000100, -0x40000001, -0x101, x1, 8, x2) - -inst_3: -// rs1==x6, rd==x7, rs1_val == -536870913, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: xori ; op1:x6; dest:x7; op1val:-0x20000001; immval:0x2 -TEST_IMM_OP( xori, x7, x6, 0xdffffffd, -0x20000001, 0x2, x1, 12, x2) - -inst_4: -// rs1==x9, rd==x14, rs1_val == -268435457, -// opcode: xori ; op1:x9; dest:x14; op1val:-0x10000001; immval:0x6 -TEST_IMM_OP( xori, x14, x9, 0xeffffff9, -0x10000001, 0x6, x1, 16, x2) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, -// opcode: xori ; op1:x7; dest:x3; op1val:-0x8000001; immval:-0x2c -TEST_IMM_OP( xori, x3, x7, 0x800002b, -0x8000001, -0x2c, x1, 20, x2) - -inst_6: -// rs1==x11, rd==x15, rs1_val == -67108865, imm_val == 16 -// opcode: xori ; op1:x11; dest:x15; op1val:-0x4000001; immval:0x10 -TEST_IMM_OP( xori, x15, x11, 0xfbffffef, -0x4000001, 0x10, x1, 24, x2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_7: -// rs1==x13, rd==x1, rs1_val == -33554433, -// opcode: xori ; op1:x13; dest:x1; op1val:-0x2000001; immval:0x333 -TEST_IMM_OP( xori, x1, x13, 0xfdfffccc, -0x2000001, 0x333, x6, 0, x7) - -inst_8: -// rs1==x15, rd==x11, rs1_val == -16777217, -// opcode: xori ; op1:x15; dest:x11; op1val:-0x1000001; immval:0x5 -TEST_IMM_OP( xori, x11, x15, 0xfefffffa, -0x1000001, 0x5, x6, 4, x7) - -inst_9: -// rs1==x4, rd==x8, rs1_val == -8388609, imm_val == -65 -// opcode: xori ; op1:x4; dest:x8; op1val:-0x800001; immval:-0x41 -TEST_IMM_OP( xori, x8, x4, 0x800040, -0x800001, -0x41, x6, 8, x7) - -inst_10: -// rs1==x14, rd==x9, rs1_val == -4194305, -// opcode: xori ; op1:x14; dest:x9; op1val:-0x400001; immval:0x7 -TEST_IMM_OP( xori, x9, x14, 0xffbffff8, -0x400001, 0x7, x6, 12, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: xori ; op1:x1; dest:x2; op1val:-0x200001; immval:-0xa -TEST_IMM_OP( xori, x2, x1, 0x200009, -0x200001, -0xa, x6, 16, x7) - -inst_12: -// rs1==x3, rd==x5, rs1_val == -1048577, -// opcode: xori ; op1:x3; dest:x5; op1val:-0x100001; immval:0x665 -TEST_IMM_OP( xori, x5, x3, 0xffeff99a, -0x100001, 0x665, x6, 20, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, -// opcode: xori ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( xori, x12, x5, 0x80003, -0x80001, -0x4, x6, 24, x4) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_14: -// rs1==x2, rd==x6, rs1_val == -262145, -// opcode: xori ; op1:x2; dest:x6; op1val:-0x40001; immval:0x2e -TEST_IMM_OP( xori, x6, x2, 0xfffbffd1, -0x40001, 0x2e, x3, 0, x4) - -inst_15: -// rs1==x0, rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x0; dest:x1; op1val:0x0; immval:0x200 -TEST_IMM_OP( xori, x1, x0, 0x200, 0x0, 0x200, x3, 4, x4) - -inst_16: -// rd==x0, rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x12; dest:x0; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x0, x12, 0, -0x8001, 0x0, x3, 8, x4) - -inst_17: -// rs1_val == -16385, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x1 -TEST_IMM_OP( xori, x11, x10, 0x4000, -0x4001, -0x1, x3, 12, x4) - -inst_18: -// rs1_val == -8193, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x4 -TEST_IMM_OP( xori, x11, x10, 0x2003, -0x2001, -0x4, x3, 16, x4) - -inst_19: -// rs1_val == -4097, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffffefd1, -0x1001, 0x2e, x3, 20, x4) - -inst_20: -// rs1_val == -2049, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x801; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffff2ab, -0x801, 0x554, x3, 24, x4) - -inst_21: -// rs1_val == -1025, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xfffffbf9, -0x401, 0x6, x3, 28, x4) - -inst_22: -// rs1_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( xori, x11, x10, 0x205, -0x201, -0x6, x3, 32, x4) - -inst_23: -// rs1_val == -257, rs1_val == imm_val -// opcode: xori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x101 -TEST_IMM_OP( xori, x11, x10, 0x0, -0x101, -0x101, x3, 36, x4) - -inst_24: -// rs1_val == -129, imm_val == -1025 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x401 -TEST_IMM_OP( xori, x11, x10, 0x480, -0x81, -0x401, x3, 40, x4) - -inst_25: -// rs1_val == -65, imm_val == 1 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x41; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0xffffffbe, -0x41, 0x1, x3, 44, x4) - -inst_26: -// rs1_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x21; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffffffdc, -0x21, 0x3, x3, 48, x4) - -inst_27: -// rs1_val == -17, imm_val == -3 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0x12, -0x11, -0x3, x3, 52, x4) - -inst_28: -// rs1_val == -9, imm_val == 1365 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x9; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa2, -0x9, 0x555, x3, 56, x4) - -inst_29: -// rs1_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, -0x5, 0x554, x3, 60, x4) - -inst_30: -// rs1_val == -3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, -0x3, 0x556, x3, 64, x4) - -inst_31: -// rs1_val == -2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, -0x2, 0x2c, x3, 68, x4) - -inst_32: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val > 0 and imm_val > 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x66666199, 0x66666666, 0x7ff, x3, 72, x4) - -inst_33: -// imm_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0x1200, -0x1001, -0x201, x3, 76, x4) - -inst_34: -// imm_val == -129, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x20001; immval:-0x81 -TEST_IMM_OP( xori, x11, x10, 0x20080, -0x20001, -0x81, x3, 80, x4) - -inst_35: -// imm_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x21 -TEST_IMM_OP( xori, x11, x10, 0x100020, -0x100001, -0x21, x3, 84, x4) - -inst_36: -// imm_val == -17, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0x11, -0x2, -0x11, x3, 88, x4) - -inst_37: -// imm_val == -9, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x9 -TEST_IMM_OP( xori, x11, x10, 0x100008, -0x100001, -0x9, x3, 92, x4) - -inst_38: -// imm_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x5 -TEST_IMM_OP( xori, x11, x10, 0x2004, -0x2001, -0x5, x3, 96, x4) - -inst_39: -// imm_val == -2, rs1_val == 262144 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffbfffe, 0x40000, -0x2, x3, 100, x4) - -inst_40: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xori ; op1:x10; dest:x11; op1val:-0x80000000; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0x7ffffff8, -0x80000000, -0x8, x3, 104, x4) - -inst_41: -// rs1_val == 1073741824, imm_val == 64 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000000; immval:0x40 -TEST_IMM_OP( xori, x11, x10, 0x40000040, 0x40000000, 0x40, x3, 108, x4) - -inst_42: -// rs1_val == 536870912, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0x20000001, 0x20000000, 0x1, x3, 112, x4) - -inst_43: -// rs1_val == 268435456, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000000; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x10000006, 0x10000000, 0x6, x3, 116, x4) - -inst_44: -// rs1_val == 134217728, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000000; immval:0x3ff -TEST_IMM_OP( xori, x11, x10, 0x80003ff, 0x8000000, 0x3ff, x3, 120, x4) - -inst_45: -// rs1_val == 67108864, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x4000005, 0x4000000, 0x5, x3, 124, x4) - -inst_46: -// rs1_val == 33554432, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xfdffffd3, 0x2000000, -0x2d, x3, 128, x4) - -inst_47: -// rs1_val == 16777216, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1000003, 0x1000000, 0x3, x3, 132, x4) - -inst_48: -// rs1_val == 8388608, -// opcode: xori ; op1:x10; dest:x11; op1val:0x800000; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x80002e, 0x800000, 0x2e, x3, 136, x4) - -inst_49: -// rs1_val == 4194304, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400000; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0xffbffffd, 0x400000, -0x3, x3, 140, x4) - -inst_50: -// rs1_val == 2097152, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200000; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffdfffd4, 0x200000, -0x2c, x3, 144, x4) - -inst_51: -// rs1_val == 1048576, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100000; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x100554, 0x100000, 0x554, x3, 148, x4) - -inst_52: -// rs1_val == 524288, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0xfff7fdff, 0x80000, -0x201, x3, 152, x4) - -inst_53: -// rs1_val == 131072, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffdfffe, 0x20000, -0x2, x3, 156, x4) - -inst_54: -// rs1_val == 65536, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x10003, 0x10000, 0x3, x3, 160, x4) - -inst_55: -// rs1_val == 32768, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x87ff, 0x8000, 0x7ff, x3, 164, x4) - -inst_56: -// rs1_val == 16384, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x41 -TEST_IMM_OP( xori, x11, x10, 0xffffbfbf, 0x4000, -0x41, x3, 168, x4) - -inst_57: -// rs1_val == 8192, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7 -TEST_IMM_OP( xori, x11, x10, 0x2007, 0x2000, 0x7, x3, 172, x4) - -inst_58: -// rs1_val == 4096, imm_val == 4 -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1004, 0x1000, 0x4, x3, 176, x4) - -inst_59: -// rs1_val == 2048, imm_val == 1024 -// opcode: xori ; op1:x10; dest:x11; op1val:0x800; immval:0x400 -TEST_IMM_OP( xori, x11, x10, 0xc00, 0x800, 0x400, x3, 180, x4) - -inst_60: -// rs1_val == 1024, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x267, 0x400, 0x667, x3, 184, x4) - -inst_61: -// rs1_val == 512, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x204, 0x200, 0x4, x3, 188, x4) - -inst_62: -// rs1_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffef8, 0x100, -0x8, x3, 192, x4) - -inst_63: -// rs1_val == 128, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xffffff7e, 0x80, -0x2, x3, 196, x4) - -inst_64: -// rs1_val == 64, -// opcode: xori ; op1:x10; dest:x11; op1val:0x40; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x40, 0x40, 0x0, x3, 200, x4) - -inst_65: -// rs1_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x20, 0x20, 0x0, x3, 204, x4) - -inst_66: -// rs1_val == 16, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x15, 0x10, 0x5, x3, 208, x4) - -inst_67: -// rs1_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb, 0x8, 0x3, x3, 212, x4) - -inst_68: -// rs1_val == 4, rs1_val==4 and imm_val==-45 -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 216, x4) - -inst_69: -// rs1_val == 2, rs1_val==2 and imm_val==1364 -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x2, 0x554, x3, 220, x4) - -inst_70: -// rs1_val == 1, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0xffffffee, 0x1, -0x11, x3, 224, x4) - -inst_71: -// imm_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3fffffff; immval:0x100 -TEST_IMM_OP( xori, x11, x10, 0x3ffffeff, 0x3fffffff, 0x100, x3, 228, x4) - -inst_72: -// imm_val == 128, rs1_val == -1431655766 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x80 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa2a, -0x55555556, 0x80, x3, 232, x4) - -inst_73: -// imm_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:0x20 -TEST_IMM_OP( xori, x11, x10, 0x21, 0x1, 0x20, x3, 236, x4) - -inst_74: -// imm_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x7; immval:0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffff1, -0x7, 0x8, x3, 240, x4) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52b, 0xb505, 0x2e, x3, 244, x4) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, 0xb505, -0x2c, x3, 248, x4) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb505, 0x667, x3, 252, x4) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb505, 0x334, x3, 256, x4) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb505, 0x6, x3, 260, x4) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb505, -0x555, x3, 264, x4) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb053, 0xb505, 0x556, x3, 268, x4) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb505, 0x4, x3, 272, x4) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb505, 0x2c, x3, 276, x4) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb505, 0x0, x3, 280, x4) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb360, 0xb505, 0x665, x3, 284, x4) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb505, 0x332, x3, 288, x4) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb505, 0x554, x3, 292, x4) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb505, 0x2, x3, 296, x4) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb505, 0x2d, x3, 300, x4) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad6, 0xb505, -0x2d, x3, 304, x4) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb505, 0x666, x3, 308, x4) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb505, 0x333, x3, 312, x4) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb505, 0x5, x3, 316, x4) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb505, -0x556, x3, 320, x4) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb505, 0x555, x3, 324, x4) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb505, 0x3, x3, 328, x4) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad3, -0xb503, 0x2e, x3, 332, x4) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, -0xb503, -0x2c, x3, 336, x4) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb503, 0x667, x3, 340, x4) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c9, -0xb503, 0x334, x3, 344, x4) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afb, -0xb503, 0x6, x3, 348, x4) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb503, -0x555, x3, 352, x4) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fab, -0xb503, 0x556, x3, 356, x4) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb503, 0x4, x3, 360, x4) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb503, 0x2c, x3, 364, x4) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 368, x4) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c98, -0xb503, 0x665, x3, 372, x4) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb503, 0x332, x3, 376, x4) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb503, 0x554, x3, 380, x4) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 384, x4) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb503, 0x2d, x3, 388, x4) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, -0xb503, -0x2d, x3, 392, x4) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb503, 0x666, x3, 396, x4) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb503, 0x333, x3, 400, x4) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb503, 0x5, x3, 404, x4) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb503, -0x556, x3, 408, x4) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb503, 0x555, x3, 412, x4) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb503, 0x3, x3, 416, x4) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666667, 0x2e, x3, 420, x4) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b3, 0x66666667, -0x2c, x3, 424, x4) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666667, 0x667, x3, 428, x4) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666553, 0x66666667, 0x334, x3, 432, x4) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666667, 0x6, x3, 436, x4) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666667, -0x555, x3, 440, x4) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666667, 0x556, x3, 444, x4) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666667, 0x4, x3, 448, x4) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666667, 0x2c, x3, 452, x4) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 456, x4) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666667, 0x665, x3, 460, x4) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666667, 0x332, x3, 464, x4) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666667, 0x554, x3, 468, x4) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666667, 0x2, x3, 472, x4) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666667, 0x2d, x3, 476, x4) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b4, 0x66666667, -0x2d, x3, 480, x4) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666667, 0x666, x3, 484, x4) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666667, 0x333, x3, 488, x4) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666667, 0x5, x3, 492, x4) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666667, -0x556, x3, 496, x4) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666667, 0x555, x3, 500, x4) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666667, 0x3, x3, 504, x4) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331a, 0x33333334, 0x2e, x3, 508, x4) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333334, -0x2c, x3, 512, x4) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333553, 0x33333334, 0x667, x3, 516, x4) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333334, 0x334, x3, 520, x4) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333334, 0x6, x3, 524, x4) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc99f, 0x33333334, -0x555, x3, 528, x4) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333662, 0x33333334, 0x556, x3, 532, x4) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333334, 0x4, x3, 536, x4) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x33333318, 0x33333334, 0x2c, x3, 540, x4) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 544, x4) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333551, 0x33333334, 0x665, x3, 548, x4) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333334, 0x332, x3, 552, x4) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333660, 0x33333334, 0x554, x3, 556, x4) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 560, x4) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x33333319, 0x33333334, 0x2d, x3, 564, x4) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333334, -0x2d, x3, 568, x4) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333552, 0x33333334, 0x666, x3, 572, x4) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333334, 0x333, x3, 576, x4) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333334, 0x5, x3, 580, x4) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc99e, 0x33333334, -0x556, x3, 584, x4) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333661, 0x33333334, 0x555, x3, 588, x4) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 592, x4) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x28, 0x6, 0x2e, x3, 596, x4) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, 0x6, -0x2c, x3, 600, x4) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x6, 0x667, x3, 604, x4) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x6, 0x334, x3, 608, x4) - -inst_167: -// rs1_val==6 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x6, 0x6, x3, 612, x4) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaad, 0x6, -0x555, x3, 616, x4) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x6, 0x556, x3, 620, x4) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x6, 0x4, x3, 624, x4) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x6, 0x2c, x3, 628, x4) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x6, 0x0, x3, 632, x4) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x6, 0x665, x3, 636, x4) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x6, 0x332, x3, 640, x4) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x6, 0x554, x3, 644, x4) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x6, 0x2, x3, 648, x4) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x6, 0x2d, x3, 652, x4) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd5, 0x6, -0x2d, x3, 656, x4) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x6, 0x666, x3, 660, x4) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x335, 0x6, 0x333, x3, 664, x4) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x6, 0x5, x3, 668, x4) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaac, 0x6, -0x556, x3, 672, x4) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x6, 0x555, x3, 676, x4) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x6, 0x3, x3, 680, x4) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, -0x55555555, 0x2e, x3, 684, x4) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557f, -0x55555555, -0x2c, x3, 688, x4) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555555, 0x667, x3, 692, x4) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99f, -0x55555555, 0x334, x3, 696, x4) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaad, -0x55555555, 0x6, x3, 700, x4) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555555, -0x555, x3, 704, x4) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, -0x55555555, 0x556, x3, 708, x4) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 712, x4) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555555, 0x2c, x3, 716, x4) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 720, x4) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaacce, -0x55555555, 0x665, x3, 724, x4) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555555, 0x332, x3, 728, x4) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 732, x4) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555555, 0x2, x3, 736, x4) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555555, 0x2d, x3, 740, x4) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, -0x55555555, -0x2d, x3, 744, x4) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555555, 0x666, x3, 748, x4) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555555, 0x333, x3, 752, x4) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555555, 0x5, x3, 756, x4) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555555, -0x556, x3, 760, x4) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555555, 0x555, x3, 764, x4) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555555, 0x3, x3, 768, x4) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555556, 0x2e, x3, 772, x4) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa82, 0x55555556, -0x2c, x3, 776, x4) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555556, 0x667, x3, 780, x4) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555662, 0x55555556, 0x334, x3, 784, x4) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555556, 0x6, x3, 788, x4) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, 0x55555556, -0x555, x3, 792, x4) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555556, 0x556, x3, 796, x4) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555556, 0x4, x3, 800, x4) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555556, 0x2c, x3, 804, x4) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 808, x4) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555556, 0x665, x3, 812, x4) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555664, 0x55555556, 0x332, x3, 816, x4) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555556, 0x554, x3, 820, x4) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555556, 0x2, x3, 824, x4) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555556, 0x2d, x3, 828, x4) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, 0x55555556, -0x2d, x3, 832, x4) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555556, 0x666, x3, 836, x4) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555665, 0x55555556, 0x333, x3, 840, x4) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555556, 0x5, x3, 844, x4) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, 0x55555556, -0x556, x3, 848, x4) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555556, 0x555, x3, 852, x4) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555556, 0x3, x3, 856, x4) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x4, 0x2e, x3, 860, x4) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x4, -0x2c, x3, 864, x4) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x4, 0x667, x3, 868, x4) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x4, 0x334, x3, 872, x4) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x4, 0x6, x3, 876, x4) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 880, x4) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x4, 0x556, x3, 884, x4) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x4, 0x4, x3, 888, x4) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x28, 0x4, 0x2c, x3, 892, x4) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x4, 0x0, x3, 896, x4) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x4, 0x665, x3, 900, x4) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x4, 0x332, x3, 904, x4) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x4, 0x554, x3, 908, x4) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x4, 0x2, x3, 912, x4) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x29, 0x4, 0x2d, x3, 916, x4) - -inst_244: -// rs1_val==4 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x4, 0x666, x3, 920, x4) - -inst_245: -// rs1_val==4 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x4, 0x333, x3, 924, x4) - -inst_246: -// rs1_val==4 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x4, 0x5, x3, 928, x4) - -inst_247: -// rs1_val==4 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 932, x4) - -inst_248: -// rs1_val==4 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x4, 0x555, x3, 936, x4) - -inst_249: -// rs1_val==4 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x4, 0x3, x3, 940, x4) - -inst_250: -// rs1_val==46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52d, 0xb503, 0x2e, x3, 944, x4) - -inst_251: -// rs1_val==46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb503, -0x2c, x3, 948, x4) - -inst_252: -// rs1_val==46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb364, 0xb503, 0x667, x3, 952, x4) - -inst_253: -// rs1_val==46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb503, 0x334, x3, 956, x4) - -inst_254: -// rs1_val==46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb503, 0x6, x3, 960, x4) - -inst_255: -// rs1_val==46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, 0xb503, -0x555, x3, 964, x4) - -inst_256: -// rs1_val==46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb055, 0xb503, 0x556, x3, 968, x4) - -inst_257: -// rs1_val==46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb503, 0x4, x3, 972, x4) - -inst_258: -// rs1_val==46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 976, x4) - -inst_259: -// rs1_val==46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb503, 0x0, x3, 980, x4) - -inst_260: -// rs1_val==46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb366, 0xb503, 0x665, x3, 984, x4) - -inst_261: -// rs1_val==46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb503, 0x332, x3, 988, x4) - -inst_262: -// rs1_val==46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb057, 0xb503, 0x554, x3, 992, x4) - -inst_263: -// rs1_val==46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb503, 0x2, x3, 996, x4) - -inst_264: -// rs1_val==46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, 0xb503, 0x2d, x3, 1000, x4) - -inst_265: -// rs1_val==46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb503, -0x2d, x3, 1004, x4) - -inst_266: -// rs1_val==46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb365, 0xb503, 0x666, x3, 1008, x4) - -inst_267: -// rs1_val==46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb503, 0x333, x3, 1012, x4) - -inst_268: -// rs1_val==46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb503, 0x5, x3, 1016, x4) - -inst_269: -// rs1_val==46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, 0xb503, -0x556, x3, 1020, x4) - -inst_270: -// rs1_val==46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, 0xb503, 0x555, x3, 1024, x4) - -inst_271: -// rs1_val==46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb503, 0x3, x3, 1028, x4) - -inst_272: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1032, x4) - -inst_273: -// rs1_val==0 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1036, x4) - -inst_274: -// rs1_val==0 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x0, 0x667, x3, 1040, x4) - -inst_275: -// rs1_val==0 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x0, 0x334, x3, 1044, x4) - -inst_276: -// rs1_val==0 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x0, 0x6, x3, 1048, x4) - -inst_277: -// rs1_val==0 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1052, x4) - -inst_278: -// rs1_val==0 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x0, 0x556, x3, 1056, x4) - -inst_279: -// rs1_val==0 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x0, 0x4, x3, 1060, x4) - -inst_280: -// rs1_val==0 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1064, x4) - -inst_281: -// rs1_val==0 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x0, 0x0, x3, 1068, x4) - -inst_282: -// rs1_val==0 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x0, 0x665, x3, 1072, x4) - -inst_283: -// rs1_val==0 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x0, 0x332, x3, 1076, x4) - -inst_284: -// rs1_val==0 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x0, 0x554, x3, 1080, x4) - -inst_285: -// rs1_val==0 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x0, 0x2, x3, 1084, x4) - -inst_286: -// rs1_val==0 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1088, x4) - -inst_287: -// rs1_val==0 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1092, x4) - -inst_288: -// rs1_val==0 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x0, 0x666, x3, 1096, x4) - -inst_289: -// rs1_val==0 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x333, 0x0, 0x333, x3, 1100, x4) - -inst_290: -// rs1_val==0 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x0, 0x5, x3, 1104, x4) - -inst_291: -// rs1_val==0 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1108, x4) - -inst_292: -// rs1_val==0 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x0, 0x555, x3, 1112, x4) - -inst_293: -// rs1_val==0 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x0, 0x3, x3, 1116, x4) - -inst_294: -// rs1_val==1717986917 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666665, 0x2e, x3, 1120, x4) - -inst_295: -// rs1_val==1717986917 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b1, 0x66666665, -0x2c, x3, 1124, x4) - -inst_296: -// rs1_val==1717986917 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666665, 0x667, x3, 1128, x4) - -inst_297: -// rs1_val==1717986917 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666551, 0x66666665, 0x334, x3, 1132, x4) - -inst_298: -// rs1_val==1717986917 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666665, 0x6, x3, 1136, x4) - -inst_299: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999cce, 0x66666665, -0x555, x3, 1140, x4) - -inst_300: -// rs1_val==1717986917 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666665, 0x556, x3, 1144, x4) - -inst_301: -// rs1_val==1717986917 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666665, 0x4, x3, 1148, x4) - -inst_302: -// rs1_val==1717986917 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666665, 0x2c, x3, 1152, x4) - -inst_303: -// rs1_val==-1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555556, -0x555, x3, 1156, x4) - -inst_304: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, -0x55555556, 0x556, x3, 1160, x4) - -inst_305: -// rs1_val==-1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1164, x4) - -inst_306: -// rs1_val==-1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555556, 0x2c, x3, 1168, x4) - -inst_307: -// rs1_val==-1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1172, x4) - -inst_308: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccf, -0x55555556, 0x665, x3, 1176, x4) - -inst_309: -// rs1_val==-1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555556, 0x332, x3, 1180, x4) - -inst_310: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1184, x4) - -inst_311: -// rs1_val==-1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555556, 0x2, x3, 1188, x4) - -inst_312: -// rs1_val==-1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555556, 0x2d, x3, 1192, x4) - -inst_313: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, -0x55555556, -0x2d, x3, 1196, x4) - -inst_314: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555556, 0x666, x3, 1200, x4) - -inst_315: -// rs1_val==-1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555556, 0x333, x3, 1204, x4) - -inst_316: -// rs1_val==-1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1208, x4) - -inst_317: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555556, -0x556, x3, 1212, x4) - -inst_318: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1216, x4) - -inst_319: -// rs1_val==-1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555556, 0x3, x3, 1220, x4) - -inst_320: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555555, 0x2e, x3, 1224, x4) - -inst_321: -// rs1_val==1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa81, 0x55555555, -0x2c, x3, 1228, x4) - -inst_322: -// rs1_val==1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555555, 0x667, x3, 1232, x4) - -inst_323: -// rs1_val==1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555661, 0x55555555, 0x334, x3, 1236, x4) - -inst_324: -// rs1_val==1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555555, 0x6, x3, 1240, x4) - -inst_325: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555555, -0x555, x3, 1244, x4) - -inst_326: -// rs1_val==1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555555, 0x556, x3, 1248, x4) - -inst_327: -// rs1_val==1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555555, 0x4, x3, 1252, x4) - -inst_328: -// rs1_val==1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555555, 0x2c, x3, 1256, x4) - -inst_329: -// rs1_val==1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1260, x4) - -inst_330: -// rs1_val==1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555555, 0x665, x3, 1264, x4) - -inst_331: -// rs1_val==1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555555, 0x332, x3, 1268, x4) - -inst_332: -// rs1_val==1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555555, 0x554, x3, 1272, x4) - -inst_333: -// rs1_val==1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1276, x4) - -inst_334: -// rs1_val==1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555555, 0x2d, x3, 1280, x4) - -inst_335: -// rs1_val==1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, 0x55555555, -0x2d, x3, 1284, x4) - -inst_336: -// rs1_val==1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555555, 0x666, x3, 1288, x4) - -inst_337: -// rs1_val==1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555555, 0x333, x3, 1292, x4) - -inst_338: -// rs1_val==1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555555, 0x5, x3, 1296, x4) - -inst_339: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555555, -0x556, x3, 1300, x4) - -inst_340: -// rs1_val==1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555555, 0x555, x3, 1304, x4) - -inst_341: -// rs1_val==1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555555, 0x3, x3, 1308, x4) - -inst_342: -// rs1_val==3 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x3, 0x2e, x3, 1312, x4) - -inst_343: -// rs1_val==3 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1316, x4) - -inst_344: -// rs1_val==3 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x3, 0x667, x3, 1320, x4) - -inst_345: -// rs1_val==3 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x3, 0x334, x3, 1324, x4) - -inst_346: -// rs1_val==3 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x3, 0x6, x3, 1328, x4) - -inst_347: -// rs1_val==3 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x3, -0x555, x3, 1332, x4) - -inst_348: -// rs1_val==3 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x3, 0x556, x3, 1336, x4) - -inst_349: -// rs1_val==3 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x3, 0x4, x3, 1340, x4) - -inst_350: -// rs1_val==3 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1344, x4) - -inst_351: -// rs1_val==3 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x3, 0x0, x3, 1348, x4) - -inst_352: -// rs1_val==3 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x3, 0x665, x3, 1352, x4) - -inst_353: -// rs1_val==3 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x3, 0x332, x3, 1356, x4) - -inst_354: -// rs1_val==3 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x3, 0x554, x3, 1360, x4) - -inst_355: -// rs1_val==3 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x3, 0x2, x3, 1364, x4) - -inst_356: -// rs1_val==3 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x3, 0x2d, x3, 1368, x4) - -inst_357: -// rs1_val==3 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x3, -0x2d, x3, 1372, x4) - -inst_358: -// rs1_val==3 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x3, 0x666, x3, 1376, x4) - -inst_359: -// rs1_val==3 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x3, 0x333, x3, 1380, x4) - -inst_360: -// rs1_val==3 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x3, 0x5, x3, 1384, x4) - -inst_361: -// rs1_val==3 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x3, -0x556, x3, 1388, x4) - -inst_362: -// rs1_val==3 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x3, 0x555, x3, 1392, x4) - -inst_363: -// rs1_val==3 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x3, 0x3, x3, 1396, x4) - -inst_364: -// rs1_val==1717986917 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1400, x4) - -inst_365: -// rs1_val==1717986917 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666665, 0x665, x3, 1404, x4) - -inst_366: -// rs1_val==1717986917 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666557, 0x66666665, 0x332, x3, 1408, x4) - -inst_367: -// rs1_val==1717986917 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666665, 0x554, x3, 1412, x4) - -inst_368: -// rs1_val==1717986917 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1416, x4) - -inst_369: -// rs1_val==1717986917 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666665, 0x2d, x3, 1420, x4) - -inst_370: -// rs1_val==1717986917 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b6, 0x66666665, -0x2d, x3, 1424, x4) - -inst_371: -// rs1_val==1717986917 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666665, 0x666, x3, 1428, x4) - -inst_372: -// rs1_val==1717986917 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666556, 0x66666665, 0x333, x3, 1432, x4) - -inst_373: -// rs1_val==1717986917 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666665, 0x5, x3, 1436, x4) - -inst_374: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccf, 0x66666665, -0x556, x3, 1440, x4) - -inst_375: -// rs1_val==1717986917 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666665, 0x555, x3, 1444, x4) - -inst_376: -// rs1_val==1717986917 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666665, 0x3, x3, 1448, x4) - -inst_377: -// rs1_val==858993458 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331c, 0x33333332, 0x2e, x3, 1452, x4) - -inst_378: -// rs1_val==858993458 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce6, 0x33333332, -0x2c, x3, 1456, x4) - -inst_379: -// rs1_val==858993458 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333332, 0x667, x3, 1460, x4) - -inst_380: -// rs1_val==858993458 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333332, 0x334, x3, 1464, x4) - -inst_381: -// rs1_val==858993458 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333332, 0x6, x3, 1468, x4) - -inst_382: -// rs1_val==858993458 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333332, -0x555, x3, 1472, x4) - -inst_383: -// rs1_val==858993458 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333664, 0x33333332, 0x556, x3, 1476, x4) - -inst_384: -// rs1_val==858993458 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1480, x4) - -inst_385: -// rs1_val==858993458 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333332, 0x2c, x3, 1484, x4) - -inst_386: -// rs1_val==858993458 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1488, x4) - -inst_387: -// rs1_val==858993458 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333557, 0x33333332, 0x665, x3, 1492, x4) - -inst_388: -// rs1_val==858993458 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333332, 0x332, x3, 1496, x4) - -inst_389: -// rs1_val==858993458 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333332, 0x554, x3, 1500, x4) - -inst_390: -// rs1_val==858993458 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333332, 0x2, x3, 1504, x4) - -inst_391: -// rs1_val==858993458 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333332, 0x2d, x3, 1508, x4) - -inst_392: -// rs1_val==858993458 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce1, 0x33333332, -0x2d, x3, 1512, x4) - -inst_393: -// rs1_val==858993458 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333332, 0x666, x3, 1516, x4) - -inst_394: -// rs1_val==858993458 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333332, 0x333, x3, 1520, x4) - -inst_395: -// rs1_val==858993458 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1524, x4) - -inst_396: -// rs1_val==858993458 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333332, -0x556, x3, 1528, x4) - -inst_397: -// rs1_val==858993458 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333332, 0x555, x3, 1532, x4) - -inst_398: -// rs1_val==858993458 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333332, 0x3, x3, 1536, x4) - -inst_399: -// rs1_val==1431655764 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555554, 0x2e, x3, 1540, x4) - -inst_400: -// rs1_val==1431655764 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa80, 0x55555554, -0x2c, x3, 1544, x4) - -inst_401: -// rs1_val==1431655764 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555554, 0x667, x3, 1548, x4) - -inst_402: -// rs1_val==1431655764 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555660, 0x55555554, 0x334, x3, 1552, x4) - -inst_403: -// rs1_val==1431655764 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555554, 0x6, x3, 1556, x4) - -inst_404: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555554, -0x555, x3, 1560, x4) - -inst_405: -// rs1_val==1431655764 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555554, 0x556, x3, 1564, x4) - -inst_406: -// rs1_val==1431655764 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555554, 0x4, x3, 1568, x4) - -inst_407: -// rs1_val==1431655764 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555554, 0x2c, x3, 1572, x4) - -inst_408: -// rs1_val==1431655764 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1576, x4) - -inst_409: -// rs1_val==1431655764 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555554, 0x665, x3, 1580, x4) - -inst_410: -// rs1_val==1431655764 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555554, 0x332, x3, 1584, x4) - -inst_411: -// rs1_val==1431655764 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555554, 0x554, x3, 1588, x4) - -inst_412: -// rs1_val==1431655764 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1592, x4) - -inst_413: -// rs1_val==1431655764 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555554, 0x2d, x3, 1596, x4) - -inst_414: -// rs1_val==1431655764 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, 0x55555554, -0x2d, x3, 1600, x4) - -inst_415: -// rs1_val==1431655764 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555554, 0x666, x3, 1604, x4) - -inst_416: -// rs1_val==1431655764 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555554, 0x333, x3, 1608, x4) - -inst_417: -// rs1_val==1431655764 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555554, 0x5, x3, 1612, x4) - -inst_418: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555554, -0x556, x3, 1616, x4) - -inst_419: -// rs1_val==1431655764 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555554, 0x555, x3, 1620, x4) - -inst_420: -// rs1_val==1431655764 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1624, x4) - -inst_421: -// rs1_val==2 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x2, 0x2e, x3, 1628, x4) - -inst_422: -// rs1_val==2 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1632, x4) - -inst_423: -// rs1_val==2 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x2, 0x667, x3, 1636, x4) - -inst_424: -// rs1_val==2 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x2, 0x334, x3, 1640, x4) - -inst_425: -// rs1_val==2 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x2, 0x6, x3, 1644, x4) - -inst_426: -// rs1_val==2 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x2, -0x555, x3, 1648, x4) - -inst_427: -// rs1_val==2 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x2, 0x556, x3, 1652, x4) - -inst_428: -// rs1_val==2 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x2, 0x4, x3, 1656, x4) - -inst_429: -// rs1_val==2 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1660, x4) - -inst_430: -// rs1_val==2 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x2, 0x0, x3, 1664, x4) - -inst_431: -// rs1_val==2 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x2, 0x665, x3, 1668, x4) - -inst_432: -// rs1_val==2 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x2, 0x332, x3, 1672, x4) - -inst_433: -// rs1_val==2 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x2, 0x2, x3, 1676, x4) - -inst_434: -// rs1_val==2 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1680, x4) - -inst_435: -// rs1_val==2 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x2, -0x2d, x3, 1684, x4) - -inst_436: -// rs1_val==2 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x2, 0x666, x3, 1688, x4) - -inst_437: -// rs1_val==2 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x2, 0x333, x3, 1692, x4) - -inst_438: -// rs1_val==2 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x2, 0x5, x3, 1696, x4) - -inst_439: -// rs1_val==2 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x2, -0x556, x3, 1700, x4) - -inst_440: -// rs1_val==2 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x2, 0x555, x3, 1704, x4) - -inst_441: -// rs1_val==2 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x2, 0x3, x3, 1708, x4) - -inst_442: -// rs1_val==46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52a, 0xb504, 0x2e, x3, 1712, x4) - -inst_443: -// rs1_val==46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb504, -0x2c, x3, 1716, x4) - -inst_444: -// rs1_val==46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb504, 0x667, x3, 1720, x4) - -inst_445: -// rs1_val==46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb504, 0x334, x3, 1724, x4) - -inst_446: -// rs1_val==46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb502, 0xb504, 0x6, x3, 1728, x4) - -inst_447: -// rs1_val==46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb504, -0x555, x3, 1732, x4) - -inst_448: -// rs1_val==46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb052, 0xb504, 0x556, x3, 1736, x4) - -inst_449: -// rs1_val==46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb504, 0x4, x3, 1740, x4) - -inst_450: -// rs1_val==46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb504, 0x2c, x3, 1744, x4) - -inst_451: -// rs1_val==46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1748, x4) - -inst_452: -// rs1_val==46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb361, 0xb504, 0x665, x3, 1752, x4) - -inst_453: -// rs1_val==46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb504, 0x332, x3, 1756, x4) - -inst_454: -// rs1_val==46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb504, 0x554, x3, 1760, x4) - -inst_455: -// rs1_val==46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1764, x4) - -inst_456: -// rs1_val==46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb504, 0x2d, x3, 1768, x4) - -inst_457: -// rs1_val==46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb504, -0x2d, x3, 1772, x4) - -inst_458: -// rs1_val==46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb504, 0x666, x3, 1776, x4) - -inst_459: -// rs1_val==46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb504, 0x333, x3, 1780, x4) - -inst_460: -// rs1_val==46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb504, 0x5, x3, 1784, x4) - -inst_461: -// rs1_val==46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb504, -0x556, x3, 1788, x4) - -inst_462: -// rs1_val==46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb504, 0x555, x3, 1792, x4) - -inst_463: -// rs1_val==46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1796, x4) - -inst_464: -// rs1_val==-46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad2, -0xb504, 0x2e, x3, 1800, x4) - -inst_465: -// rs1_val==-46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, -0xb504, -0x2c, x3, 1804, x4) - -inst_466: -// rs1_val==-46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb504, 0x667, x3, 1808, x4) - -inst_467: -// rs1_val==-46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c8, -0xb504, 0x334, x3, 1812, x4) - -inst_468: -// rs1_val==-46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afa, -0xb504, 0x6, x3, 1816, x4) - -inst_469: -// rs1_val==-46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb504, -0x555, x3, 1820, x4) - -inst_470: -// rs1_val==-46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faa, -0xb504, 0x556, x3, 1824, x4) - -inst_471: -// rs1_val==-46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb504, 0x4, x3, 1828, x4) - -inst_472: -// rs1_val==-46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb504, 0x2c, x3, 1832, x4) - -inst_473: -// rs1_val==-46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1836, x4) - -inst_474: -// rs1_val==-46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c99, -0xb504, 0x665, x3, 1840, x4) - -inst_475: -// rs1_val==-46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb504, 0x332, x3, 1844, x4) - -inst_476: -// rs1_val==-46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb504, 0x554, x3, 1848, x4) - -inst_477: -// rs1_val==-46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1852, x4) - -inst_478: -// rs1_val==-46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb504, 0x2d, x3, 1856, x4) - -inst_479: -// rs1_val==-46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52f, -0xb504, -0x2d, x3, 1860, x4) - -inst_480: -// rs1_val==-46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb504, 0x666, x3, 1864, x4) - -inst_481: -// rs1_val==-46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb504, 0x333, x3, 1868, x4) - -inst_482: -// rs1_val==-46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb504, 0x5, x3, 1872, x4) - -inst_483: -// rs1_val==-46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb504, -0x556, x3, 1876, x4) - -inst_484: -// rs1_val==-46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb504, 0x555, x3, 1880, x4) - -inst_485: -// rs1_val==-46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1884, x4) - -inst_486: -// rs1_val==1717986918 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666666, 0x2e, x3, 1888, x4) - -inst_487: -// rs1_val==1717986918 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b2, 0x66666666, -0x2c, x3, 1892, x4) - -inst_488: -// rs1_val==1717986918 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666666, 0x667, x3, 1896, x4) - -inst_489: -// rs1_val==1717986918 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666552, 0x66666666, 0x334, x3, 1900, x4) - -inst_490: -// rs1_val==1717986918 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666666, 0x6, x3, 1904, x4) - -inst_491: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666666, -0x555, x3, 1908, x4) - -inst_492: -// rs1_val==1717986918 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666666, 0x556, x3, 1912, x4) - -inst_493: -// rs1_val==1717986918 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666666, 0x4, x3, 1916, x4) - -inst_494: -// rs1_val==1717986918 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666666, 0x2c, x3, 1920, x4) - -inst_495: -// rs1_val==1717986918 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1924, x4) - -inst_496: -// rs1_val==1717986918 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666666, 0x665, x3, 1928, x4) - -inst_497: -// rs1_val==1717986918 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666666, 0x332, x3, 1932, x4) - -inst_498: -// rs1_val==1717986918 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666666, 0x554, x3, 1936, x4) - -inst_499: -// rs1_val==1717986918 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666666, 0x2, x3, 1940, x4) - -inst_500: -// rs1_val==1717986918 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666666, 0x2d, x3, 1944, x4) - -inst_501: -// rs1_val==1717986918 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b5, 0x66666666, -0x2d, x3, 1948, x4) - -inst_502: -// rs1_val==1717986918 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666666, 0x666, x3, 1952, x4) - -inst_503: -// rs1_val==1717986918 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666666, 0x333, x3, 1956, x4) - -inst_504: -// rs1_val==1717986918 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666666, 0x5, x3, 1960, x4) - -inst_505: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666666, -0x556, x3, 1964, x4) - -inst_506: -// rs1_val==1717986918 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666666, 0x555, x3, 1968, x4) - -inst_507: -// rs1_val==1717986918 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666666, 0x3, x3, 1972, x4) - -inst_508: -// rs1_val==858993459 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331d, 0x33333333, 0x2e, x3, 1976, x4) - -inst_509: -// rs1_val==858993459 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333333, -0x2c, x3, 1980, x4) - -inst_510: -// rs1_val==858993459 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333333, 0x667, x3, 1984, x4) - -inst_511: -// rs1_val==858993459 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333333, 0x334, x3, 1988, x4) - -inst_512: -// rs1_val==858993459 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333335, 0x33333333, 0x6, x3, 1992, x4) - -inst_513: -// rs1_val==858993459 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333333, -0x555, x3, 1996, x4) - -inst_514: -// rs1_val==858993459 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333665, 0x33333333, 0x556, x3, 2000, x4) - -inst_515: -// rs1_val==858993459 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2004, x4) - -inst_516: -// rs1_val==858993459 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333333, 0x2c, x3, 2008, x4) - -inst_517: -// rs1_val==858993459 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2012, x4) - -inst_518: -// rs1_val==858993459 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333556, 0x33333333, 0x665, x3, 2016, x4) - -inst_519: -// rs1_val==858993459 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333333, 0x332, x3, 2020, x4) - -inst_520: -// rs1_val==858993459 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333333, 0x554, x3, 2024, x4) - -inst_521: -// rs1_val==858993459 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333333, 0x2, x3, 2028, x4) - -inst_522: -// rs1_val==858993459 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333333, 0x2d, x3, 2032, x4) - -inst_523: -// rs1_val==858993459 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333333, -0x2d, x3, 2036, x4) - -inst_524: -// rs1_val==858993459 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333333, 0x666, x3, 2040, x4) - -inst_525: -// rs1_val==858993459 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333333, 0x333, x3, 2044, x4) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_526: -// rs1_val==858993459 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333333, 0x5, x3, 0, x4) - -inst_527: -// rs1_val==858993459 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333333, -0x556, x3, 4, x4) - -inst_528: -// rs1_val==858993459 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333333, 0x555, x3, 8, x4) - -inst_529: -// rs1_val==858993459 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333333, 0x3, x3, 12, x4) - -inst_530: -// rs1_val==5 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x5, 0x2e, x3, 16, x4) - -inst_531: -// rs1_val==5 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x5, -0x2c, x3, 20, x4) - -inst_532: -// rs1_val==5 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x5, 0x667, x3, 24, x4) - -inst_533: -// rs1_val==5 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x5, 0x334, x3, 28, x4) - -inst_534: -// rs1_val==5 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x5, 0x6, x3, 32, x4) - -inst_535: -// rs1_val==5 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x5, -0x555, x3, 36, x4) - -inst_536: -// rs1_val==5 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x5, 0x556, x3, 40, x4) - -inst_537: -// rs1_val==5 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x5, 0x4, x3, 44, x4) - -inst_538: -// rs1_val==5 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x29, 0x5, 0x2c, x3, 48, x4) - -inst_539: -// rs1_val==5 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x5, 0x0, x3, 52, x4) - -inst_540: -// rs1_val==5 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x5, 0x665, x3, 56, x4) - -inst_541: -// rs1_val==5 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x5, 0x332, x3, 60, x4) - -inst_542: -// rs1_val==5 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x5, 0x554, x3, 64, x4) - -inst_543: -// rs1_val==5 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x5, 0x2, x3, 68, x4) - -inst_544: -// rs1_val==5 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x28, 0x5, 0x2d, x3, 72, x4) - -inst_545: -// rs1_val==5 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x5, -0x2d, x3, 76, x4) - -inst_546: -// rs1_val==5 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x5, 0x666, x3, 80, x4) - -inst_547: -// rs1_val==5 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x5, 0x333, x3, 84, x4) - -inst_548: -// rs1_val==5 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x5, 0x5, x3, 88, x4) - -inst_549: -// rs1_val==5 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 92, x4) - -inst_550: -// rs1_val==5 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x5, 0x555, x3, 96, x4) - -inst_551: -// rs1_val==5 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x5, 0x3, x3, 100, x4) - -inst_552: -// rs1_val==-1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa84, -0x55555556, 0x2e, x3, 104, x4) - -inst_553: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557e, -0x55555556, -0x2c, x3, 108, x4) - -inst_554: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555556, 0x667, x3, 112, x4) - -inst_555: -// rs1_val==-1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99e, -0x55555556, 0x334, x3, 116, x4) - -inst_556: -// rs1_val==-1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaac, -0x55555556, 0x6, x3, 120, x4) - -inst_557: -// rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x200 -TEST_IMM_OP( xori, x11, x10, 0xfffefdff, -0x10001, 0x200, x3, 124, x4) - -inst_558: -// rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff7fff, -0x8001, 0x0, x3, 128, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag index fbd60d306..03ddfcf65 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag @@ -28,6 +28,43 @@ # Description: Makefrag for RV32I architectural tests rv32i_sc_tests = \ + E-add-01 \ + E-addi-01 \ + E-and-01 \ + E-andi-01 \ + E-auipc-01 \ + E-beq-01 \ + E-bge-01 \ + E-bgeu-01 \ + E-blt-01 \ + E-bltu-01 \ + E-bne-01 \ + E-jal-01 \ + E-jalr-01 \ + E-lb-align-01 \ + E-lbu-align-01 \ + E-lh-align-01 \ + E-lhu-align-01 \ + E-lui-01 \ + E-lw-align-01 \ + E-or-01 \ + E-ori-01 \ + E-sb-align-01 \ + E-sh-align-01 \ + E-sll-01 \ + E-slli-01 \ + E-slt-01 \ + E-slti-01 \ + E-sltiu-01 \ + E-sltu-01 \ + E-sra-01 \ + E-srai-01 \ + E-srl-01 \ + E-srli-01 \ + E-sub-01 \ + E-sw-align-01 \ + E-xor-01 \ + E-xori-01 \ WALLY-ADD \ WALLY-SUB \ WALLY-SLT \ From 83fdedcec6d471f410b6cd18eb7a5e6b63f76345 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 13:31:32 -0600 Subject: [PATCH 039/100] Working first cut of the cache changes moving the replay to a save/restore. The current implementation is too expensive costing (tag+linelen)*numway flip flops and muxes. --- pipelined/src/cache/cache.sv | 9 ++-- pipelined/src/cache/cachefsm.sv | 83 +++++++++++++++++++-------------- pipelined/src/cache/cacheway.sv | 75 ++++++++++++++++------------- 3 files changed, 96 insertions(+), 71 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index e554fa999..1bb8a88d1 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -105,8 +105,9 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( logic LRUWriteEn; logic [NUMWAYS-1:0] VDWriteEnableWay; logic SelFlush; - logic ResetOrFlushAdr, ResetOrFlushWay; - + logic ResetOrFlushAdr, ResetOrFlushWay; + logic save, restore; + ///////////////////////////////////////////////////////////////////////////////////////////// // Read Path ///////////////////////////////////////////////////////////////////////////////////////////// @@ -125,7 +126,8 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .WriteWordEnable(SRAMWordEnable), .TagWriteEnable(SRAMLineWayWriteEnable), .WriteData(SRAMWriteData), - .SetValid, .ClearValid, .SetDirty, .ClearDirty, .SelEvict, .Victim(VictimWay), .Flush(FlushWay), .SelFlush, + .SetValid, .ClearValid, .SetDirty, .ClearDirty, .SelEvict, .Victim(VictimWay), .Flush(FlushWay), + .save, .restore, .SelFlush, .SelectedReadDataLine(ReadDataLineWay), .WayHit, .VictimDirty(VictimDirtyWay), .VictimTag(VictimTagWay), .InvalidateAll(InvalidateCacheM)); if(NUMWAYS > 1) begin:vict @@ -213,5 +215,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .SRAMLineWriteEnable, .SelEvict, .SelFlush, .FlushAdrCntEn, .FlushWayCntEn, .FlushAdrCntRst, .FlushWayCntRst, .FlushAdrFlag, .FlushWayFlag, .FlushCache, + .save, .restore, .VDWriteEnable, .LRUWriteEn); endmodule diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 115107bcd..d550427bc 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -32,49 +32,51 @@ module cachefsm (input logic clk, - input logic reset, + input logic reset, // inputs from IEU input logic [1:0] RW, input logic [1:0] Atomic, - input logic FlushCache, + input logic FlushCache, // hazard inputs - input logic CPUBusy, + input logic CPUBusy, // interlock fsm - input logic IgnoreRequest, + input logic IgnoreRequest, // Bus inputs - input logic CacheBusAck, + input logic CacheBusAck, // dcache internals - input logic CacheHit, - input logic VictimDirty, - input logic FlushAdrFlag, - input logic FlushWayFlag, + input logic CacheHit, + input logic VictimDirty, + input logic FlushAdrFlag, + input logic FlushWayFlag, // hazard outputs - output logic CacheStall, + output logic CacheStall, // counter outputs - output logic CacheMiss, - output logic CacheAccess, + output logic CacheMiss, + output logic CacheAccess, // Bus outputs - output logic CacheCommitted, - output logic CacheWriteLine, - output logic CacheFetchLine, + output logic CacheCommitted, + output logic CacheWriteLine, + output logic CacheFetchLine, // dcache internals output logic [1:0] SelAdr, - output logic SetValid, - output logic ClearValid, - output logic SetDirty, - output logic ClearDirty, - output logic SRAMWordWriteEnable, - output logic SRAMLineWriteEnable, - output logic SelEvict, - output logic LRUWriteEn, - output logic SelFlush, - output logic FlushAdrCntEn, - output logic FlushWayCntEn, - output logic FlushAdrCntRst, - output logic FlushWayCntRst, - output logic VDWriteEnable + output logic SetValid, + output logic ClearValid, + output logic SetDirty, + output logic ClearDirty, + output logic SRAMWordWriteEnable, + output logic SRAMLineWriteEnable, + output logic SelEvict, + output logic LRUWriteEn, + output logic SelFlush, + output logic FlushAdrCntEn, + output logic FlushWayCntEn, + output logic FlushAdrCntRst, + output logic FlushWayCntRst, + output logic save, + output logic restore, + output logic VDWriteEnable ); @@ -141,7 +143,8 @@ module cachefsm NextState = STATE_READY; CacheFetchLine = 1'b0; CacheWriteLine = 1'b0; - + save = 1'b0; + restore = 1'b0; case (CurrState) STATE_READY: begin @@ -178,7 +181,8 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; + save = 1'b1; end else begin SRAMWordWriteEnable = 1'b1; @@ -194,7 +198,8 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY; - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; + save = 1'b1; end else begin NextState = STATE_READY; @@ -210,7 +215,8 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY; - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; + save = 1'b1; end else begin NextState = STATE_READY; @@ -278,6 +284,7 @@ module cachefsm PreSelAdr = 2'b01; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; + save = 1'b1; end else begin SRAMWordWriteEnable = 1'b1; @@ -289,7 +296,8 @@ module cachefsm LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; + save = 1'b1; end else begin NextState = STATE_READY; @@ -304,7 +312,8 @@ module cachefsm LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; + save = 1'b1; end else begin NextState = STATE_READY; @@ -325,9 +334,10 @@ module cachefsm STATE_CPU_BUSY: begin PreSelAdr = 2'b00; + restore = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; end else begin NextState = STATE_READY; @@ -339,6 +349,7 @@ module cachefsm SRAMWordWriteEnable = 1'b0; SetDirty = 1'b0; LRUWriteEn = 1'b0; + restore = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; end diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index 0c623b8d4..d6ecfb4df 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -32,51 +32,52 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, parameter OFFSETLEN = 5, parameter INDEXLEN = 9, parameter DIRTY_BITS = 1) ( - input logic clk, - input logic reset, + input logic clk, + input logic reset, - input logic [$clog2(NUMLINES)-1:0] RAdr, - input logic [`PA_BITS-1:0] PAdr, - input logic WriteEnable, - input logic VDWriteEnable, - input logic [LINELEN/`XLEN-1:0] WriteWordEnable, - input logic TagWriteEnable, - input logic [LINELEN-1:0] WriteData, - input logic SetValid, - input logic ClearValid, - input logic SetDirty, - input logic ClearDirty, - input logic SelEvict, - input logic Victim, - input logic InvalidateAll, - input logic SelFlush, - input logic Flush, + input logic [$clog2(NUMLINES)-1:0] RAdr, + input logic [`PA_BITS-1:0] PAdr, + input logic WriteEnable, + input logic VDWriteEnable, + input logic [LINELEN/`XLEN-1:0] WriteWordEnable, + input logic TagWriteEnable, + input logic [LINELEN-1:0] WriteData, + input logic SetValid, + input logic ClearValid, + input logic SetDirty, + input logic ClearDirty, + input logic SelEvict, + input logic Victim, + input logic InvalidateAll, + input logic SelFlush, + input logic Flush, + input logic save, restore, - output logic [LINELEN-1:0] SelectedReadDataLine, - output logic WayHit, - output logic VictimDirty, - output logic [TAGLEN-1:0] VictimTag); + output logic [LINELEN-1:0] SelectedReadDataLine, + output logic WayHit, + output logic VictimDirty, + output logic [TAGLEN-1:0] VictimTag); logic [NUMLINES-1:0] ValidBits; logic [NUMLINES-1:0] DirtyBits; - logic [LINELEN-1:0] ReadDataLine; - logic [TAGLEN-1:0] ReadTag; - logic Valid; - logic Dirty; + logic [LINELEN-1:0] ReadDataLine, ReadDataLineRaw, ReadDataLineSaved; + logic [TAGLEN-1:0] ReadTag, ReadTagRaw, ReadTagSaved; + logic Valid, ValidRaw, ValidSaved; + logic Dirty, DirtyRaw, DirtySaved; logic SelData; - logic SelTag; + logic SelTag; logic [$clog2(NUMLINES)-1:0] RAdrD; logic SetValidD, ClearValidD; logic SetDirtyD, ClearDirtyD; logic WriteEnableD, VDWriteEnableD; - + ///////////////////////////////////////////////////////////////////////////////////////////// // Tag Array ///////////////////////////////////////////////////////////////////////////////////////////// sram1rw #(.DEPTH(NUMLINES), .WIDTH(TAGLEN)) CacheTagMem(.clk(clk), - .Adr(RAdr), .ReadData(ReadTag), + .Adr(RAdr), .ReadData(ReadTagRaw), .WriteData(PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]), .WriteEnable(TagWriteEnable)); // AND portion of distributed tag multiplexer @@ -92,7 +93,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, genvar words; for(words = 0; words < LINELEN/`XLEN; words++) begin: word sram1rw #(.DEPTH(NUMLINES), .WIDTH(`XLEN)) CacheDataMem(.clk(clk), .Adr(RAdr), - .ReadData(ReadDataLine[(words+1)*`XLEN-1:words*`XLEN] ), + .ReadData(ReadDataLineRaw[(words+1)*`XLEN-1:words*`XLEN] ), .WriteData(WriteData[(words+1)*`XLEN-1:words*`XLEN]), .WriteEnable(WriteEnable & WriteWordEnable[words])); end @@ -115,7 +116,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, flop #($clog2(NUMLINES)) RAdrDelayReg(clk, RAdr, RAdrD); flop #(4) ValidCtrlDelayReg(clk, {SetValid, ClearValid, WriteEnable, VDWriteEnable}, {SetValidD, ClearValidD, WriteEnableD, VDWriteEnableD}); - assign Valid = ValidBits[RAdrD]; + assign ValidRaw = ValidBits[RAdrD]; ///////////////////////////////////////////////////////////////////////////////////////////// // Dirty Bits @@ -129,8 +130,18 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, else if (ClearDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[RAdrD] <= #1 1'b0; end flop #(2) DirtyCtlDelayReg(clk, {SetDirty, ClearDirty}, {SetDirtyD, ClearDirtyD}); - assign Dirty = DirtyBits[RAdrD]; + assign DirtyRaw = DirtyBits[RAdrD]; + flopenr #(1) cachedirtysavereg(clk, reset, save, DirtyRaw, DirtySaved); + mux2 #(1) saverestoredirtymux(DirtyRaw, DirtySaved, restore, Dirty); end else assign Dirty = 1'b0; + + // save restore option of handling cpu busy + flopen #(TAGLEN+LINELEN) cachereadsavereg(clk, save, {ReadTagRaw, ReadDataLineRaw}, {ReadTagSaved, ReadDataLineSaved}); + flopenr #(1) cachevalidsavereg(clk, reset, save, ValidRaw, ValidSaved); + mux2 #(1+TAGLEN+LINELEN) saverestoremux({ValidRaw, ReadTagRaw, ReadDataLineRaw}, {ValidSaved, ReadTagSaved, ReadDataLineSaved}, + restore, {Valid, ReadTag, ReadDataLine}); + + endmodule From 498c2b589afc2aaf4d82ba87059e2a6beb45cc59 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 14:18:10 -0600 Subject: [PATCH 040/100] Optimization of cache save/restore. --- pipelined/src/cache/cache.sv | 20 ++++++++++++++++---- pipelined/src/cache/cacheway.sv | 26 ++++++++------------------ 2 files changed, 24 insertions(+), 22 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 1bb8a88d1..30a5c6bec 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -107,6 +107,8 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( logic SelFlush; logic ResetOrFlushAdr, ResetOrFlushWay; logic save, restore; + logic [NUMWAYS-1:0] WayHitSaved, WayHitRaw; + logic [LINELEN-1:0] ReadDataLineRaw, ReadDataLineSaved; ///////////////////////////////////////////////////////////////////////////////////////////// // Read Path @@ -127,8 +129,8 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .TagWriteEnable(SRAMLineWayWriteEnable), .WriteData(SRAMWriteData), .SetValid, .ClearValid, .SetDirty, .ClearDirty, .SelEvict, .Victim(VictimWay), .Flush(FlushWay), - .save, .restore, .SelFlush, - .SelectedReadDataLine(ReadDataLineWay), .WayHit, .VictimDirty(VictimDirtyWay), .VictimTag(VictimTagWay), + .SelFlush, + .SelectedReadDataLine(ReadDataLineWay), .WayHit(WayHitRaw), .VictimDirty(VictimDirtyWay), .VictimTag(VictimTagWay), .InvalidateAll(InvalidateCacheM)); if(NUMWAYS > 1) begin:vict cachereplacementpolicy #(NUMWAYS, SETLEN, OFFSETLEN, NUMLINES) cachereplacementpolicy( @@ -139,10 +141,20 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( // ReadDataLineWay is a 2d array of cache line len by number of ways. // Need to OR together each way in a bitwise manner. // Final part of the AO Mux. First is the AND in the cacheway. - or_rows #(NUMWAYS, LINELEN) ReadDataAOMux(.a(ReadDataLineWay), .y(ReadDataLine)); - or_rows #(NUMWAYS, TAGLEN) VictimTagAOMux(.a(VictimTagWay), .y(VictimTag)); + or_rows #(NUMWAYS, LINELEN) ReadDataAOMux(.a(ReadDataLineWay), .y(ReadDataLineRaw)); + or_rows #(NUMWAYS, TAGLEN) VictimTagAOMux(.a(VictimTagWay), .y(VictimTag)); + // Because of the sram clocked read when the ieu is stalled the read data maybe lost. + // There are two ways to resolve. 1. We can replay the read of the sram or we can save + // the data. Replay is eaiser but creates a longer critical path. + // save/restore only wayhit and readdata. + flopenr #(NUMWAYS) wayhitsavereg(clk, save, reset, WayHitRaw, WayHitSaved); + flopen #(LINELEN) cachereadsavereg(clk, save, ReadDataLineRaw, ReadDataLineSaved); + mux2 #(NUMWAYS+LINELEN) saverestoremux({WayHitRaw, ReadDataLineRaw}, {WayHitSaved, ReadDataLineSaved}, + restore, {WayHit, ReadDataLine}); + + // Convert the Read data bus ReadDataSelectWay into sets of XLEN so we can // easily build a variable input mux. // *** move this to LSU and IFU, also remove mux from busdp into LSU. diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index d6ecfb4df..3bca5eb0b 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -51,7 +51,6 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, input logic InvalidateAll, input logic SelFlush, input logic Flush, - input logic save, restore, output logic [LINELEN-1:0] SelectedReadDataLine, output logic WayHit, @@ -60,10 +59,10 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, logic [NUMLINES-1:0] ValidBits; logic [NUMLINES-1:0] DirtyBits; - logic [LINELEN-1:0] ReadDataLine, ReadDataLineRaw, ReadDataLineSaved; - logic [TAGLEN-1:0] ReadTag, ReadTagRaw, ReadTagSaved; - logic Valid, ValidRaw, ValidSaved; - logic Dirty, DirtyRaw, DirtySaved; + logic [LINELEN-1:0] ReadDataLine; + logic [TAGLEN-1:0] ReadTag; + logic Valid; + logic Dirty; logic SelData; logic SelTag; @@ -77,7 +76,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, ///////////////////////////////////////////////////////////////////////////////////////////// sram1rw #(.DEPTH(NUMLINES), .WIDTH(TAGLEN)) CacheTagMem(.clk(clk), - .Adr(RAdr), .ReadData(ReadTagRaw), + .Adr(RAdr), .ReadData(ReadTag), .WriteData(PAdr[`PA_BITS-1:OFFSETLEN+INDEXLEN]), .WriteEnable(TagWriteEnable)); // AND portion of distributed tag multiplexer @@ -93,7 +92,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, genvar words; for(words = 0; words < LINELEN/`XLEN; words++) begin: word sram1rw #(.DEPTH(NUMLINES), .WIDTH(`XLEN)) CacheDataMem(.clk(clk), .Adr(RAdr), - .ReadData(ReadDataLineRaw[(words+1)*`XLEN-1:words*`XLEN] ), + .ReadData(ReadDataLine[(words+1)*`XLEN-1:words*`XLEN] ), .WriteData(WriteData[(words+1)*`XLEN-1:words*`XLEN]), .WriteEnable(WriteEnable & WriteWordEnable[words])); end @@ -116,7 +115,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, flop #($clog2(NUMLINES)) RAdrDelayReg(clk, RAdr, RAdrD); flop #(4) ValidCtrlDelayReg(clk, {SetValid, ClearValid, WriteEnable, VDWriteEnable}, {SetValidD, ClearValidD, WriteEnableD, VDWriteEnableD}); - assign ValidRaw = ValidBits[RAdrD]; + assign Valid = ValidBits[RAdrD]; ///////////////////////////////////////////////////////////////////////////////////////////// // Dirty Bits @@ -130,18 +129,9 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, else if (ClearDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[RAdrD] <= #1 1'b0; end flop #(2) DirtyCtlDelayReg(clk, {SetDirty, ClearDirty}, {SetDirtyD, ClearDirtyD}); - assign DirtyRaw = DirtyBits[RAdrD]; - flopenr #(1) cachedirtysavereg(clk, reset, save, DirtyRaw, DirtySaved); - mux2 #(1) saverestoredirtymux(DirtyRaw, DirtySaved, restore, Dirty); + assign Dirty = DirtyBits[RAdrD]; end else assign Dirty = 1'b0; - // save restore option of handling cpu busy - flopen #(TAGLEN+LINELEN) cachereadsavereg(clk, save, {ReadTagRaw, ReadDataLineRaw}, {ReadTagSaved, ReadDataLineSaved}); - flopenr #(1) cachevalidsavereg(clk, reset, save, ValidRaw, ValidSaved); - mux2 #(1+TAGLEN+LINELEN) saverestoremux({ValidRaw, ReadTagRaw, ReadDataLineRaw}, {ValidSaved, ReadTagSaved, ReadDataLineSaved}, - restore, {Valid, ReadTag, ReadDataLine}); - - endmodule From ceb2cc30b9704be1972519025ba5692283d14d3f Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 14:35:12 -0600 Subject: [PATCH 041/100] Second optimization of save/restore. --- pipelined/src/cache/cache.sv | 18 ++++++++++-------- 1 file changed, 10 insertions(+), 8 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 30a5c6bec..6ffd2b42b 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -141,7 +141,7 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( // ReadDataLineWay is a 2d array of cache line len by number of ways. // Need to OR together each way in a bitwise manner. // Final part of the AO Mux. First is the AND in the cacheway. - or_rows #(NUMWAYS, LINELEN) ReadDataAOMux(.a(ReadDataLineWay), .y(ReadDataLineRaw)); + or_rows #(NUMWAYS, LINELEN) ReadDataAOMux(.a(ReadDataLineWay), .y(ReadDataLine)); or_rows #(NUMWAYS, TAGLEN) VictimTagAOMux(.a(VictimTagWay), .y(VictimTag)); @@ -150,22 +150,21 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( // the data. Replay is eaiser but creates a longer critical path. // save/restore only wayhit and readdata. flopenr #(NUMWAYS) wayhitsavereg(clk, save, reset, WayHitRaw, WayHitSaved); - flopen #(LINELEN) cachereadsavereg(clk, save, ReadDataLineRaw, ReadDataLineSaved); - mux2 #(NUMWAYS+LINELEN) saverestoremux({WayHitRaw, ReadDataLineRaw}, {WayHitSaved, ReadDataLineSaved}, - restore, {WayHit, ReadDataLine}); + mux2 #(NUMWAYS) saverestoremux(WayHitRaw, WayHitSaved, restore, WayHit); // Convert the Read data bus ReadDataSelectWay into sets of XLEN so we can // easily build a variable input mux. // *** move this to LSU and IFU, also remove mux from busdp into LSU. // *** give this a module name to match block diagram + logic [`XLEN-1:0] ReadDataWordRaw, ReadDataWordSaved; genvar index; - if(DCACHE == 1) begin: readdata + if(DCACHE == 1) begin: readdata for (index = 0; index < WORDSPERLINE; index++) begin:readdatalinesetsmux assign ReadDataLineSets[index] = ReadDataLine[((index+1)*`XLEN)-1: (index*`XLEN)]; end // variable input mux - assign ReadDataWord = ReadDataLineSets[PAdr[LOGWPL + LOGXLENBYTES - 1 : LOGXLENBYTES]]; + assign ReadDataWordRaw = ReadDataLineSets[PAdr[LOGWPL + LOGXLENBYTES - 1 : LOGXLENBYTES]]; end else begin: readdata logic [31:0] ReadLineSetsF [LINELEN/16-1:0]; logic [31:0] FinalInstrRawF; @@ -173,9 +172,12 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( assign ReadLineSetsF[index] = ReadDataLine[((index+1)*16)+16-1 : (index*16)]; assign ReadLineSetsF[LINELEN/16-1] = {16'b0, ReadDataLine[LINELEN-1:LINELEN-16]}; assign FinalInstrRawF = ReadLineSetsF[PAdr[$clog2(LINELEN / 32) + 1 : 1]]; - if (`XLEN == 64) assign ReadDataWord = {32'b0, FinalInstrRawF}; - else assign ReadDataWord = FinalInstrRawF; + if (`XLEN == 64) assign ReadDataWordRaw = {32'b0, FinalInstrRawF}; + else assign ReadDataWordRaw = FinalInstrRawF; end + flopen #(`XLEN) cachereaddatasavereg(clk, save, ReadDataWordRaw, ReadDataWordSaved); + mux2 #(`XLEN) readdatasaverestoremux(ReadDataWordRaw, ReadDataWordSaved, + restore, ReadDataWord); ///////////////////////////////////////////////////////////////////////////////////////////// // Write Path: Write Enables From f6f0539e10000aee5ca9e91c2d2b25160882a141 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 16:18:01 -0600 Subject: [PATCH 042/100] Got separate module for the sub cache line read. --- pipelined/src/cache/cache.sv | 26 ++++------ pipelined/src/cache/cachefsm.sv | 14 ++--- pipelined/src/cache/subcachelineread.sv | 68 +++++++++++++++++++++++++ 3 files changed, 86 insertions(+), 22 deletions(-) create mode 100644 pipelined/src/cache/subcachelineread.sv diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 6ffd2b42b..2abfbced3 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -157,27 +157,23 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( // easily build a variable input mux. // *** move this to LSU and IFU, also remove mux from busdp into LSU. // *** give this a module name to match block diagram - logic [`XLEN-1:0] ReadDataWordRaw, ReadDataWordSaved; genvar index; if(DCACHE == 1) begin: readdata + subcachelineread #(LINELEN, `XLEN, `XLEN) subcachelineread( + .clk, .reset, .PAdr, .save, .restore, + .ReadDataLine, .ReadDataWord); + // *** only here temporary for (index = 0; index < WORDSPERLINE; index++) begin:readdatalinesetsmux assign ReadDataLineSets[index] = ReadDataLine[((index+1)*`XLEN)-1: (index*`XLEN)]; end - // variable input mux - assign ReadDataWordRaw = ReadDataLineSets[PAdr[LOGWPL + LOGXLENBYTES - 1 : LOGXLENBYTES]]; - end else begin: readdata - logic [31:0] ReadLineSetsF [LINELEN/16-1:0]; - logic [31:0] FinalInstrRawF; - for(index = 0; index < LINELEN / 16 - 1; index++) - assign ReadLineSetsF[index] = ReadDataLine[((index+1)*16)+16-1 : (index*16)]; - assign ReadLineSetsF[LINELEN/16-1] = {16'b0, ReadDataLine[LINELEN-1:LINELEN-16]}; - assign FinalInstrRawF = ReadLineSetsF[PAdr[$clog2(LINELEN / 32) + 1 : 1]]; - if (`XLEN == 64) assign ReadDataWordRaw = {32'b0, FinalInstrRawF}; - else assign ReadDataWordRaw = FinalInstrRawF; + end else begin: readdata + logic [31:0] FinalInstrRawF; + subcachelineread #(LINELEN, 32, 16) subcachelineread( + .clk, .reset, .PAdr, .save, .restore, + .ReadDataLine, .ReadDataWord(FinalInstrRawF)); + if (`XLEN == 64) assign ReadDataWord = {32'b0, FinalInstrRawF}; + else assign ReadDataWord = FinalInstrRawF; end - flopen #(`XLEN) cachereaddatasavereg(clk, save, ReadDataWordRaw, ReadDataWordSaved); - mux2 #(`XLEN) readdatasaverestoremux(ReadDataWordRaw, ReadDataWordSaved, - restore, ReadDataWord); ///////////////////////////////////////////////////////////////////////////////////////////// // Write Path: Write Enables diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index d550427bc..3b60efe1f 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -181,7 +181,7 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; - //PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; `REPLAY save = 1'b1; end else begin @@ -198,7 +198,7 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; `REPLAY save = 1'b1; end else begin @@ -215,7 +215,7 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; `REPLAY save = 1'b1; end else begin @@ -276,7 +276,7 @@ module cachefsm end STATE_MISS_READ_WORD_DELAY: begin - //PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; `REPLAY SRAMWordWriteEnable = 1'b0; SetDirty = 1'b0; LRUWriteEn = 1'b0; @@ -296,7 +296,7 @@ module cachefsm LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; `REPLAY save = 1'b1; end else begin @@ -312,7 +312,7 @@ module cachefsm LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; `REPLAY save = 1'b1; end else begin @@ -337,7 +337,7 @@ module cachefsm restore = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; `REPLAY end else begin NextState = STATE_READY; diff --git a/pipelined/src/cache/subcachelineread.sv b/pipelined/src/cache/subcachelineread.sv new file mode 100644 index 000000000..e42f5e711 --- /dev/null +++ b/pipelined/src/cache/subcachelineread.sv @@ -0,0 +1,68 @@ +/////////////////////////////////////////// +// subcachelineread +// +// Written: Ross Thompson ross1728@gmail.com February 04, 2022 +// Muxes the cache line downto the word size. Also include possilbe save/restore registers/muxes. +// +// Purpose: Controller for the dcache fsm +// +// A component of the Wally configurable RISC-V project. +// +// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University +// +// MIT LICENSE +// Permission is hereby granted, free of charge, to any person obtaining a copy of this +// software and associated documentation files (the "Software"), to deal in the Software +// without restriction, including without limitation the rights to use, copy, modify, merge, +// publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons +// to whom the Software is furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all copies or +// substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, +// INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR +// PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS +// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, +// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE +// OR OTHER DEALINGS IN THE SOFTWARE. +//////////////////////////////////////////////////////////////////////////////////////////////// + +`include "wally-config.vh" + +module subcachelineread #(parameter LINELEN, WORDLEN, MUXINTERVAL)( + input logic clk, + input logic reset, + input logic [`PA_BITS-1:0] PAdr, + input logic save, restore, + input logic [LINELEN-1:0] ReadDataLine, + output logic [WORDLEN-1:0] ReadDataWord); + + localparam WORDSPERLINE = LINELEN/MUXINTERVAL; + localparam PADLEN = WORDLEN-MUXINTERVAL; + // Convert the Read data bus ReadDataSelectWay into sets of XLEN so we can + // easily build a variable input mux. + // *** move this to LSU and IFU, also remove mux from busdp into LSU. + // *** give this a module name to match block diagram + logic [LINELEN+(WORDLEN-MUXINTERVAL)-1:0] ReadDataLinePad; + logic [WORDLEN-1:0] ReadDataLineSets [(LINELEN/MUXINTERVAL)-1:0]; + logic [WORDLEN-1:0] ReadDataWordRaw, ReadDataWordSaved; + + if (PADLEN > 0) begin + logic [PADLEN-1:0] Pad; + assign Pad = '0; + assign ReadDataLinePad = {Pad, ReadDataLine}; + end else assign ReadDataLinePad = ReadDataLine; + + + genvar index; + for (index = 0; index < WORDSPERLINE; index++) begin:readdatalinesetsmux + assign ReadDataLineSets[index] = ReadDataLinePad[(index*MUXINTERVAL)+WORDLEN-1: (index*MUXINTERVAL)]; + end + // variable input mux + assign ReadDataWordRaw = ReadDataLineSets[PAdr[$clog2(LINELEN/8) - 1 : $clog2(MUXINTERVAL/8)]]; + flopen #(WORDLEN) cachereaddatasavereg(clk, save, ReadDataWordRaw, ReadDataWordSaved); + mux2 #(WORDLEN) readdatasaverestoremux(ReadDataWordRaw, ReadDataWordSaved, + restore, ReadDataWord); + +endmodule From c8463685378a60708c9756bfed1940a0f3991094 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 20:42:53 -0600 Subject: [PATCH 043/100] Moved the sub cache line read logic to lsu/ifu. --- pipelined/src/cache/cache.sv | 58 +++++++++++++++--------------------- pipelined/src/ifu/ifu.sv | 21 ++++++++----- pipelined/src/lsu/busdp.sv | 10 +++---- pipelined/src/lsu/lsu.sv | 13 ++++++-- 4 files changed, 53 insertions(+), 49 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 2abfbced3..e08b65e87 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -31,32 +31,33 @@ `include "wally-config.vh" module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( - input logic clk, - input logic reset, + input logic clk, + input logic reset, // cpu side - input logic CPUBusy, - input logic [1:0] RW, - input logic [1:0] Atomic, - input logic FlushCache, - input logic InvalidateCacheM, - input logic [11:0] NextAdr, // virtual address, but we only use the lower 12 bits. - input logic [`PA_BITS-1:0] PAdr, // physical address - input logic [`XLEN-1:0] FinalWriteData, - output logic [`XLEN-1:0] ReadDataWord, - output logic CacheCommitted, - output logic CacheStall, + input logic CPUBusy, + input logic [1:0] RW, + input logic [1:0] Atomic, + input logic FlushCache, + input logic InvalidateCacheM, + input logic [11:0] NextAdr, // virtual address, but we only use the lower 12 bits. + input logic [`PA_BITS-1:0] PAdr, // physical address + input logic [`XLEN-1:0] FinalWriteData, + output logic CacheCommitted, + output logic CacheStall, // to performance counters to cpu - output logic CacheMiss, - output logic CacheAccess, + output logic CacheMiss, + output logic CacheAccess, + output logic save, restore, // lsu control - input logic IgnoreRequest, + input logic IgnoreRequest, // Bus fsm interface - output logic CacheFetchLine, - output logic CacheWriteLine, - input logic CacheBusAck, - output logic [`PA_BITS-1:0] CacheBusAdr, - input logic [LINELEN-1:0] CacheMemWriteData, - output logic [`XLEN-1:0] ReadDataLineSets [(LINELEN/`XLEN)-1:0]); + output logic CacheFetchLine, + output logic CacheWriteLine, + input logic CacheBusAck, + output logic [`PA_BITS-1:0] CacheBusAdr, + input logic [LINELEN-1:0] CacheMemWriteData, + output logic [LINELEN-1:0] ReadDataLine, + output logic [`XLEN-1:0] ReadDataLineSets [(LINELEN/`XLEN)-1:0]); // Cache parameters localparam LINEBYTELEN = LINELEN/8; @@ -77,7 +78,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( logic [LINELEN-1:0] ReadDataLineWay [NUMWAYS-1:0]; logic [NUMWAYS-1:0] WayHit; logic CacheHit; - logic [LINELEN-1:0] ReadDataLine; logic [WORDSPERLINE-1:0] SRAMWordEnable; logic SRAMWordWriteEnable; logic SRAMLineWriteEnable; @@ -106,7 +106,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( logic [NUMWAYS-1:0] VDWriteEnableWay; logic SelFlush; logic ResetOrFlushAdr, ResetOrFlushWay; - logic save, restore; logic [NUMWAYS-1:0] WayHitSaved, WayHitRaw; logic [LINELEN-1:0] ReadDataLineRaw, ReadDataLineSaved; @@ -159,21 +158,12 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( // *** give this a module name to match block diagram genvar index; if(DCACHE == 1) begin: readdata - subcachelineread #(LINELEN, `XLEN, `XLEN) subcachelineread( - .clk, .reset, .PAdr, .save, .restore, - .ReadDataLine, .ReadDataWord); // *** only here temporary for (index = 0; index < WORDSPERLINE; index++) begin:readdatalinesetsmux assign ReadDataLineSets[index] = ReadDataLine[((index+1)*`XLEN)-1: (index*`XLEN)]; end end else begin: readdata - logic [31:0] FinalInstrRawF; - subcachelineread #(LINELEN, 32, 16) subcachelineread( - .clk, .reset, .PAdr, .save, .restore, - .ReadDataLine, .ReadDataWord(FinalInstrRawF)); - if (`XLEN == 64) assign ReadDataWord = {32'b0, FinalInstrRawF}; - else assign ReadDataWord = FinalInstrRawF; - end + end ///////////////////////////////////////////////////////////////////////////////////////////// // Write Path: Write Enables diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index ea1c504a7..afbf46761 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -92,7 +92,7 @@ module ifu ( logic [`XLEN-3:0] PCPlusUpperF; logic CompressedF; logic [31:0] InstrRawD, InstrRawF; - logic [`XLEN-1:0] FinalInstrRawF; + logic [31:0] FinalInstrRawF; logic [31:0] InstrE; logic [`XLEN-1:0] PCD; @@ -180,12 +180,14 @@ module ifu ( end else begin : bus localparam integer WORDSPERLINE = (`IMEM == `MEM_CACHE) ? `ICACHE_LINELENINBITS/`XLEN : 1; localparam integer LINELEN = (`IMEM == `MEM_CACHE) ? `ICACHE_LINELENINBITS : `XLEN; + logic [LINELEN-1:0] ReadDataLine; logic [LINELEN-1:0] ICacheMemWriteData; logic [`PA_BITS-1:0] ICacheBusAdr; logic ICacheBusAck; - - - busdp #(WORDSPERLINE, LINELEN) + logic save,restore; + logic [31:0] temp; + + busdp #(WORDSPERLINE, LINELEN, 32) busdp(.clk, .reset, .LSUBusHRDATA(IFUBusHRDATA), .LSUBusAck(IFUBusAck), .LSUBusWrite(), .LSUBusRead(IFUBusRead), .LSUBusHWDATA(), .LSUBusSize(), @@ -193,10 +195,14 @@ module ifu ( .ReadDataLineSetsM(), .DCacheFetchLine(ICacheFetchLine), .DCacheWriteLine(1'b0), .DCacheBusAck(ICacheBusAck), .DCacheMemWriteData(ICacheMemWriteData), .LSUPAdrM(PCPF), - .FinalAMOWriteDataM(), .ReadDataWordM(FinalInstrRawF), .ReadDataWordMuxM(AllInstrRawF), + .FinalAMOWriteDataM(), .ReadDataWordM(FinalInstrRawF), .ReadDataWordMuxM(AllInstrRawF[31:0]), .IgnoreRequest(ITLBMissF), .LSURWM(2'b10), .CPUBusy, .CacheableM(CacheableF), .BusStall, .BusCommittedM()); + subcachelineread #(LINELEN, 32, 16) subcachelineread( + .clk, .reset, .PAdr(PCPF), .save, .restore, + .ReadDataLine, .ReadDataWord(FinalInstrRawF)); + if(`IMEM == `MEM_CACHE) begin : icache logic [1:0] IFURWF; assign IFURWF = CacheableF ? 2'b10 : 2'b00; @@ -207,8 +213,9 @@ module ifu ( icache(.clk, .reset, .CPUBusy, .IgnoreRequest(ITLBMissF), .CacheMemWriteData(ICacheMemWriteData), .CacheBusAck(ICacheBusAck), .CacheBusAdr(ICacheBusAdr), .CacheStall(ICacheStallF), - .ReadDataWord(FinalInstrRawF), .CacheFetchLine(ICacheFetchLine), - .CacheWriteLine(), .ReadDataLineSets(), + .CacheFetchLine(ICacheFetchLine), + .CacheWriteLine(), .ReadDataLineSets(), .ReadDataLine(ReadDataLine), + .save, .restore, .CacheMiss(ICacheMiss), .CacheAccess(ICacheAccess), .FinalWriteData('0), .RW(IFURWF), diff --git a/pipelined/src/lsu/busdp.sv b/pipelined/src/lsu/busdp.sv index ac54e303f..9fc5887c2 100644 --- a/pipelined/src/lsu/busdp.sv +++ b/pipelined/src/lsu/busdp.sv @@ -34,7 +34,7 @@ `include "wally-config.vh" -module busdp #(parameter WORDSPERLINE, parameter LINELEN) +module busdp #(parameter WORDSPERLINE, parameter LINELEN, WORDLEN) ( input logic clk, reset, // bus interface @@ -58,8 +58,8 @@ module busdp #(parameter WORDSPERLINE, parameter LINELEN) // lsu interface input logic [`PA_BITS-1:0] LSUPAdrM, input logic [`XLEN-1:0] FinalAMOWriteDataM, - input logic [`XLEN-1:0] ReadDataWordM, - output logic [`XLEN-1:0] ReadDataWordMuxM, + input logic [WORDLEN-1:0] ReadDataWordM, + output logic [WORDLEN-1:0] ReadDataWordMuxM, input logic IgnoreRequest, input logic [1:0] LSURWM, input logic CPUBusy, @@ -90,8 +90,8 @@ module busdp #(parameter WORDSPERLINE, parameter LINELEN) .d0(PreLSUBusHWDATA), .d1(FinalAMOWriteDataM), .s(SelUncachedAdr), .y(LSUBusHWDATA)); mux2 #(3) lsubussizemux( .d0(`XLEN == 32 ? 3'b010 : 3'b011), .d1(LSUFunct3M), .s(SelUncachedAdr), .y(LSUBusSize)); - mux2 #(`XLEN) UnCachedDataMux( - .d0(ReadDataWordM), .d1(DCacheMemWriteData[`XLEN-1:0]), .s(SelUncachedAdr), .y(ReadDataWordMuxM)); + mux2 #(WORDLEN) UnCachedDataMux( + .d0(ReadDataWordM), .d1(DCacheMemWriteData[WORDLEN-1:0]), .s(SelUncachedAdr), .y(ReadDataWordMuxM)); busfsm #(WordCountThreshold, LOGWPL, (`DMEM == `MEM_CACHE)) // *** cleanup busfsm(.clk, .reset, .IgnoreRequest, .LSURWM, .DCacheFetchLine, .DCacheWriteLine, diff --git a/pipelined/src/lsu/lsu.sv b/pipelined/src/lsu/lsu.sv index fdaf56fa9..18c0ccfb2 100644 --- a/pipelined/src/lsu/lsu.sv +++ b/pipelined/src/lsu/lsu.sv @@ -184,19 +184,25 @@ module lsu ( localparam integer WORDSPERLINE = (`DMEM == `MEM_CACHE) ? `DCACHE_LINELENINBITS/`XLEN : 1; localparam integer LINELEN = (`DMEM == `MEM_CACHE) ? `DCACHE_LINELENINBITS : `XLEN; logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0]; + logic [LINELEN-1:0] ReadDataLineM; logic [LINELEN-1:0] DCacheMemWriteData; logic [`PA_BITS-1:0] DCacheBusAdr; logic DCacheWriteLine; logic DCacheFetchLine; logic DCacheBusAck; + logic save,restore; - busdp #(WORDSPERLINE, LINELEN) busdp( + busdp #(WORDSPERLINE, LINELEN, `XLEN) busdp( .clk, .reset, .LSUBusHRDATA, .LSUBusAck, .LSUBusWrite, .LSUBusRead, .LSUBusHWDATA, .LSUBusSize, .LSUFunct3M, .LSUBusAdr, .DCacheBusAdr, .ReadDataLineSetsM, .DCacheFetchLine, .DCacheWriteLine, .DCacheBusAck, .DCacheMemWriteData, .LSUPAdrM, .FinalAMOWriteDataM, .ReadDataWordM, .ReadDataWordMuxM, .IgnoreRequest, .LSURWM, .CPUBusy, .CacheableM, .BusStall, .BusCommittedM); + + subcachelineread #(LINELEN, `XLEN, `XLEN) subcachelineread( + .clk, .reset, .PAdr(LSUPAdrM), .save, .restore, + .ReadDataLine(ReadDataLineM), .ReadDataWord(ReadDataWordM)); if(`DMEM == `MEM_CACHE) begin : dcache cache #(.LINELEN(`DCACHE_LINELENINBITS), .NUMLINES(`DCACHE_WAYSIZEINBYTES*8/LINELEN), @@ -204,10 +210,11 @@ module lsu ( .clk, .reset, .CPUBusy, .RW(CacheableM ? LSURWM : 2'b00), .FlushCache(FlushDCacheM), .Atomic(CacheableM ? LSUAtomicM : 2'b00), .NextAdr(LSUAdrE), .PAdr(LSUPAdrM), - .FinalWriteData(FinalWriteDataM), .ReadDataWord(ReadDataWordM), + .save, .restore, + .FinalWriteData(FinalWriteDataM), .CacheStall(DCacheStallM), .CacheMiss(DCacheMiss), .CacheAccess(DCacheAccess), .IgnoreRequest, .CacheCommitted(DCacheCommittedM), .CacheBusAdr(DCacheBusAdr), - .ReadDataLineSets(ReadDataLineSetsM), .CacheMemWriteData(DCacheMemWriteData), + .ReadDataLineSets(ReadDataLineSetsM), .ReadDataLine(ReadDataLineM), .CacheMemWriteData(DCacheMemWriteData), .CacheFetchLine(DCacheFetchLine), .CacheWriteLine(DCacheWriteLine), .CacheBusAck(DCacheBusAck), .InvalidateCacheM(1'b0)); From 23868a33bcc6c9764bcd1273d1fe59aa15ffa7db Mon Sep 17 00:00:00 2001 From: David Harris Date: Sat, 5 Feb 2022 04:16:18 +0000 Subject: [PATCH 044/100] Temporarily changed rv32e config to use TIM, but it still fails. Added rv32e tests. --- pipelined/config/rv32e/wally-config.vh | 8 ++++---- pipelined/regression/sim-wally | 2 +- pipelined/src/ifu/ifu.sv | 2 +- pipelined/testbench/testbench-tim.sv | 1 + pipelined/testbench/testbench.sv | 2 +- 5 files changed, 8 insertions(+), 7 deletions(-) diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh index bbeccbe85..b550407db 100644 --- a/pipelined/config/rv32e/wally-config.vh +++ b/pipelined/config/rv32e/wally-config.vh @@ -48,8 +48,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define DMEM `MEM_BUS -`define IMEM `MEM_BUS +`define DMEM `MEM_TIM +`define IMEM `MEM_TIM `define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 @@ -81,10 +81,10 @@ // Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits `define BOOTROM_SUPPORTED 1'b1 `define BOOTROM_BASE 34'h00001000 -`define BOOTROM_RANGE 34'h000000FF +`define BOOTROM_RANGE 34'h00000FFF `define RAM_SUPPORTED 1'b1 `define RAM_BASE 34'h80000000 -`define RAM_RANGE 34'h000003FF +`define RAM_RANGE 34'h07FFFFFF `define EXT_MEM_SUPPORTED 1'b0 `define EXT_MEM_BASE 34'h80000000 `define EXT_MEM_RANGE 34'h07FFFFFF diff --git a/pipelined/regression/sim-wally b/pipelined/regression/sim-wally index 3eb310cad..2f88d9aa2 100755 --- a/pipelined/regression/sim-wally +++ b/pipelined/regression/sim-wally @@ -1,2 +1,2 @@ -vsim -do "do wally-pipelined.do rv32ic arch32i" +vsim -do "do wally-pipelined.do rv32e wally32e" diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index ea1c504a7..54d5eade0 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -170,7 +170,7 @@ module ifu ( assign InstrRawF = AllInstrRawF[31:0]; - if (`IMEM == `MEM_TIM) begin : irom // *** fix up dtim taking PA_BITS rather than XLEN + if (`IMEM == `MEM_TIM) begin : irom // *** fix up dtim taking PA_BITS rather than XLEN, *** IEUAdr is a bad name. Probably use a ROM rather than DTIM dtim irom(.clk, .reset, .CPUBusy, .LSURWM(2'b10), .IEUAdrM(PCPF[31:0]), .IEUAdrE(PCNextFSpill), .TrapM(1'b0), .FinalWriteDataM(), .ReadDataWordM(AllInstrRawF), .BusStall, .LSUBusWrite(), .LSUBusRead(IFUBusRead), diff --git a/pipelined/testbench/testbench-tim.sv b/pipelined/testbench/testbench-tim.sv index 63c96178a..1a13e2b5c 100644 --- a/pipelined/testbench/testbench-tim.sv +++ b/pipelined/testbench/testbench-tim.sv @@ -122,6 +122,7 @@ logic [3:0] dummy; "imperas32c": if (`C_SUPPORTED) tests = imperas32c; else tests = imperas32iNOc; "wally32i": tests = wally32i; // *** redo + "wally32e": tests = wally32e; "wally32priv": tests = wally32priv; // *** redo "imperas32periph": tests = imperas32periph; endcase diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index 0fb39358e..a41fd3def 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -123,7 +123,7 @@ logic [3:0] dummy; "imperas32c": if (`C_SUPPORTED) tests = imperas32c; else tests = imperas32iNOc; "wally32i": tests = wally32i; // *** redo - "wally32e": tests = wally32e; // *** redo + "wally32e": tests = wally32e; "wally32priv": tests = wally32priv; // *** redo "imperas32periph": tests = imperas32periph; endcase From 34cf77797a165c777bd6307a2774b581fb446699 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 22:30:04 -0600 Subject: [PATCH 045/100] Merged together the two sub cache line read muxes. One mux was used for loads and the other for eviction. --- pipelined/src/ifu/ifu.sv | 6 ++++-- pipelined/src/lsu/busdp.sv | 17 +++++++---------- pipelined/src/lsu/lsu.sv | 26 ++++++++++++++++++++------ 3 files changed, 31 insertions(+), 18 deletions(-) diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index afbf46761..d44e61f3c 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -180,6 +180,7 @@ module ifu ( end else begin : bus localparam integer WORDSPERLINE = (`IMEM == `MEM_CACHE) ? `ICACHE_LINELENINBITS/`XLEN : 1; localparam integer LINELEN = (`IMEM == `MEM_CACHE) ? `ICACHE_LINELENINBITS : `XLEN; + localparam integer LOGWPL = (`DMEM == `MEM_CACHE) ? $clog2(WORDSPERLINE) : 1; logic [LINELEN-1:0] ReadDataLine; logic [LINELEN-1:0] ICacheMemWriteData; logic [`PA_BITS-1:0] ICacheBusAdr; @@ -187,11 +188,12 @@ module ifu ( logic save,restore; logic [31:0] temp; - busdp #(WORDSPERLINE, LINELEN, 32) + busdp #(WORDSPERLINE, LINELEN, 32, LOGWPL) busdp(.clk, .reset, .LSUBusHRDATA(IFUBusHRDATA), .LSUBusAck(IFUBusAck), .LSUBusWrite(), - .LSUBusRead(IFUBusRead), .LSUBusHWDATA(), .LSUBusSize(), + .LSUBusRead(IFUBusRead), .LSUBusSize(), .LSUFunct3M(3'b010), .LSUBusAdr(IFUBusAdr), .DCacheBusAdr(ICacheBusAdr), + .WordCount(), .SelUncachedAdr(), .ReadDataLineSetsM(), .DCacheFetchLine(ICacheFetchLine), .DCacheWriteLine(1'b0), .DCacheBusAck(ICacheBusAck), .DCacheMemWriteData(ICacheMemWriteData), .LSUPAdrM(PCPF), diff --git a/pipelined/src/lsu/busdp.sv b/pipelined/src/lsu/busdp.sv index 9fc5887c2..dea671103 100644 --- a/pipelined/src/lsu/busdp.sv +++ b/pipelined/src/lsu/busdp.sv @@ -34,7 +34,7 @@ `include "wally-config.vh" -module busdp #(parameter WORDSPERLINE, parameter LINELEN, WORDLEN) +module busdp #(parameter WORDSPERLINE, LINELEN, WORDLEN, LOGWPL) ( input logic clk, reset, // bus interface @@ -42,14 +42,15 @@ module busdp #(parameter WORDSPERLINE, parameter LINELEN, WORDLEN) input logic LSUBusAck, output logic LSUBusWrite, output logic LSUBusRead, - output logic [`XLEN-1:0] LSUBusHWDATA, +// output logic [`XLEN-1:0] LSUBusHWDATA, output logic [2:0] LSUBusSize, input logic [2:0] LSUFunct3M, output logic [`PA_BITS-1:0] LSUBusAdr, - + output logic [LOGWPL-1:0] WordCount, + output logic SelUncachedAdr, // cache interface. input logic [`PA_BITS-1:0] DCacheBusAdr, - input var logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0], + input var logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0], input logic DCacheFetchLine, input logic DCacheWriteLine, output logic DCacheBusAck, @@ -69,12 +70,10 @@ module busdp #(parameter WORDSPERLINE, parameter LINELEN, WORDLEN) localparam integer WordCountThreshold = (`DMEM == `MEM_CACHE) ? WORDSPERLINE - 1 : 0; - localparam integer LOGWPL = (`DMEM == `MEM_CACHE) ? $clog2(WORDSPERLINE) : 1; - logic SelUncachedAdr; logic [`XLEN-1:0] PreLSUBusHWDATA; logic [`PA_BITS-1:0] LocalLSUBusAdr; - logic [LOGWPL-1:0] WordCount; + genvar index; for (index = 0; index < WORDSPERLINE; index++) begin:fetchbuffer @@ -85,9 +84,7 @@ module busdp #(parameter WORDSPERLINE, parameter LINELEN, WORDLEN) mux2 #(`PA_BITS) localadrmux(DCacheBusAdr, LSUPAdrM, SelUncachedAdr, LocalLSUBusAdr); assign LSUBusAdr = ({{`PA_BITS-LOGWPL{1'b0}}, WordCount} << $clog2(`XLEN/8)) + LocalLSUBusAdr; - assign PreLSUBusHWDATA = ReadDataLineSetsM[WordCount]; // only in lsu, not ifu - mux2 #(`XLEN) lsubushwdatamux( - .d0(PreLSUBusHWDATA), .d1(FinalAMOWriteDataM), .s(SelUncachedAdr), .y(LSUBusHWDATA)); + //assign PreLSUBusHWDATA = ReadDataWordM;// ReadDataLineSetsM[WordCount]; // only in lsu, not ifu mux2 #(3) lsubussizemux( .d0(`XLEN == 32 ? 3'b010 : 3'b011), .d1(LSUFunct3M), .s(SelUncachedAdr), .y(LSUBusSize)); mux2 #(WORDLEN) UnCachedDataMux( diff --git a/pipelined/src/lsu/lsu.sv b/pipelined/src/lsu/lsu.sv index 18c0ccfb2..dcaf683f1 100644 --- a/pipelined/src/lsu/lsu.sv +++ b/pipelined/src/lsu/lsu.sv @@ -173,6 +173,8 @@ module lsu ( logic [`XLEN-1:0] FinalAMOWriteDataM, FinalWriteDataM; logic [`XLEN-1:0] ReadDataWordM; logic [`XLEN-1:0] ReadDataWordMuxM; + logic SelUncachedAdr; + if (`DMEM == `MEM_TIM) begin : dtim dtim dtim(.clk, .reset, .CPUBusy, .LSURWM, .IEUAdrM, .IEUAdrE, .TrapM, .FinalWriteDataM, @@ -183,25 +185,37 @@ module lsu ( end else begin : bus localparam integer WORDSPERLINE = (`DMEM == `MEM_CACHE) ? `DCACHE_LINELENINBITS/`XLEN : 1; localparam integer LINELEN = (`DMEM == `MEM_CACHE) ? `DCACHE_LINELENINBITS : `XLEN; - logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0]; + localparam integer LOGWPL = (`DMEM == `MEM_CACHE) ? $clog2(WORDSPERLINE) : 1; logic [LINELEN-1:0] ReadDataLineM; logic [LINELEN-1:0] DCacheMemWriteData; logic [`PA_BITS-1:0] DCacheBusAdr; logic DCacheWriteLine; logic DCacheFetchLine; logic DCacheBusAck; - logic save,restore; - - busdp #(WORDSPERLINE, LINELEN, `XLEN) busdp( + logic save, restore; + logic [`PA_BITS-1:0] WordOffsetAddr; + logic SelBus; + logic [LOGWPL-1:0] WordCount; + logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0]; + logic [`PA_BITS-1-`XLEN/8-LOGWPL:0] Pad; + + busdp #(WORDSPERLINE, LINELEN, `XLEN, LOGWPL) busdp( .clk, .reset, - .LSUBusHRDATA, .LSUBusAck, .LSUBusWrite, .LSUBusRead, .LSUBusHWDATA, .LSUBusSize, + .LSUBusHRDATA, .LSUBusAck, .LSUBusWrite, .LSUBusRead, .LSUBusSize, + .WordCount, .SelUncachedAdr, .LSUFunct3M, .LSUBusAdr, .DCacheBusAdr, .ReadDataLineSetsM, .DCacheFetchLine, .DCacheWriteLine, .DCacheBusAck, .DCacheMemWriteData, .LSUPAdrM, .FinalAMOWriteDataM, .ReadDataWordM, .ReadDataWordMuxM, .IgnoreRequest, .LSURWM, .CPUBusy, .CacheableM, .BusStall, .BusCommittedM); + assign Pad = '0; + assign WordOffsetAddr = LSUBusWrite ? ({{`PA_BITS-LOGWPL{1'b0}}, WordCount} << $clog2(`XLEN/8)) : LSUPAdrM; + mux2 #(`XLEN) lsubushwdatamux( + .d0(ReadDataWordM), .d1(FinalAMOWriteDataM), .s(SelUncachedAdr), .y(LSUBusHWDATA)); + + subcachelineread #(LINELEN, `XLEN, `XLEN) subcachelineread( - .clk, .reset, .PAdr(LSUPAdrM), .save, .restore, + .clk, .reset, .PAdr(WordOffsetAddr), .save, .restore, .ReadDataLine(ReadDataLineM), .ReadDataWord(ReadDataWordM)); if(`DMEM == `MEM_CACHE) begin : dcache From 53551ab53328025abef7db33a4743e6d211198ca Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 22:39:13 -0600 Subject: [PATCH 046/100] Moved the hwdata mux back into the busdp. --- pipelined/src/ifu/ifu.sv | 2 +- pipelined/src/lsu/busdp.sv | 9 +++++++-- pipelined/src/lsu/lsu.sv | 8 +++----- 3 files changed, 11 insertions(+), 8 deletions(-) diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index d44e61f3c..f53669522 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -193,7 +193,7 @@ module ifu ( .LSUBusHRDATA(IFUBusHRDATA), .LSUBusAck(IFUBusAck), .LSUBusWrite(), .LSUBusRead(IFUBusRead), .LSUBusSize(), .LSUFunct3M(3'b010), .LSUBusAdr(IFUBusAdr), .DCacheBusAdr(ICacheBusAdr), - .WordCount(), .SelUncachedAdr(), + .WordCount(), .SelUncachedAdr(), .LSUBusHWDATA(), .ReadDataLineSetsM(), .DCacheFetchLine(ICacheFetchLine), .DCacheWriteLine(1'b0), .DCacheBusAck(ICacheBusAck), .DCacheMemWriteData(ICacheMemWriteData), .LSUPAdrM(PCPF), diff --git a/pipelined/src/lsu/busdp.sv b/pipelined/src/lsu/busdp.sv index dea671103..d987c1a1a 100644 --- a/pipelined/src/lsu/busdp.sv +++ b/pipelined/src/lsu/busdp.sv @@ -34,7 +34,7 @@ `include "wally-config.vh" -module busdp #(parameter WORDSPERLINE, LINELEN, WORDLEN, LOGWPL) +module busdp #(parameter WORDSPERLINE, LINELEN, WORDLEN, LOGWPL, LSU=0) ( input logic clk, reset, // bus interface @@ -42,7 +42,7 @@ module busdp #(parameter WORDSPERLINE, LINELEN, WORDLEN, LOGWPL) input logic LSUBusAck, output logic LSUBusWrite, output logic LSUBusRead, -// output logic [`XLEN-1:0] LSUBusHWDATA, + output logic [`XLEN-1:0] LSUBusHWDATA, output logic [2:0] LSUBusSize, input logic [2:0] LSUFunct3M, output logic [`PA_BITS-1:0] LSUBusAdr, @@ -85,6 +85,11 @@ module busdp #(parameter WORDSPERLINE, LINELEN, WORDLEN, LOGWPL) mux2 #(`PA_BITS) localadrmux(DCacheBusAdr, LSUPAdrM, SelUncachedAdr, LocalLSUBusAdr); assign LSUBusAdr = ({{`PA_BITS-LOGWPL{1'b0}}, WordCount} << $clog2(`XLEN/8)) + LocalLSUBusAdr; //assign PreLSUBusHWDATA = ReadDataWordM;// ReadDataLineSetsM[WordCount]; // only in lsu, not ifu + // this mux is only used in the LSU's bus. + if(LSU == 1) mux2 #(`XLEN) lsubushwdatamux( .d0(ReadDataWordM), .d1(FinalAMOWriteDataM), + .s(SelUncachedAdr), .y(LSUBusHWDATA)); + else assign LSUBusHWDATA = '0; + mux2 #(3) lsubussizemux( .d0(`XLEN == 32 ? 3'b010 : 3'b011), .d1(LSUFunct3M), .s(SelUncachedAdr), .y(LSUBusSize)); mux2 #(WORDLEN) UnCachedDataMux( diff --git a/pipelined/src/lsu/lsu.sv b/pipelined/src/lsu/lsu.sv index dcaf683f1..b625a4194 100644 --- a/pipelined/src/lsu/lsu.sv +++ b/pipelined/src/lsu/lsu.sv @@ -199,10 +199,10 @@ module lsu ( logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0]; logic [`PA_BITS-1-`XLEN/8-LOGWPL:0] Pad; - busdp #(WORDSPERLINE, LINELEN, `XLEN, LOGWPL) busdp( + busdp #(WORDSPERLINE, LINELEN, `XLEN, LOGWPL, 1) busdp( .clk, .reset, - .LSUBusHRDATA, .LSUBusAck, .LSUBusWrite, .LSUBusRead, .LSUBusSize, - .WordCount, .SelUncachedAdr, + .LSUBusHRDATA, .LSUBusHWDATA, .LSUBusAck, .LSUBusWrite, .LSUBusRead, .LSUBusSize, + .WordCount, .SelUncachedAdr, .LSUFunct3M, .LSUBusAdr, .DCacheBusAdr, .ReadDataLineSetsM, .DCacheFetchLine, .DCacheWriteLine, .DCacheBusAck, .DCacheMemWriteData, .LSUPAdrM, .FinalAMOWriteDataM, .ReadDataWordM, .ReadDataWordMuxM, .IgnoreRequest, .LSURWM, .CPUBusy, .CacheableM, @@ -210,8 +210,6 @@ module lsu ( assign Pad = '0; assign WordOffsetAddr = LSUBusWrite ? ({{`PA_BITS-LOGWPL{1'b0}}, WordCount} << $clog2(`XLEN/8)) : LSUPAdrM; - mux2 #(`XLEN) lsubushwdatamux( - .d0(ReadDataWordM), .d1(FinalAMOWriteDataM), .s(SelUncachedAdr), .y(LSUBusHWDATA)); subcachelineread #(LINELEN, `XLEN, `XLEN) subcachelineread( From dce4f8a0e5acdd742574f7b54fa3ec0a32ec2484 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 22:40:51 -0600 Subject: [PATCH 047/100] Cleanup. --- pipelined/src/ifu/ifu.sv | 2 +- pipelined/src/lsu/busdp.sv | 3 +-- pipelined/src/lsu/lsu.sv | 3 +-- 3 files changed, 3 insertions(+), 5 deletions(-) diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index f53669522..31038bff5 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -193,7 +193,7 @@ module ifu ( .LSUBusHRDATA(IFUBusHRDATA), .LSUBusAck(IFUBusAck), .LSUBusWrite(), .LSUBusRead(IFUBusRead), .LSUBusSize(), .LSUFunct3M(3'b010), .LSUBusAdr(IFUBusAdr), .DCacheBusAdr(ICacheBusAdr), - .WordCount(), .SelUncachedAdr(), .LSUBusHWDATA(), + .WordCount(), .LSUBusHWDATA(), .ReadDataLineSetsM(), .DCacheFetchLine(ICacheFetchLine), .DCacheWriteLine(1'b0), .DCacheBusAck(ICacheBusAck), .DCacheMemWriteData(ICacheMemWriteData), .LSUPAdrM(PCPF), diff --git a/pipelined/src/lsu/busdp.sv b/pipelined/src/lsu/busdp.sv index d987c1a1a..fc43d0c1a 100644 --- a/pipelined/src/lsu/busdp.sv +++ b/pipelined/src/lsu/busdp.sv @@ -47,7 +47,6 @@ module busdp #(parameter WORDSPERLINE, LINELEN, WORDLEN, LOGWPL, LSU=0) input logic [2:0] LSUFunct3M, output logic [`PA_BITS-1:0] LSUBusAdr, output logic [LOGWPL-1:0] WordCount, - output logic SelUncachedAdr, // cache interface. input logic [`PA_BITS-1:0] DCacheBusAdr, input var logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0], @@ -73,7 +72,7 @@ module busdp #(parameter WORDSPERLINE, LINELEN, WORDLEN, LOGWPL, LSU=0) logic [`XLEN-1:0] PreLSUBusHWDATA; logic [`PA_BITS-1:0] LocalLSUBusAdr; - + logic SelUncachedAdr; genvar index; for (index = 0; index < WORDSPERLINE; index++) begin:fetchbuffer diff --git a/pipelined/src/lsu/lsu.sv b/pipelined/src/lsu/lsu.sv index b625a4194..80e5ebfd8 100644 --- a/pipelined/src/lsu/lsu.sv +++ b/pipelined/src/lsu/lsu.sv @@ -202,7 +202,7 @@ module lsu ( busdp #(WORDSPERLINE, LINELEN, `XLEN, LOGWPL, 1) busdp( .clk, .reset, .LSUBusHRDATA, .LSUBusHWDATA, .LSUBusAck, .LSUBusWrite, .LSUBusRead, .LSUBusSize, - .WordCount, .SelUncachedAdr, + .WordCount, .LSUFunct3M, .LSUBusAdr, .DCacheBusAdr, .ReadDataLineSetsM, .DCacheFetchLine, .DCacheWriteLine, .DCacheBusAck, .DCacheMemWriteData, .LSUPAdrM, .FinalAMOWriteDataM, .ReadDataWordM, .ReadDataWordMuxM, .IgnoreRequest, .LSURWM, .CPUBusy, .CacheableM, @@ -211,7 +211,6 @@ module lsu ( assign Pad = '0; assign WordOffsetAddr = LSUBusWrite ? ({{`PA_BITS-LOGWPL{1'b0}}, WordCount} << $clog2(`XLEN/8)) : LSUPAdrM; - subcachelineread #(LINELEN, `XLEN, `XLEN) subcachelineread( .clk, .reset, .PAdr(WordOffsetAddr), .save, .restore, .ReadDataLine(ReadDataLineM), .ReadDataWord(ReadDataWordM)); From 1766c0f5baac4181544ed3bf2030f6ca742ea97d Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 22:52:51 -0600 Subject: [PATCH 048/100] Removed unused ports from caches and buses. --- pipelined/src/cache/cache.sv | 17 +---------------- pipelined/src/ifu/ifu.sv | 4 ++-- pipelined/src/lsu/busdp.sv | 16 ++++++---------- pipelined/src/lsu/lsu.sv | 8 ++------ 4 files changed, 11 insertions(+), 34 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index e08b65e87..b2e6d2391 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -56,8 +56,7 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( input logic CacheBusAck, output logic [`PA_BITS-1:0] CacheBusAdr, input logic [LINELEN-1:0] CacheMemWriteData, - output logic [LINELEN-1:0] ReadDataLine, - output logic [`XLEN-1:0] ReadDataLineSets [(LINELEN/`XLEN)-1:0]); + output logic [LINELEN-1:0] ReadDataLine); // Cache parameters localparam LINEBYTELEN = LINELEN/8; @@ -151,20 +150,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( flopenr #(NUMWAYS) wayhitsavereg(clk, save, reset, WayHitRaw, WayHitSaved); mux2 #(NUMWAYS) saverestoremux(WayHitRaw, WayHitSaved, restore, WayHit); - - // Convert the Read data bus ReadDataSelectWay into sets of XLEN so we can - // easily build a variable input mux. - // *** move this to LSU and IFU, also remove mux from busdp into LSU. - // *** give this a module name to match block diagram - genvar index; - if(DCACHE == 1) begin: readdata - // *** only here temporary - for (index = 0; index < WORDSPERLINE; index++) begin:readdatalinesetsmux - assign ReadDataLineSets[index] = ReadDataLine[((index+1)*`XLEN)-1: (index*`XLEN)]; - end - end else begin: readdata - end - ///////////////////////////////////////////////////////////////////////////////////////////// // Write Path: Write Enables ///////////////////////////////////////////////////////////////////////////////////////////// diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index 31038bff5..2505b6575 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -194,7 +194,7 @@ module ifu ( .LSUBusRead(IFUBusRead), .LSUBusSize(), .LSUFunct3M(3'b010), .LSUBusAdr(IFUBusAdr), .DCacheBusAdr(ICacheBusAdr), .WordCount(), .LSUBusHWDATA(), - .ReadDataLineSetsM(), .DCacheFetchLine(ICacheFetchLine), + .DCacheFetchLine(ICacheFetchLine), .DCacheWriteLine(1'b0), .DCacheBusAck(ICacheBusAck), .DCacheMemWriteData(ICacheMemWriteData), .LSUPAdrM(PCPF), .FinalAMOWriteDataM(), .ReadDataWordM(FinalInstrRawF), .ReadDataWordMuxM(AllInstrRawF[31:0]), @@ -216,7 +216,7 @@ module ifu ( .CacheMemWriteData(ICacheMemWriteData), .CacheBusAck(ICacheBusAck), .CacheBusAdr(ICacheBusAdr), .CacheStall(ICacheStallF), .CacheFetchLine(ICacheFetchLine), - .CacheWriteLine(), .ReadDataLineSets(), .ReadDataLine(ReadDataLine), + .CacheWriteLine(), .ReadDataLine(ReadDataLine), .save, .restore, .CacheMiss(ICacheMiss), .CacheAccess(ICacheAccess), .FinalWriteData('0), diff --git a/pipelined/src/lsu/busdp.sv b/pipelined/src/lsu/busdp.sv index fc43d0c1a..f4b2bb234 100644 --- a/pipelined/src/lsu/busdp.sv +++ b/pipelined/src/lsu/busdp.sv @@ -49,7 +49,6 @@ module busdp #(parameter WORDSPERLINE, LINELEN, WORDLEN, LOGWPL, LSU=0) output logic [LOGWPL-1:0] WordCount, // cache interface. input logic [`PA_BITS-1:0] DCacheBusAdr, - input var logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0], input logic DCacheFetchLine, input logic DCacheWriteLine, output logic DCacheBusAck, @@ -83,18 +82,15 @@ module busdp #(parameter WORDSPERLINE, LINELEN, WORDLEN, LOGWPL, LSU=0) mux2 #(`PA_BITS) localadrmux(DCacheBusAdr, LSUPAdrM, SelUncachedAdr, LocalLSUBusAdr); assign LSUBusAdr = ({{`PA_BITS-LOGWPL{1'b0}}, WordCount} << $clog2(`XLEN/8)) + LocalLSUBusAdr; - //assign PreLSUBusHWDATA = ReadDataWordM;// ReadDataLineSetsM[WordCount]; // only in lsu, not ifu - // this mux is only used in the LSU's bus. if(LSU == 1) mux2 #(`XLEN) lsubushwdatamux( .d0(ReadDataWordM), .d1(FinalAMOWriteDataM), - .s(SelUncachedAdr), .y(LSUBusHWDATA)); + .s(SelUncachedAdr), .y(LSUBusHWDATA)); else assign LSUBusHWDATA = '0; - - mux2 #(3) lsubussizemux( - .d0(`XLEN == 32 ? 3'b010 : 3'b011), .d1(LSUFunct3M), .s(SelUncachedAdr), .y(LSUBusSize)); - mux2 #(WORDLEN) UnCachedDataMux( - .d0(ReadDataWordM), .d1(DCacheMemWriteData[WORDLEN-1:0]), .s(SelUncachedAdr), .y(ReadDataWordMuxM)); + mux2 #(3) lsubussizemux(.d0(`XLEN == 32 ? 3'b010 : 3'b011), .d1(LSUFunct3M), + .s(SelUncachedAdr), .y(LSUBusSize)); + mux2 #(WORDLEN) UnCachedDataMux(.d0(ReadDataWordM), .d1(DCacheMemWriteData[WORDLEN-1:0]), + .s(SelUncachedAdr), .y(ReadDataWordMuxM)); - busfsm #(WordCountThreshold, LOGWPL, (`DMEM == `MEM_CACHE)) // *** cleanup + busfsm #(WordCountThreshold, LOGWPL, (`DMEM == `MEM_CACHE)) // *** cleanup Icache? must fix. busfsm(.clk, .reset, .IgnoreRequest, .LSURWM, .DCacheFetchLine, .DCacheWriteLine, .LSUBusAck, .CPUBusy, .CacheableM, .BusStall, .LSUBusWrite, .LSUBusRead, .DCacheBusAck, .BusCommittedM, .SelUncachedAdr, .WordCount); diff --git a/pipelined/src/lsu/lsu.sv b/pipelined/src/lsu/lsu.sv index 80e5ebfd8..c078ec897 100644 --- a/pipelined/src/lsu/lsu.sv +++ b/pipelined/src/lsu/lsu.sv @@ -175,7 +175,6 @@ module lsu ( logic [`XLEN-1:0] ReadDataWordMuxM; logic SelUncachedAdr; - if (`DMEM == `MEM_TIM) begin : dtim dtim dtim(.clk, .reset, .CPUBusy, .LSURWM, .IEUAdrM, .IEUAdrE, .TrapM, .FinalWriteDataM, .ReadDataWordM, .BusStall, .LSUBusWrite,.LSUBusRead, .BusCommittedM, @@ -196,19 +195,16 @@ module lsu ( logic [`PA_BITS-1:0] WordOffsetAddr; logic SelBus; logic [LOGWPL-1:0] WordCount; - logic [`XLEN-1:0] ReadDataLineSetsM [WORDSPERLINE-1:0]; - logic [`PA_BITS-1-`XLEN/8-LOGWPL:0] Pad; busdp #(WORDSPERLINE, LINELEN, `XLEN, LOGWPL, 1) busdp( .clk, .reset, .LSUBusHRDATA, .LSUBusHWDATA, .LSUBusAck, .LSUBusWrite, .LSUBusRead, .LSUBusSize, .WordCount, - .LSUFunct3M, .LSUBusAdr, .DCacheBusAdr, .ReadDataLineSetsM, .DCacheFetchLine, + .LSUFunct3M, .LSUBusAdr, .DCacheBusAdr, .DCacheFetchLine, .DCacheWriteLine, .DCacheBusAck, .DCacheMemWriteData, .LSUPAdrM, .FinalAMOWriteDataM, .ReadDataWordM, .ReadDataWordMuxM, .IgnoreRequest, .LSURWM, .CPUBusy, .CacheableM, .BusStall, .BusCommittedM); - assign Pad = '0; assign WordOffsetAddr = LSUBusWrite ? ({{`PA_BITS-LOGWPL{1'b0}}, WordCount} << $clog2(`XLEN/8)) : LSUPAdrM; subcachelineread #(LINELEN, `XLEN, `XLEN) subcachelineread( @@ -225,7 +221,7 @@ module lsu ( .FinalWriteData(FinalWriteDataM), .CacheStall(DCacheStallM), .CacheMiss(DCacheMiss), .CacheAccess(DCacheAccess), .IgnoreRequest, .CacheCommitted(DCacheCommittedM), .CacheBusAdr(DCacheBusAdr), - .ReadDataLineSets(ReadDataLineSetsM), .ReadDataLine(ReadDataLineM), .CacheMemWriteData(DCacheMemWriteData), + .ReadDataLine(ReadDataLineM), .CacheMemWriteData(DCacheMemWriteData), .CacheFetchLine(DCacheFetchLine), .CacheWriteLine(DCacheWriteLine), .CacheBusAck(DCacheBusAck), .InvalidateCacheM(1'b0)); From 581fbb7d1365a241d1f1569afa9c7888bbd1b515 Mon Sep 17 00:00:00 2001 From: David Harris Date: Sat, 5 Feb 2022 05:07:07 +0000 Subject: [PATCH 049/100] Modified wally-pipelined-batch.do to handle buildroot --- pipelined/regression/regression-wally | 6 ++-- pipelined/regression/sim-buildroot-batch | 8 +++-- pipelined/regression/sim-wally-batch | 2 +- pipelined/regression/wally-pipelined-batch.do | 32 +++++++++++++------ 4 files changed, 32 insertions(+), 16 deletions(-) diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index c3dd3fd26..262367b73 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -48,17 +48,17 @@ def getBuildrootTC(short): INSTR_LIMIT = 100000 # multiple of 100000 MAX_EXPECTED = 246000000 if short: - BRcmd="vsim > {} -c < {} -c < {} -c < {} -c < {} -c < {} -c < Date: Sat, 5 Feb 2022 05:28:40 +0000 Subject: [PATCH 050/100] Merged buildroot do files into wally-pipelined do files, added work suffixes so buildroot regression won't fail due to file conflicts --- pipelined/regression/sim-buildroot | 2 +- pipelined/regression/wally-buildroot-batch.do | 39 ------------- pipelined/regression/wally-buildroot.do | 44 --------------- pipelined/regression/wally-pipelined.do | 55 ++++++++++++------- 4 files changed, 35 insertions(+), 105 deletions(-) delete mode 100644 pipelined/regression/wally-buildroot-batch.do delete mode 100644 pipelined/regression/wally-buildroot.do diff --git a/pipelined/regression/sim-buildroot b/pipelined/regression/sim-buildroot index 8814ca6f3..adafb8ec8 100755 --- a/pipelined/regression/sim-buildroot +++ b/pipelined/regression/sim-buildroot @@ -30,4 +30,4 @@ echo "INSTR_LIMIT = ${INSTR_LIMIT}" echo "INSTR_WAVEON = ${INSTR_WAVEON}" echo "CHECKPOINT = ${CHECKPOINT}" -vsim -do "do ./wally-buildroot.do $INSTR_LIMIT $INSTR_WAVEON $CHECKPOINT" +vsim -do "do ./wally-pipelined.do buildroot buildroot $INSTR_LIMIT $INSTR_WAVEON $CHECKPOINT" diff --git a/pipelined/regression/wally-buildroot-batch.do b/pipelined/regression/wally-buildroot-batch.do deleted file mode 100644 index b079ed394..000000000 --- a/pipelined/regression/wally-buildroot-batch.do +++ /dev/null @@ -1,39 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work-buildroot] { - vdel -all -lib work-buildroot -} -vlib work-buildroot - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt -vlog -lint +incdir+../config/buildroot +incdir+../config/shared ../testbench/testbench-linux.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 - - -# start and run simulation -vopt work.testbench -G INSTR_LIMIT=$1 -G INSTR_WAVEON=$2 -G CHECKPOINT=$3 -o workopt - -vsim workopt -suppress 8852,12070 - -run -all -run -all -exec ./slack-notifier/slack-notifier.py -quit diff --git a/pipelined/regression/wally-buildroot.do b/pipelined/regression/wally-buildroot.do deleted file mode 100644 index 2ef745477..000000000 --- a/pipelined/regression/wally-buildroot.do +++ /dev/null @@ -1,44 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work-buildroot] { - vdel -all -lib work-buildroot -} -vlib work-buildroot - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt -vlog +incdir+../config/buildroot +incdir+../config/shared ../testbench/testbench-linux.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 - - -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt +acc work.testbench -G INSTR_LIMIT=$1 -G INSTR_WAVEON=$2 -G CHECKPOINT=$3 -o workopt - -vsim workopt -suppress 8852,12070 - -#-- Run the Simulation -run -all -do linux-wave.do -add log -recursive /* -run -all - -exec ./slack-notifier/slack-notifier.py -#quit diff --git a/pipelined/regression/wally-pipelined.do b/pipelined/regression/wally-pipelined.do index 565643d85..db84feb41 100644 --- a/pipelined/regression/wally-pipelined.do +++ b/pipelined/regression/wally-pipelined.do @@ -29,28 +29,41 @@ vlib work # "Extra checking for conflicts with always_comb done at vopt time" # because vsim will run vopt -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -#switch $argc { -# 0 {vlog +incdir+../config/rv64ic +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -# 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -#} # start and run simulation # remove +acc flag for faster sim during regressions if there is no need to access internal signals -vlog +incdir+../config/$1 +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 -suppress 7063 -vopt +acc work.testbench -G TEST=$2 -G DEBUG=1 -o workopt -vsim workopt +nowarn3829 +if {$2 eq "buildroot"} { + vlog +incdir+../config/buildroot +incdir+../config/shared ../testbench/testbench-linux.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 -view wave --- display input and output signals as hexidecimal values -#do ./wave-dos/peripheral-waves.do -add log -recursive /* -do wave.do --- Run the Simulation -#run 3600 -run -all -#quit -#noview ../testbench/testbench-imperas.sv -noview ../testbench/testbench.sv -view wave + # start and run simulation + # remove +acc flag for faster sim during regressions if there is no need to access internal signals + vopt +acc work.testbench -G INSTR_LIMIT=$3 -G INSTR_WAVEON=$4 -G CHECKPOINT=$5 -o workopt + + vsim workopt -suppress 8852,12070 + + #-- Run the Simulation + run -all + do linux-wave.do + add log -recursive /* + run -all + + exec ./slack-notifier/slack-notifier.py + } else { + vlog +incdir+../config/$1 +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 -suppress 7063 + vopt +acc work.testbench -G TEST=$2 -G DEBUG=1 -o workopt + + vsim workopt +nowarn3829 + + view wave + #-- display input and output signals as hexidecimal values + #do ./wave-dos/peripheral-waves.do + add log -recursive /* + do wave.do + + #-- Run the Simulation + #run 3600 + run -all + noview ../testbench/testbench.sv + view wave +} + From 0dd8c719add10a40751d4f475f901b5f4d5f9173 Mon Sep 17 00:00:00 2001 From: David Harris Date: Sat, 5 Feb 2022 05:35:51 +0000 Subject: [PATCH 051/100] Modified regression to use proper rv32e test name, but rv32e_wally32e still isn't passing due to loop exceeding iteration limit --- pipelined/config/rv32e/wally-config.vh | 5 +++-- pipelined/regression/regression-wally | 2 +- 2 files changed, 4 insertions(+), 3 deletions(-) diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh index b550407db..45d0948a9 100644 --- a/pipelined/config/rv32e/wally-config.vh +++ b/pipelined/config/rv32e/wally-config.vh @@ -48,8 +48,9 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define DMEM `MEM_TIM -`define IMEM `MEM_TIM +// *** replace with MEM_BUS +`define DMEM `MEM_CACHE +`define IMEM `MEM_CACHE `define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index 262367b73..13ceeef3b 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -88,7 +88,7 @@ for test in tests32ic: grepstr="All tests ran without failures") configs.append(tc) -tests32e = ["arch32e"] +tests32e = ["wally32e"] for test in tests32e: tc = TestCase( name=test, From 308cc34d6fb414323eb2a17a19ccf3c19e330e15 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Fri, 4 Feb 2022 23:19:00 -0600 Subject: [PATCH 052/100] Added config to allow using the save/restore or replay implementation to handle sram clocked read delay. --- pipelined/config/buildroot/wally-config.vh | 2 ++ pipelined/config/fpga/wally-config.vh | 2 ++ pipelined/config/rv32etim/wally-config.vh | 2 ++ pipelined/config/rv32gc/wally-config.vh | 2 ++ pipelined/config/rv32ic/wally-config.vh | 2 ++ pipelined/config/rv32tim/wally-config.vh | 2 ++ pipelined/config/rv64BP/wally-config.vh | 2 ++ pipelined/config/rv64gc/wally-config.vh | 1 + pipelined/config/rv64ic/wally-config.vh | 1 + pipelined/src/cache/cache.sv | 6 ++++-- pipelined/src/cache/cachefsm.sv | 25 +++++++++++----------- pipelined/src/cache/subcachelineread.sv | 9 ++++---- 12 files changed, 37 insertions(+), 19 deletions(-) diff --git a/pipelined/config/buildroot/wally-config.vh b/pipelined/config/buildroot/wally-config.vh index f879ce2a6..1bdea74a7 100644 --- a/pipelined/config/buildroot/wally-config.vh +++ b/pipelined/config/buildroot/wally-config.vh @@ -124,3 +124,5 @@ `define BPRED_ENABLED 1 `define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE `define TESTSBP 0 + +`define REPLAY 0 diff --git a/pipelined/config/fpga/wally-config.vh b/pipelined/config/fpga/wally-config.vh index 58efd0463..223aa3d80 100644 --- a/pipelined/config/fpga/wally-config.vh +++ b/pipelined/config/fpga/wally-config.vh @@ -130,3 +130,5 @@ `define BPRED_ENABLED 1 `define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE `define TESTSBP 1 + +`define REPLAY 0 diff --git a/pipelined/config/rv32etim/wally-config.vh b/pipelined/config/rv32etim/wally-config.vh index bbeccbe85..4f77ae8bb 100644 --- a/pipelined/config/rv32etim/wally-config.vh +++ b/pipelined/config/rv32etim/wally-config.vh @@ -127,3 +127,5 @@ `define BPRED_ENABLED 0 `define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE `define TESTSBP 0 + +`define REPLAY 0 diff --git a/pipelined/config/rv32gc/wally-config.vh b/pipelined/config/rv32gc/wally-config.vh index a3859740c..af6ef40cf 100644 --- a/pipelined/config/rv32gc/wally-config.vh +++ b/pipelined/config/rv32gc/wally-config.vh @@ -126,3 +126,5 @@ `define BPRED_ENABLED 1 `define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE `define TESTSBP 0 + +`define REPLAY 0 diff --git a/pipelined/config/rv32ic/wally-config.vh b/pipelined/config/rv32ic/wally-config.vh index d0b8adfb0..4d7b0418a 100644 --- a/pipelined/config/rv32ic/wally-config.vh +++ b/pipelined/config/rv32ic/wally-config.vh @@ -126,3 +126,5 @@ `define BPRED_ENABLED 1 `define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE `define TESTSBP 0 + +`define REPLAY 0 diff --git a/pipelined/config/rv32tim/wally-config.vh b/pipelined/config/rv32tim/wally-config.vh index 0fb1dafa2..713a6a6b8 100644 --- a/pipelined/config/rv32tim/wally-config.vh +++ b/pipelined/config/rv32tim/wally-config.vh @@ -126,3 +126,5 @@ `define BPRED_ENABLED 1 `define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE `define TESTSBP 0 + +`define REPLAY 0 diff --git a/pipelined/config/rv64BP/wally-config.vh b/pipelined/config/rv64BP/wally-config.vh index 78230552c..f8ee8903b 100644 --- a/pipelined/config/rv64BP/wally-config.vh +++ b/pipelined/config/rv64BP/wally-config.vh @@ -129,3 +129,5 @@ //`define BPTYPE "BPGSHARE" // BPGLOBAL or BPTWOBIT or BPGSHARE `define BPTYPE "BPGSHARE" // BPTWOBIT or "BPGLOBAL" or BPLOCALPAg or BPGSHARE `define TESTSBP 1 + +`define REPLAY 0 diff --git a/pipelined/config/rv64gc/wally-config.vh b/pipelined/config/rv64gc/wally-config.vh index f5bc8a29f..ea17620c3 100644 --- a/pipelined/config/rv64gc/wally-config.vh +++ b/pipelined/config/rv64gc/wally-config.vh @@ -130,3 +130,4 @@ `define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE `define TESTSBP 0 +`define REPLAY 0 diff --git a/pipelined/config/rv64ic/wally-config.vh b/pipelined/config/rv64ic/wally-config.vh index 00b9a87f5..ec497db2a 100644 --- a/pipelined/config/rv64ic/wally-config.vh +++ b/pipelined/config/rv64ic/wally-config.vh @@ -130,3 +130,4 @@ `define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE `define TESTSBP 0 +`define REPLAY 0 diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index b2e6d2391..4c8f88aa4 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -147,8 +147,10 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( // There are two ways to resolve. 1. We can replay the read of the sram or we can save // the data. Replay is eaiser but creates a longer critical path. // save/restore only wayhit and readdata. - flopenr #(NUMWAYS) wayhitsavereg(clk, save, reset, WayHitRaw, WayHitSaved); - mux2 #(NUMWAYS) saverestoremux(WayHitRaw, WayHitSaved, restore, WayHit); + if(!`REPLAY) begin + flopenr #(NUMWAYS) wayhitsavereg(clk, save, reset, WayHitRaw, WayHitSaved); + mux2 #(NUMWAYS) saverestoremux(WayHitRaw, WayHitSaved, restore, WayHit); + end else assign WayHit = WayHitRaw; ///////////////////////////////////////////////////////////////////////////////////////////// // Write Path: Write Enables diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 3b60efe1f..75bcb2663 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -181,8 +181,8 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; - //PreSelAdr = 2'b01; `REPLAY - save = 1'b1; + if (`REPLAY) PreSelAdr = 2'b01; + else save = 1'b1; end else begin SRAMWordWriteEnable = 1'b1; @@ -198,8 +198,8 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; `REPLAY - save = 1'b1; + if(`REPLAY) PreSelAdr = 2'b01; + else save = 1'b1; end else begin NextState = STATE_READY; @@ -215,8 +215,8 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; `REPLAY - save = 1'b1; + if(`REPLAY) PreSelAdr = 2'b01; + else save = 1'b1; end else begin NextState = STATE_READY; @@ -276,7 +276,6 @@ module cachefsm end STATE_MISS_READ_WORD_DELAY: begin - //PreSelAdr = 2'b01; `REPLAY SRAMWordWriteEnable = 1'b0; SetDirty = 1'b0; LRUWriteEn = 1'b0; @@ -284,7 +283,7 @@ module cachefsm PreSelAdr = 2'b01; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; - save = 1'b1; + if(~`REPLAY) save = 1'b1; end else begin SRAMWordWriteEnable = 1'b1; @@ -296,8 +295,8 @@ module cachefsm LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; `REPLAY - save = 1'b1; + if(`REPLAY) PreSelAdr = 2'b01; + else save = 1'b1; end else begin NextState = STATE_READY; @@ -312,8 +311,8 @@ module cachefsm LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; `REPLAY - save = 1'b1; + if(`REPLAY) PreSelAdr = 2'b01; + else save = 1'b1; end else begin NextState = STATE_READY; @@ -337,7 +336,7 @@ module cachefsm restore = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - //PreSelAdr = 2'b01; `REPLAY + if(`REPLAY) PreSelAdr = 2'b01; end else begin NextState = STATE_READY; diff --git a/pipelined/src/cache/subcachelineread.sv b/pipelined/src/cache/subcachelineread.sv index e42f5e711..111ec506f 100644 --- a/pipelined/src/cache/subcachelineread.sv +++ b/pipelined/src/cache/subcachelineread.sv @@ -61,8 +61,9 @@ module subcachelineread #(parameter LINELEN, WORDLEN, MUXINTERVAL)( end // variable input mux assign ReadDataWordRaw = ReadDataLineSets[PAdr[$clog2(LINELEN/8) - 1 : $clog2(MUXINTERVAL/8)]]; - flopen #(WORDLEN) cachereaddatasavereg(clk, save, ReadDataWordRaw, ReadDataWordSaved); - mux2 #(WORDLEN) readdatasaverestoremux(ReadDataWordRaw, ReadDataWordSaved, - restore, ReadDataWord); - + if(!`REPLAY) begin + flopen #(WORDLEN) cachereaddatasavereg(clk, save, ReadDataWordRaw, ReadDataWordSaved); + mux2 #(WORDLEN) readdatasaverestoremux(ReadDataWordRaw, ReadDataWordSaved, + restore, ReadDataWord); + end else assign ReadDataWord = ReadDataWordRaw; endmodule From 186267e35ad263f41f9cec2ae33ddc94e8cfb48f Mon Sep 17 00:00:00 2001 From: bbracker Date: Sat, 5 Feb 2022 21:34:50 +0000 Subject: [PATCH 053/100] Remove rv32e tests from rv32i_m Makefrag so that make XLEN=32 works --- .../riscv-test-suite/rv32i_m/I/Makefrag | 43 ++----------------- 1 file changed, 3 insertions(+), 40 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag index 03ddfcf65..eda625075 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag @@ -28,48 +28,11 @@ # Description: Makefrag for RV32I architectural tests rv32i_sc_tests = \ - E-add-01 \ - E-addi-01 \ - E-and-01 \ - E-andi-01 \ - E-auipc-01 \ - E-beq-01 \ - E-bge-01 \ - E-bgeu-01 \ - E-blt-01 \ - E-bltu-01 \ - E-bne-01 \ - E-jal-01 \ - E-jalr-01 \ - E-lb-align-01 \ - E-lbu-align-01 \ - E-lh-align-01 \ - E-lhu-align-01 \ - E-lui-01 \ - E-lw-align-01 \ - E-or-01 \ - E-ori-01 \ - E-sb-align-01 \ - E-sh-align-01 \ - E-sll-01 \ - E-slli-01 \ - E-slt-01 \ - E-slti-01 \ - E-sltiu-01 \ - E-sltu-01 \ - E-sra-01 \ - E-srai-01 \ - E-srl-01 \ - E-srli-01 \ - E-sub-01 \ - E-sw-align-01 \ - E-xor-01 \ - E-xori-01 \ WALLY-ADD \ - WALLY-SUB \ - WALLY-SLT \ + WALLY-SLT \ WALLY-SLTU \ - WALLY-XOR + WALLY-SUB \ + WALLY-XOR rv32i_tests = $(addsuffix .elf, $(rv32i_sc_tests)) From fc2e3d1fbfcf5d9e530f18dd5b40838f92765a60 Mon Sep 17 00:00:00 2001 From: bbracker Date: Sat, 5 Feb 2022 23:05:21 +0000 Subject: [PATCH 054/100] remove rv32e from regression because it is broken; goes with previous commit --- pipelined/regression/regression-wally | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index 13ceeef3b..43e34bb76 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -88,14 +88,14 @@ for test in tests32ic: grepstr="All tests ran without failures") configs.append(tc) -tests32e = ["wally32e"] -for test in tests32e: - tc = TestCase( - name=test, - variant="rv32e", - cmd="vsim > {} -c < {} -c < Date: Sat, 5 Feb 2022 23:07:38 +0000 Subject: [PATCH 055/100] remove sporadic tabs from tests.vh so that it is now only spaces --- pipelined/testbench/tests.vh | 1476 +++++++++++++++++----------------- 1 file changed, 738 insertions(+), 738 deletions(-) diff --git a/pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh index fc4f0013e..4325f3539 100644 --- a/pipelined/testbench/tests.vh +++ b/pipelined/testbench/tests.vh @@ -101,739 +101,739 @@ string tvpaths[] = '{ string imperas32f[] = '{ `IMPERASTEST, "rv32i_m/F/FADD-S-DYN-RDN-01", "002010", - "rv32i_m/F/FADD-S-DYN-RMM-01", "002010", - "rv32i_m/F/FADD-S-DYN-RNE-01", "002010", - "rv32i_m/F/FADD-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FADD-S-DYN-RUP-01", "002010", - "rv32i_m/F/FADD-S-RDN-01", "002010", - "rv32i_m/F/FADD-S-RMM-01", "002010", - "rv32i_m/F/FADD-S-RNE-01", "002010", - "rv32i_m/F/FADD-S-RTZ-01", "002010", - "rv32i_m/F/FADD-S-RUP-01", "002010", - "rv32i_m/F/FCLASS-S-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RDN-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RMM-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RNE-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RTZ-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RUP-01", "002010", - "rv32i_m/F/FCVT-S-W-RDN-01", "002010", - "rv32i_m/F/FCVT-S-W-RMM-01", "002010", - "rv32i_m/F/FCVT-S-W-RNE-01", "002010", - "rv32i_m/F/FCVT-S-W-RTZ-01", "002010", - "rv32i_m/F/FCVT-S-W-RUP-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RDN-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RMM-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RNE-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RTZ-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RUP-01", "002010", - "rv32i_m/F/FCVT-S-WU-RDN-01", "002010", - "rv32i_m/F/FCVT-S-WU-RMM-01", "002010", - "rv32i_m/F/FCVT-S-WU-RNE-01", "002010", - "rv32i_m/F/FCVT-S-WU-RTZ-01", "002010", - "rv32i_m/F/FCVT-S-WU-RUP-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RDN-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RMM-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RNE-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RUP-01", "002010", - "rv32i_m/F/FCVT-W-S-RDN-01", "002010", - "rv32i_m/F/FCVT-W-S-RMM-01", "002010", - "rv32i_m/F/FCVT-W-S-RNE-01", "002010", - "rv32i_m/F/FCVT-W-S-RTZ-01", "002010", - "rv32i_m/F/FCVT-W-S-RUP-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RDN-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RMM-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RNE-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RUP-01", "002010", - "rv32i_m/F/FCVT-WU-S-RDN-01", "002010", - "rv32i_m/F/FCVT-WU-S-RMM-01", "002010", - "rv32i_m/F/FCVT-WU-S-RNE-01", "002010", - "rv32i_m/F/FCVT-WU-S-RTZ-01", "002010", - "rv32i_m/F/FCVT-WU-S-RUP-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RDN-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RMM-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RNE-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RTZ-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RUP-01", "002010", - // "rv32i_m/F/FDIV-S-RDN-01", "002010", - // "rv32i_m/F/FDIV-S-RMM-01", "002010", - // "rv32i_m/F/FDIV-S-RNE-01", "002010", - // "rv32i_m/F/FDIV-S-RTZ-01", "002010", - // "rv32i_m/F/FDIV-S-RUP-01", "002010", - "rv32i_m/F/FEQ-S-01", "002010", - "rv32i_m/F/FLE-S-01", "002010", - "rv32i_m/F/FLT-S-01", "002010", - "rv32i_m/F/FLW-01", "002120", - "rv32i_m/F/FMADD-S-DYN-RDN-01", "002010", - "rv32i_m/F/FMADD-S-DYN-RMM-01", "002010", - "rv32i_m/F/FMADD-S-DYN-RNE-01", "002010", - "rv32i_m/F/FMADD-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FMADD-S-DYN-RUP-01", "002010", - "rv32i_m/F/FMADD-S-RDN-01", "002010", - "rv32i_m/F/FMADD-S-RMM-01", "002010", - "rv32i_m/F/FMADD-S-RNE-01", "002010", - "rv32i_m/F/FMADD-S-RTZ-01", "002010", - "rv32i_m/F/FMADD-S-RUP-01", "002010", - "rv32i_m/F/FMAX-S-01", "002010", - "rv32i_m/F/FMIN-S-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RDN-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RMM-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RNE-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RUP-01", "002010", - "rv32i_m/F/FMSUB-S-RDN-01", "002010", - "rv32i_m/F/FMSUB-S-RMM-01", "002010", - "rv32i_m/F/FMSUB-S-RNE-01", "002010", - "rv32i_m/F/FMSUB-S-RTZ-01", "002010", - "rv32i_m/F/FMSUB-S-RUP-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RDN-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RMM-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RNE-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RUP-01", "002010", - "rv32i_m/F/FMUL-S-RDN-01", "002010", - "rv32i_m/F/FMUL-S-RMM-01", "002010", - "rv32i_m/F/FMUL-S-RNE-01", "002010", - "rv32i_m/F/FMUL-S-RTZ-01", "002010", - "rv32i_m/F/FMUL-S-RUP-01", "002010", - "rv32i_m/F/FMV-W-X-01", "002010", - "rv32i_m/F/FMV-X-W-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RDN-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RMM-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RNE-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RUP-01", "002010", - "rv32i_m/F/FNMADD-S-RDN-01", "002010", - "rv32i_m/F/FNMADD-S-RMM-01", "002010", - "rv32i_m/F/FNMADD-S-RNE-01", "002010", - "rv32i_m/F/FNMADD-S-RTZ-01", "002010", - "rv32i_m/F/FNMADD-S-RUP-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RDN-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RMM-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RNE-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RUP-01", "002010", - "rv32i_m/F/FNMSUB-S-RDN-01", "002010", - "rv32i_m/F/FNMSUB-S-RMM-01", "002010", - "rv32i_m/F/FNMSUB-S-RNE-01", "002010", - "rv32i_m/F/FNMSUB-S-RTZ-01", "002010", - "rv32i_m/F/FNMSUB-S-RUP-01", "002010", - "rv32i_m/F/FSGNJN-S-01", "002010", - "rv32i_m/F/FSGNJ-S-01", "002010", - "rv32i_m/F/FSGNJX-S-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RDN-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RMM-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RNE-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RTZ-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RUP-01", "002010", - // "rv32i_m/F/FSQRT-S-RDN-01", "002010", - // "rv32i_m/F/FSQRT-S-RMM-01", "002010", - // "rv32i_m/F/FSQRT-S-RNE-01", "002010", - // "rv32i_m/F/FSQRT-S-RTZ-01", "002010", - // "rv32i_m/F/FSQRT-S-RUP-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RDN-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RMM-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RNE-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RUP-01", "002010", - "rv32i_m/F/FSUB-S-RDN-01", "002010", - "rv32i_m/F/FSUB-S-RMM-01", "002010", - "rv32i_m/F/FSUB-S-RNE-01", "002010", - "rv32i_m/F/FSUB-S-RTZ-01", "002010", - "rv32i_m/F/FSUB-S-RUP-01", "002010", - "rv32i_m/F/FSW-01", "002010" + "rv32i_m/F/FADD-S-DYN-RMM-01", "002010", + "rv32i_m/F/FADD-S-DYN-RNE-01", "002010", + "rv32i_m/F/FADD-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FADD-S-DYN-RUP-01", "002010", + "rv32i_m/F/FADD-S-RDN-01", "002010", + "rv32i_m/F/FADD-S-RMM-01", "002010", + "rv32i_m/F/FADD-S-RNE-01", "002010", + "rv32i_m/F/FADD-S-RTZ-01", "002010", + "rv32i_m/F/FADD-S-RUP-01", "002010", + "rv32i_m/F/FCLASS-S-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RDN-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RMM-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RNE-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RTZ-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RUP-01", "002010", + "rv32i_m/F/FCVT-S-W-RDN-01", "002010", + "rv32i_m/F/FCVT-S-W-RMM-01", "002010", + "rv32i_m/F/FCVT-S-W-RNE-01", "002010", + "rv32i_m/F/FCVT-S-W-RTZ-01", "002010", + "rv32i_m/F/FCVT-S-W-RUP-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RDN-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RMM-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RNE-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RTZ-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RUP-01", "002010", + "rv32i_m/F/FCVT-S-WU-RDN-01", "002010", + "rv32i_m/F/FCVT-S-WU-RMM-01", "002010", + "rv32i_m/F/FCVT-S-WU-RNE-01", "002010", + "rv32i_m/F/FCVT-S-WU-RTZ-01", "002010", + "rv32i_m/F/FCVT-S-WU-RUP-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RDN-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RMM-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RNE-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RUP-01", "002010", + "rv32i_m/F/FCVT-W-S-RDN-01", "002010", + "rv32i_m/F/FCVT-W-S-RMM-01", "002010", + "rv32i_m/F/FCVT-W-S-RNE-01", "002010", + "rv32i_m/F/FCVT-W-S-RTZ-01", "002010", + "rv32i_m/F/FCVT-W-S-RUP-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RDN-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RMM-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RNE-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RUP-01", "002010", + "rv32i_m/F/FCVT-WU-S-RDN-01", "002010", + "rv32i_m/F/FCVT-WU-S-RMM-01", "002010", + "rv32i_m/F/FCVT-WU-S-RNE-01", "002010", + "rv32i_m/F/FCVT-WU-S-RTZ-01", "002010", + "rv32i_m/F/FCVT-WU-S-RUP-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RDN-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RMM-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RNE-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RTZ-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RUP-01", "002010", + // "rv32i_m/F/FDIV-S-RDN-01", "002010", + // "rv32i_m/F/FDIV-S-RMM-01", "002010", + // "rv32i_m/F/FDIV-S-RNE-01", "002010", + // "rv32i_m/F/FDIV-S-RTZ-01", "002010", + // "rv32i_m/F/FDIV-S-RUP-01", "002010", + "rv32i_m/F/FEQ-S-01", "002010", + "rv32i_m/F/FLE-S-01", "002010", + "rv32i_m/F/FLT-S-01", "002010", + "rv32i_m/F/FLW-01", "002120", + "rv32i_m/F/FMADD-S-DYN-RDN-01", "002010", + "rv32i_m/F/FMADD-S-DYN-RMM-01", "002010", + "rv32i_m/F/FMADD-S-DYN-RNE-01", "002010", + "rv32i_m/F/FMADD-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FMADD-S-DYN-RUP-01", "002010", + "rv32i_m/F/FMADD-S-RDN-01", "002010", + "rv32i_m/F/FMADD-S-RMM-01", "002010", + "rv32i_m/F/FMADD-S-RNE-01", "002010", + "rv32i_m/F/FMADD-S-RTZ-01", "002010", + "rv32i_m/F/FMADD-S-RUP-01", "002010", + "rv32i_m/F/FMAX-S-01", "002010", + "rv32i_m/F/FMIN-S-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RDN-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RMM-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RNE-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RUP-01", "002010", + "rv32i_m/F/FMSUB-S-RDN-01", "002010", + "rv32i_m/F/FMSUB-S-RMM-01", "002010", + "rv32i_m/F/FMSUB-S-RNE-01", "002010", + "rv32i_m/F/FMSUB-S-RTZ-01", "002010", + "rv32i_m/F/FMSUB-S-RUP-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RDN-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RMM-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RNE-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RUP-01", "002010", + "rv32i_m/F/FMUL-S-RDN-01", "002010", + "rv32i_m/F/FMUL-S-RMM-01", "002010", + "rv32i_m/F/FMUL-S-RNE-01", "002010", + "rv32i_m/F/FMUL-S-RTZ-01", "002010", + "rv32i_m/F/FMUL-S-RUP-01", "002010", + "rv32i_m/F/FMV-W-X-01", "002010", + "rv32i_m/F/FMV-X-W-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RDN-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RMM-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RNE-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RUP-01", "002010", + "rv32i_m/F/FNMADD-S-RDN-01", "002010", + "rv32i_m/F/FNMADD-S-RMM-01", "002010", + "rv32i_m/F/FNMADD-S-RNE-01", "002010", + "rv32i_m/F/FNMADD-S-RTZ-01", "002010", + "rv32i_m/F/FNMADD-S-RUP-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RDN-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RMM-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RNE-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RUP-01", "002010", + "rv32i_m/F/FNMSUB-S-RDN-01", "002010", + "rv32i_m/F/FNMSUB-S-RMM-01", "002010", + "rv32i_m/F/FNMSUB-S-RNE-01", "002010", + "rv32i_m/F/FNMSUB-S-RTZ-01", "002010", + "rv32i_m/F/FNMSUB-S-RUP-01", "002010", + "rv32i_m/F/FSGNJN-S-01", "002010", + "rv32i_m/F/FSGNJ-S-01", "002010", + "rv32i_m/F/FSGNJX-S-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RDN-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RMM-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RNE-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RTZ-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RUP-01", "002010", + // "rv32i_m/F/FSQRT-S-RDN-01", "002010", + // "rv32i_m/F/FSQRT-S-RMM-01", "002010", + // "rv32i_m/F/FSQRT-S-RNE-01", "002010", + // "rv32i_m/F/FSQRT-S-RTZ-01", "002010", + // "rv32i_m/F/FSQRT-S-RUP-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RDN-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RMM-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RNE-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RUP-01", "002010", + "rv32i_m/F/FSUB-S-RDN-01", "002010", + "rv32i_m/F/FSUB-S-RMM-01", "002010", + "rv32i_m/F/FSUB-S-RNE-01", "002010", + "rv32i_m/F/FSUB-S-RTZ-01", "002010", + "rv32i_m/F/FSUB-S-RUP-01", "002010", + "rv32i_m/F/FSW-01", "002010" }; string imperas64f[] = '{ `IMPERASTEST, - "rv64i_m/F/FADD-S-DYN-RDN-01", "002010", - "rv64i_m/F/FADD-S-DYN-RMM-01", "002010", - "rv64i_m/F/FADD-S-DYN-RNE-01", "002010", - "rv64i_m/F/FADD-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FADD-S-DYN-RUP-01", "002010", - "rv64i_m/F/FADD-S-RDN-01", "002010", - "rv64i_m/F/FADD-S-RMM-01", "002010", - "rv64i_m/F/FADD-S-RNE-01", "002010", - "rv64i_m/F/FADD-S-RTZ-01", "002010", - "rv64i_m/F/FADD-S-RUP-01", "002010", - "rv64i_m/F/FCLASS-S-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-L-S-RDN-01", "002010", - "rv64i_m/F/FCVT-L-S-RMM-01", "002010", - "rv64i_m/F/FCVT-L-S-RNE-01", "002010", - "rv64i_m/F/FCVT-L-S-RTZ-01", "002010", - "rv64i_m/F/FCVT-L-S-RUP-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-LU-S-RDN-01", "002010", - "rv64i_m/F/FCVT-LU-S-RMM-01", "002010", - "rv64i_m/F/FCVT-LU-S-RNE-01", "002010", - "rv64i_m/F/FCVT-LU-S-RTZ-01", "002010", - "rv64i_m/F/FCVT-LU-S-RUP-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-S-L-RDN-01", "002010", - "rv64i_m/F/FCVT-S-L-RMM-01", "002010", - "rv64i_m/F/FCVT-S-L-RNE-01", "002010", - "rv64i_m/F/FCVT-S-L-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-L-RUP-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-S-LU-RDN-01", "002010", - "rv64i_m/F/FCVT-S-LU-RMM-01", "002010", - "rv64i_m/F/FCVT-S-LU-RNE-01", "002010", - "rv64i_m/F/FCVT-S-LU-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-LU-RUP-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-S-W-RDN-01", "002010", - "rv64i_m/F/FCVT-S-W-RMM-01", "002010", - "rv64i_m/F/FCVT-S-W-RNE-01", "002010", - "rv64i_m/F/FCVT-S-W-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-W-RUP-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-S-WU-RDN-01", "002010", - "rv64i_m/F/FCVT-S-WU-RMM-01", "002010", - "rv64i_m/F/FCVT-S-WU-RNE-01", "002010", - "rv64i_m/F/FCVT-S-WU-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-WU-RUP-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-W-S-RDN-01", "002010", - "rv64i_m/F/FCVT-W-S-RMM-01", "002010", - "rv64i_m/F/FCVT-W-S-RNE-01", "002010", - "rv64i_m/F/FCVT-W-S-RTZ-01", "002010", - "rv64i_m/F/FCVT-W-S-RUP-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-WU-S-RDN-01", "002010", - "rv64i_m/F/FCVT-WU-S-RMM-01", "002010", - "rv64i_m/F/FCVT-WU-S-RNE-01", "002010", - "rv64i_m/F/FCVT-WU-S-RTZ-01", "002010", - "rv64i_m/F/FCVT-WU-S-RUP-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RDN-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RMM-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RNE-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RTZ-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RUP-01", "002010", - // "rv64i_m/F/FDIV-S-RDN-01", "002010", - // "rv64i_m/F/FDIV-S-RMM-01", "002010", - // "rv64i_m/F/FDIV-S-RNE-01", "002010", - // "rv64i_m/F/FDIV-S-RTZ-01", "002010", - // "rv64i_m/F/FDIV-S-RUP-01", "002010", - "rv64i_m/F/FEQ-S-01", "002010", - "rv64i_m/F/FLE-S-01", "002010", - "rv64i_m/F/FLT-S-01", "002010", - "rv64i_m/F/FLW-01", "002210", - "rv64i_m/F/FMADD-S-DYN-RDN-01", "002010", - "rv64i_m/F/FMADD-S-DYN-RMM-01", "002010", - "rv64i_m/F/FMADD-S-DYN-RNE-01", "002010", - "rv64i_m/F/FMADD-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FMADD-S-DYN-RUP-01", "002010", - "rv64i_m/F/FMADD-S-RDN-01", "002010", - "rv64i_m/F/FMADD-S-RMM-01", "002010", - "rv64i_m/F/FMADD-S-RNE-01", "002010", - "rv64i_m/F/FMADD-S-RTZ-01", "002010", - "rv64i_m/F/FMADD-S-RUP-01", "002010", - "rv64i_m/F/FMAX-S-01", "002010", - "rv64i_m/F/FMIN-S-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RDN-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RMM-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RNE-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RUP-01", "002010", - "rv64i_m/F/FMSUB-S-RDN-01", "002010", - "rv64i_m/F/FMSUB-S-RMM-01", "002010", - "rv64i_m/F/FMSUB-S-RNE-01", "002010", - "rv64i_m/F/FMSUB-S-RTZ-01", "002010", - "rv64i_m/F/FMSUB-S-RUP-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RDN-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RMM-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RNE-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RUP-01", "002010", - "rv64i_m/F/FMUL-S-RDN-01", "002010", - "rv64i_m/F/FMUL-S-RMM-01", "002010", - "rv64i_m/F/FMUL-S-RNE-01", "002010", - "rv64i_m/F/FMUL-S-RTZ-01", "002010", - "rv64i_m/F/FMUL-S-RUP-01", "002010", - "rv64i_m/F/FMV-W-X-01", "002010", - "rv64i_m/F/FMV-X-W-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RDN-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RMM-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RNE-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RUP-01", "002010", - "rv64i_m/F/FNMADD-S-RDN-01", "002010", - "rv64i_m/F/FNMADD-S-RMM-01", "002010", - "rv64i_m/F/FNMADD-S-RNE-01", "002010", - "rv64i_m/F/FNMADD-S-RTZ-01", "002010", - "rv64i_m/F/FNMADD-S-RUP-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RDN-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RMM-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RNE-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RUP-01", "002010", - "rv64i_m/F/FNMSUB-S-RDN-01", "002010", - "rv64i_m/F/FNMSUB-S-RMM-01", "002010", - "rv64i_m/F/FNMSUB-S-RNE-01", "002010", - "rv64i_m/F/FNMSUB-S-RTZ-01", "002010", - "rv64i_m/F/FNMSUB-S-RUP-01", "002010", - "rv64i_m/F/FSGNJN-S-01", "002010", - "rv64i_m/F/FSGNJ-S-01", "002010", - "rv64i_m/F/FSGNJX-S-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RDN-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RMM-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RNE-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RTZ-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RUP-01", "002010", - // "rv64i_m/F/FSQRT-S-RDN-01", "002010", - // "rv64i_m/F/FSQRT-S-RMM-01", "002010", - // "rv64i_m/F/FSQRT-S-RNE-01", "002010", - // "rv64i_m/F/FSQRT-S-RTZ-01", "002010", - // "rv64i_m/F/FSQRT-S-RUP-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RDN-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RMM-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RNE-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RUP-01", "002010", - "rv64i_m/F/FSUB-S-RDN-01", "002010", - "rv64i_m/F/FSUB-S-RMM-01", "002010", - "rv64i_m/F/FSUB-S-RNE-01", "002010", - "rv64i_m/F/FSUB-S-RTZ-01", "002010", - "rv64i_m/F/FSUB-S-RUP-01", "002010", - "rv64i_m/F/FSW-01", "002010" + "rv64i_m/F/FADD-S-DYN-RDN-01", "002010", + "rv64i_m/F/FADD-S-DYN-RMM-01", "002010", + "rv64i_m/F/FADD-S-DYN-RNE-01", "002010", + "rv64i_m/F/FADD-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FADD-S-DYN-RUP-01", "002010", + "rv64i_m/F/FADD-S-RDN-01", "002010", + "rv64i_m/F/FADD-S-RMM-01", "002010", + "rv64i_m/F/FADD-S-RNE-01", "002010", + "rv64i_m/F/FADD-S-RTZ-01", "002010", + "rv64i_m/F/FADD-S-RUP-01", "002010", + "rv64i_m/F/FCLASS-S-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-L-S-RDN-01", "002010", + "rv64i_m/F/FCVT-L-S-RMM-01", "002010", + "rv64i_m/F/FCVT-L-S-RNE-01", "002010", + "rv64i_m/F/FCVT-L-S-RTZ-01", "002010", + "rv64i_m/F/FCVT-L-S-RUP-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-LU-S-RDN-01", "002010", + "rv64i_m/F/FCVT-LU-S-RMM-01", "002010", + "rv64i_m/F/FCVT-LU-S-RNE-01", "002010", + "rv64i_m/F/FCVT-LU-S-RTZ-01", "002010", + "rv64i_m/F/FCVT-LU-S-RUP-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-S-L-RDN-01", "002010", + "rv64i_m/F/FCVT-S-L-RMM-01", "002010", + "rv64i_m/F/FCVT-S-L-RNE-01", "002010", + "rv64i_m/F/FCVT-S-L-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-L-RUP-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-S-LU-RDN-01", "002010", + "rv64i_m/F/FCVT-S-LU-RMM-01", "002010", + "rv64i_m/F/FCVT-S-LU-RNE-01", "002010", + "rv64i_m/F/FCVT-S-LU-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-LU-RUP-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-S-W-RDN-01", "002010", + "rv64i_m/F/FCVT-S-W-RMM-01", "002010", + "rv64i_m/F/FCVT-S-W-RNE-01", "002010", + "rv64i_m/F/FCVT-S-W-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-W-RUP-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-S-WU-RDN-01", "002010", + "rv64i_m/F/FCVT-S-WU-RMM-01", "002010", + "rv64i_m/F/FCVT-S-WU-RNE-01", "002010", + "rv64i_m/F/FCVT-S-WU-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-WU-RUP-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-W-S-RDN-01", "002010", + "rv64i_m/F/FCVT-W-S-RMM-01", "002010", + "rv64i_m/F/FCVT-W-S-RNE-01", "002010", + "rv64i_m/F/FCVT-W-S-RTZ-01", "002010", + "rv64i_m/F/FCVT-W-S-RUP-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-WU-S-RDN-01", "002010", + "rv64i_m/F/FCVT-WU-S-RMM-01", "002010", + "rv64i_m/F/FCVT-WU-S-RNE-01", "002010", + "rv64i_m/F/FCVT-WU-S-RTZ-01", "002010", + "rv64i_m/F/FCVT-WU-S-RUP-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RDN-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RMM-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RNE-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RTZ-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RUP-01", "002010", + // "rv64i_m/F/FDIV-S-RDN-01", "002010", + // "rv64i_m/F/FDIV-S-RMM-01", "002010", + // "rv64i_m/F/FDIV-S-RNE-01", "002010", + // "rv64i_m/F/FDIV-S-RTZ-01", "002010", + // "rv64i_m/F/FDIV-S-RUP-01", "002010", + "rv64i_m/F/FEQ-S-01", "002010", + "rv64i_m/F/FLE-S-01", "002010", + "rv64i_m/F/FLT-S-01", "002010", + "rv64i_m/F/FLW-01", "002210", + "rv64i_m/F/FMADD-S-DYN-RDN-01", "002010", + "rv64i_m/F/FMADD-S-DYN-RMM-01", "002010", + "rv64i_m/F/FMADD-S-DYN-RNE-01", "002010", + "rv64i_m/F/FMADD-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FMADD-S-DYN-RUP-01", "002010", + "rv64i_m/F/FMADD-S-RDN-01", "002010", + "rv64i_m/F/FMADD-S-RMM-01", "002010", + "rv64i_m/F/FMADD-S-RNE-01", "002010", + "rv64i_m/F/FMADD-S-RTZ-01", "002010", + "rv64i_m/F/FMADD-S-RUP-01", "002010", + "rv64i_m/F/FMAX-S-01", "002010", + "rv64i_m/F/FMIN-S-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RDN-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RMM-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RNE-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RUP-01", "002010", + "rv64i_m/F/FMSUB-S-RDN-01", "002010", + "rv64i_m/F/FMSUB-S-RMM-01", "002010", + "rv64i_m/F/FMSUB-S-RNE-01", "002010", + "rv64i_m/F/FMSUB-S-RTZ-01", "002010", + "rv64i_m/F/FMSUB-S-RUP-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RDN-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RMM-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RNE-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RUP-01", "002010", + "rv64i_m/F/FMUL-S-RDN-01", "002010", + "rv64i_m/F/FMUL-S-RMM-01", "002010", + "rv64i_m/F/FMUL-S-RNE-01", "002010", + "rv64i_m/F/FMUL-S-RTZ-01", "002010", + "rv64i_m/F/FMUL-S-RUP-01", "002010", + "rv64i_m/F/FMV-W-X-01", "002010", + "rv64i_m/F/FMV-X-W-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RDN-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RMM-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RNE-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RUP-01", "002010", + "rv64i_m/F/FNMADD-S-RDN-01", "002010", + "rv64i_m/F/FNMADD-S-RMM-01", "002010", + "rv64i_m/F/FNMADD-S-RNE-01", "002010", + "rv64i_m/F/FNMADD-S-RTZ-01", "002010", + "rv64i_m/F/FNMADD-S-RUP-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RDN-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RMM-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RNE-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RUP-01", "002010", + "rv64i_m/F/FNMSUB-S-RDN-01", "002010", + "rv64i_m/F/FNMSUB-S-RMM-01", "002010", + "rv64i_m/F/FNMSUB-S-RNE-01", "002010", + "rv64i_m/F/FNMSUB-S-RTZ-01", "002010", + "rv64i_m/F/FNMSUB-S-RUP-01", "002010", + "rv64i_m/F/FSGNJN-S-01", "002010", + "rv64i_m/F/FSGNJ-S-01", "002010", + "rv64i_m/F/FSGNJX-S-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RDN-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RMM-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RNE-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RTZ-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RUP-01", "002010", + // "rv64i_m/F/FSQRT-S-RDN-01", "002010", + // "rv64i_m/F/FSQRT-S-RMM-01", "002010", + // "rv64i_m/F/FSQRT-S-RNE-01", "002010", + // "rv64i_m/F/FSQRT-S-RTZ-01", "002010", + // "rv64i_m/F/FSQRT-S-RUP-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RDN-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RMM-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RNE-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RUP-01", "002010", + "rv64i_m/F/FSUB-S-RDN-01", "002010", + "rv64i_m/F/FSUB-S-RMM-01", "002010", + "rv64i_m/F/FSUB-S-RNE-01", "002010", + "rv64i_m/F/FSUB-S-RTZ-01", "002010", + "rv64i_m/F/FSUB-S-RUP-01", "002010", + "rv64i_m/F/FSW-01", "002010" }; string imperas64d[] = '{ `IMPERASTEST, - "rv64i_m/D/FADD-D-DYN-RDN-01", "002010", - "rv64i_m/D/FADD-D-DYN-RMM-01", "002010", - "rv64i_m/D/FADD-D-DYN-RNE-01", "002010", - "rv64i_m/D/FADD-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FADD-D-DYN-RUP-01", "002010", - "rv64i_m/D/FADD-D-RDN-01", "002010", - "rv64i_m/D/FADD-D-RMM-01", "002010", - "rv64i_m/D/FADD-D-RNE-01", "002010", - "rv64i_m/D/FADD-D-RTZ-01", "002010", - "rv64i_m/D/FADD-D-RUP-01", "002010", - "rv64i_m/D/FCLASS-D-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-D-L-RDN-01", "002010", - "rv64i_m/D/FCVT-D-L-RMM-01", "002010", - "rv64i_m/D/FCVT-D-L-RNE-01", "002010", - "rv64i_m/D/FCVT-D-L-RTZ-01", "002010", - "rv64i_m/D/FCVT-D-L-RUP-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-D-LU-RDN-01", "002010", - "rv64i_m/D/FCVT-D-LU-RMM-01", "002010", - "rv64i_m/D/FCVT-D-LU-RNE-01", "002010", - "rv64i_m/D/FCVT-D-LU-RTZ-01", "002010", - "rv64i_m/D/FCVT-D-LU-RUP-01", "002010", - "rv64i_m/D/FCVT-D-S-01", "002010", - "rv64i_m/D/FCVT-D-W-01", "002010", - "rv64i_m/D/FCVT-D-WU-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-L-D-RDN-01", "002010", - "rv64i_m/D/FCVT-L-D-RMM-01", "002010", - "rv64i_m/D/FCVT-L-D-RNE-01", "002010", - "rv64i_m/D/FCVT-L-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-L-D-RUP-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-LU-D-RDN-01", "002010", - "rv64i_m/D/FCVT-LU-D-RMM-01", "002010", - "rv64i_m/D/FCVT-LU-D-RNE-01", "002010", - "rv64i_m/D/FCVT-LU-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-LU-D-RUP-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-S-D-RDN-01", "002010", - "rv64i_m/D/FCVT-S-D-RMM-01", "002010", - "rv64i_m/D/FCVT-S-D-RNE-01", "002010", - "rv64i_m/D/FCVT-S-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-S-D-RUP-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-W-D-RDN-01", "002010", - "rv64i_m/D/FCVT-W-D-RMM-01", "002010", - "rv64i_m/D/FCVT-W-D-RNE-01", "002010", - "rv64i_m/D/FCVT-W-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-W-D-RUP-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-WU-D-RDN-01", "002010", - "rv64i_m/D/FCVT-WU-D-RMM-01", "002010", - "rv64i_m/D/FCVT-WU-D-RNE-01", "002010", - "rv64i_m/D/FCVT-WU-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-WU-D-RUP-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RDN-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RMM-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RNE-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RTZ-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RUP-01", "002010", - // "rv64i_m/D/FDIV-D-RDN-01", "002010", - // "rv64i_m/D/FDIV-D-RMM-01", "002010", - // "rv64i_m/D/FDIV-D-RNE-01", "002010", - // "rv64i_m/D/FDIV-D-RTZ-01", "002010", - // "rv64i_m/D/FDIV-D-RUP-01", "002010", - "rv64i_m/D/FEQ-D-01", "002010", - "rv64i_m/D/FLD-01", "002520", - "rv64i_m/D/FLE-D-01", "002010", - "rv64i_m/D/FLT-D-01", "002010", - "rv64i_m/D/FMADD-D-DYN-RDN-01", "003010", - "rv64i_m/D/FMADD-D-DYN-RMM-01", "003010", - "rv64i_m/D/FMADD-D-DYN-RNE-01", "003010", - "rv64i_m/D/FMADD-D-DYN-RTZ-01", "003010", - "rv64i_m/D/FMADD-D-DYN-RUP-01", "003010", - "rv64i_m/D/FMADD-D-RDN-01", "003010", - "rv64i_m/D/FMADD-D-RMM-01", "003010", - "rv64i_m/D/FMADD-D-RNE-01", "003010", - "rv64i_m/D/FMADD-D-RTZ-01", "003010", - "rv64i_m/D/FMADD-D-RUP-01", "003010", - "rv64i_m/D/FMAX-D-01", "002010", - "rv64i_m/D/FMIN-D-01", "002010", - "rv64i_m/D/FMSUB-D-DYN-RDN-01", "003010", - "rv64i_m/D/FMSUB-D-DYN-RMM-01", "003010", - "rv64i_m/D/FMSUB-D-DYN-RNE-01", "003010", - "rv64i_m/D/FMSUB-D-DYN-RTZ-01", "003010", - "rv64i_m/D/FMSUB-D-DYN-RUP-01", "003010", - "rv64i_m/D/FMSUB-D-RDN-01", "003010", - "rv64i_m/D/FMSUB-D-RMM-01", "003010", - "rv64i_m/D/FMSUB-D-RNE-01", "003010", - "rv64i_m/D/FMSUB-D-RTZ-01", "003010", - "rv64i_m/D/FMSUB-D-RUP-01", "003010", - "rv64i_m/D/FMUL-D-DYN-RDN-01", "002010", - "rv64i_m/D/FMUL-D-DYN-RMM-01", "002010", - "rv64i_m/D/FMUL-D-DYN-RNE-01", "002010", - "rv64i_m/D/FMUL-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FMUL-D-DYN-RUP-01", "002010", - "rv64i_m/D/FMUL-D-RDN-01", "002010", - "rv64i_m/D/FMUL-D-RMM-01", "002010", - "rv64i_m/D/FMUL-D-RNE-01", "002010", - "rv64i_m/D/FMUL-D-RTZ-01", "002010", - "rv64i_m/D/FMUL-D-RUP-01", "002010", - "rv64i_m/D/FMV-D-X-01", "002010", - "rv64i_m/D/FMV-X-D-01", "002010", - "rv64i_m/D/FNMADD-D-DYN-RDN-01", "003010", - "rv64i_m/D/FNMADD-D-DYN-RMM-01", "003010", - "rv64i_m/D/FNMADD-D-DYN-RNE-01", "003010", - "rv64i_m/D/FNMADD-D-DYN-RTZ-01", "003010", - "rv64i_m/D/FNMADD-D-DYN-RUP-01", "003010", - "rv64i_m/D/FNMADD-D-RDN-01", "003010", - "rv64i_m/D/FNMADD-D-RMM-01", "003010", - "rv64i_m/D/FNMADD-D-RNE-01", "003010", - "rv64i_m/D/FNMADD-D-RTZ-01", "003010", - "rv64i_m/D/FNMADD-D-RUP-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RDN-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RMM-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RNE-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RTZ-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RUP-01", "003010", - "rv64i_m/D/FNMSUB-D-RDN-01", "003010", - "rv64i_m/D/FNMSUB-D-RMM-01", "003010", - "rv64i_m/D/FNMSUB-D-RNE-01", "003010", - "rv64i_m/D/FNMSUB-D-RTZ-01", "003010", - "rv64i_m/D/FNMSUB-D-RUP-01", "003010", - "rv64i_m/D/FSD-01", "002010", - "rv64i_m/D/FSGNJ-D-01", "002010", - "rv64i_m/D/FSGNJN-D-01", "002010", - "rv64i_m/D/FSGNJX-D-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RDN-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RMM-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RNE-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RTZ-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RUP-01", "002010", - // "rv64i_m/D/FSQRT-D-RDN-01", "002010", - // "rv64i_m/D/FSQRT-D-RMM-01", "002010", - // "rv64i_m/D/FSQRT-D-RNE-01", "002010", - // "rv64i_m/D/FSQRT-D-RTZ-01", "002010", - // "rv64i_m/D/FSQRT-D-RUP-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RDN-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RMM-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RNE-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RUP-01", "002010", - "rv64i_m/D/FSUB-D-RDN-01", "002010", - "rv64i_m/D/FSUB-D-RMM-01", "002010", - "rv64i_m/D/FSUB-D-RNE-01", "002010", - "rv64i_m/D/FSUB-D-RTZ-01", "002010", - "rv64i_m/D/FSUB-D-RUP-01", "002010" + "rv64i_m/D/FADD-D-DYN-RDN-01", "002010", + "rv64i_m/D/FADD-D-DYN-RMM-01", "002010", + "rv64i_m/D/FADD-D-DYN-RNE-01", "002010", + "rv64i_m/D/FADD-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FADD-D-DYN-RUP-01", "002010", + "rv64i_m/D/FADD-D-RDN-01", "002010", + "rv64i_m/D/FADD-D-RMM-01", "002010", + "rv64i_m/D/FADD-D-RNE-01", "002010", + "rv64i_m/D/FADD-D-RTZ-01", "002010", + "rv64i_m/D/FADD-D-RUP-01", "002010", + "rv64i_m/D/FCLASS-D-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-D-L-RDN-01", "002010", + "rv64i_m/D/FCVT-D-L-RMM-01", "002010", + "rv64i_m/D/FCVT-D-L-RNE-01", "002010", + "rv64i_m/D/FCVT-D-L-RTZ-01", "002010", + "rv64i_m/D/FCVT-D-L-RUP-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-D-LU-RDN-01", "002010", + "rv64i_m/D/FCVT-D-LU-RMM-01", "002010", + "rv64i_m/D/FCVT-D-LU-RNE-01", "002010", + "rv64i_m/D/FCVT-D-LU-RTZ-01", "002010", + "rv64i_m/D/FCVT-D-LU-RUP-01", "002010", + "rv64i_m/D/FCVT-D-S-01", "002010", + "rv64i_m/D/FCVT-D-W-01", "002010", + "rv64i_m/D/FCVT-D-WU-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-L-D-RDN-01", "002010", + "rv64i_m/D/FCVT-L-D-RMM-01", "002010", + "rv64i_m/D/FCVT-L-D-RNE-01", "002010", + "rv64i_m/D/FCVT-L-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-L-D-RUP-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-LU-D-RDN-01", "002010", + "rv64i_m/D/FCVT-LU-D-RMM-01", "002010", + "rv64i_m/D/FCVT-LU-D-RNE-01", "002010", + "rv64i_m/D/FCVT-LU-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-LU-D-RUP-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-S-D-RDN-01", "002010", + "rv64i_m/D/FCVT-S-D-RMM-01", "002010", + "rv64i_m/D/FCVT-S-D-RNE-01", "002010", + "rv64i_m/D/FCVT-S-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-S-D-RUP-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-W-D-RDN-01", "002010", + "rv64i_m/D/FCVT-W-D-RMM-01", "002010", + "rv64i_m/D/FCVT-W-D-RNE-01", "002010", + "rv64i_m/D/FCVT-W-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-W-D-RUP-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-WU-D-RDN-01", "002010", + "rv64i_m/D/FCVT-WU-D-RMM-01", "002010", + "rv64i_m/D/FCVT-WU-D-RNE-01", "002010", + "rv64i_m/D/FCVT-WU-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-WU-D-RUP-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RDN-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RMM-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RNE-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RTZ-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RUP-01", "002010", + // "rv64i_m/D/FDIV-D-RDN-01", "002010", + // "rv64i_m/D/FDIV-D-RMM-01", "002010", + // "rv64i_m/D/FDIV-D-RNE-01", "002010", + // "rv64i_m/D/FDIV-D-RTZ-01", "002010", + // "rv64i_m/D/FDIV-D-RUP-01", "002010", + "rv64i_m/D/FEQ-D-01", "002010", + "rv64i_m/D/FLD-01", "002520", + "rv64i_m/D/FLE-D-01", "002010", + "rv64i_m/D/FLT-D-01", "002010", + "rv64i_m/D/FMADD-D-DYN-RDN-01", "003010", + "rv64i_m/D/FMADD-D-DYN-RMM-01", "003010", + "rv64i_m/D/FMADD-D-DYN-RNE-01", "003010", + "rv64i_m/D/FMADD-D-DYN-RTZ-01", "003010", + "rv64i_m/D/FMADD-D-DYN-RUP-01", "003010", + "rv64i_m/D/FMADD-D-RDN-01", "003010", + "rv64i_m/D/FMADD-D-RMM-01", "003010", + "rv64i_m/D/FMADD-D-RNE-01", "003010", + "rv64i_m/D/FMADD-D-RTZ-01", "003010", + "rv64i_m/D/FMADD-D-RUP-01", "003010", + "rv64i_m/D/FMAX-D-01", "002010", + "rv64i_m/D/FMIN-D-01", "002010", + "rv64i_m/D/FMSUB-D-DYN-RDN-01", "003010", + "rv64i_m/D/FMSUB-D-DYN-RMM-01", "003010", + "rv64i_m/D/FMSUB-D-DYN-RNE-01", "003010", + "rv64i_m/D/FMSUB-D-DYN-RTZ-01", "003010", + "rv64i_m/D/FMSUB-D-DYN-RUP-01", "003010", + "rv64i_m/D/FMSUB-D-RDN-01", "003010", + "rv64i_m/D/FMSUB-D-RMM-01", "003010", + "rv64i_m/D/FMSUB-D-RNE-01", "003010", + "rv64i_m/D/FMSUB-D-RTZ-01", "003010", + "rv64i_m/D/FMSUB-D-RUP-01", "003010", + "rv64i_m/D/FMUL-D-DYN-RDN-01", "002010", + "rv64i_m/D/FMUL-D-DYN-RMM-01", "002010", + "rv64i_m/D/FMUL-D-DYN-RNE-01", "002010", + "rv64i_m/D/FMUL-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FMUL-D-DYN-RUP-01", "002010", + "rv64i_m/D/FMUL-D-RDN-01", "002010", + "rv64i_m/D/FMUL-D-RMM-01", "002010", + "rv64i_m/D/FMUL-D-RNE-01", "002010", + "rv64i_m/D/FMUL-D-RTZ-01", "002010", + "rv64i_m/D/FMUL-D-RUP-01", "002010", + "rv64i_m/D/FMV-D-X-01", "002010", + "rv64i_m/D/FMV-X-D-01", "002010", + "rv64i_m/D/FNMADD-D-DYN-RDN-01", "003010", + "rv64i_m/D/FNMADD-D-DYN-RMM-01", "003010", + "rv64i_m/D/FNMADD-D-DYN-RNE-01", "003010", + "rv64i_m/D/FNMADD-D-DYN-RTZ-01", "003010", + "rv64i_m/D/FNMADD-D-DYN-RUP-01", "003010", + "rv64i_m/D/FNMADD-D-RDN-01", "003010", + "rv64i_m/D/FNMADD-D-RMM-01", "003010", + "rv64i_m/D/FNMADD-D-RNE-01", "003010", + "rv64i_m/D/FNMADD-D-RTZ-01", "003010", + "rv64i_m/D/FNMADD-D-RUP-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RDN-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RMM-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RNE-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RTZ-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RUP-01", "003010", + "rv64i_m/D/FNMSUB-D-RDN-01", "003010", + "rv64i_m/D/FNMSUB-D-RMM-01", "003010", + "rv64i_m/D/FNMSUB-D-RNE-01", "003010", + "rv64i_m/D/FNMSUB-D-RTZ-01", "003010", + "rv64i_m/D/FNMSUB-D-RUP-01", "003010", + "rv64i_m/D/FSD-01", "002010", + "rv64i_m/D/FSGNJ-D-01", "002010", + "rv64i_m/D/FSGNJN-D-01", "002010", + "rv64i_m/D/FSGNJX-D-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RDN-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RMM-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RNE-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RTZ-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RUP-01", "002010", + // "rv64i_m/D/FSQRT-D-RDN-01", "002010", + // "rv64i_m/D/FSQRT-D-RMM-01", "002010", + // "rv64i_m/D/FSQRT-D-RNE-01", "002010", + // "rv64i_m/D/FSQRT-D-RTZ-01", "002010", + // "rv64i_m/D/FSQRT-D-RUP-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RDN-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RMM-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RNE-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RUP-01", "002010", + "rv64i_m/D/FSUB-D-RDN-01", "002010", + "rv64i_m/D/FSUB-D-RMM-01", "002010", + "rv64i_m/D/FSUB-D-RNE-01", "002010", + "rv64i_m/D/FSUB-D-RTZ-01", "002010", + "rv64i_m/D/FSUB-D-RUP-01", "002010" }; string imperas64m[] = '{ `IMPERASTEST, - "rv64i_m/M/DIV-01", "004010", - "rv64i_m/M/DIVU-01", "004010", - "rv64i_m/M/DIVUW-01", "003010", - "rv64i_m/M/DIVW-01", "003010", - "rv64i_m/M/MUL-01", "004010", - "rv64i_m/M/MULH-01", "004010", - "rv64i_m/M/MULHSU-01", "004010", - "rv64i_m/M/MULHU-01", "004010", - "rv64i_m/M/MULW-01", "003010", - "rv64i_m/M/REM-01", "004010", - "rv64i_m/M/REMU-01", "004010", - "rv64i_m/M/REMUW-01", "003010", - "rv64i_m/M/REMW-01", "003010" + "rv64i_m/M/DIV-01", "004010", + "rv64i_m/M/DIVU-01", "004010", + "rv64i_m/M/DIVUW-01", "003010", + "rv64i_m/M/DIVW-01", "003010", + "rv64i_m/M/MUL-01", "004010", + "rv64i_m/M/MULH-01", "004010", + "rv64i_m/M/MULHSU-01", "004010", + "rv64i_m/M/MULHU-01", "004010", + "rv64i_m/M/MULW-01", "003010", + "rv64i_m/M/REM-01", "004010", + "rv64i_m/M/REMU-01", "004010", + "rv64i_m/M/REMUW-01", "003010", + "rv64i_m/M/REMW-01", "003010" }; string imperas64c[] = '{ `IMPERASTEST, - "rv64i_m/C/C-ADD-01", "003010", - "rv64i_m/C/C-ADDI-01", "003010", - "rv64i_m/C/C-ADDI16SP-01", "003010", - "rv64i_m/C/C-ADDI4SPN-01", "003010", - "rv64i_m/C/C-ADDIW-01", "003010", - "rv64i_m/C/C-ADDW-01", "003010", - "rv64i_m/C/C-AND-01", "003010", - "rv64i_m/C/C-ANDI-01", "003010", - "rv64i_m/C/C-BEQZ-01", "004010", - "rv64i_m/C/C-BNEZ-01", "004010", - "rv64i_m/C/C-J-01", "003010", - "rv64i_m/C/C-JALR-01", "004010", - "rv64i_m/C/C-JR-01", "004010", - "rv64i_m/C/C-LD-01", "003520", - "rv64i_m/C/C-LDSP-01", "003520", - "rv64i_m/C/C-LI-01", "003010", - "rv64i_m/C/C-LUI-01", "002010", - "rv64i_m/C/C-LW-01", "003210", - "rv64i_m/C/C-LWSP-01", "003210", - "rv64i_m/C/C-MV-01", "003010", - "rv64i_m/C/C-OR-01", "003010", - "rv64i_m/C/C-SD-01", "003010", - "rv64i_m/C/C-SDSP-01", "003010", - "rv64i_m/C/C-SLLI-01", "003010", - "rv64i_m/C/C-SRAI-01", "003010", - "rv64i_m/C/C-SRLI-01", "003010", - "rv64i_m/C/C-SUB-01", "003010", - "rv64i_m/C/C-SUBW-01", "003010", - "rv64i_m/C/C-SW-01", "003010", - "rv64i_m/C/C-SWSP-01", "003010", - "rv64i_m/C/C-XOR-01", "003010", - "rv64i_m/C/I-C-EBREAK-01", "002000", - "rv64i_m/C/I-C-NOP-01", "002000" + "rv64i_m/C/C-ADD-01", "003010", + "rv64i_m/C/C-ADDI-01", "003010", + "rv64i_m/C/C-ADDI16SP-01", "003010", + "rv64i_m/C/C-ADDI4SPN-01", "003010", + "rv64i_m/C/C-ADDIW-01", "003010", + "rv64i_m/C/C-ADDW-01", "003010", + "rv64i_m/C/C-AND-01", "003010", + "rv64i_m/C/C-ANDI-01", "003010", + "rv64i_m/C/C-BEQZ-01", "004010", + "rv64i_m/C/C-BNEZ-01", "004010", + "rv64i_m/C/C-J-01", "003010", + "rv64i_m/C/C-JALR-01", "004010", + "rv64i_m/C/C-JR-01", "004010", + "rv64i_m/C/C-LD-01", "003520", + "rv64i_m/C/C-LDSP-01", "003520", + "rv64i_m/C/C-LI-01", "003010", + "rv64i_m/C/C-LUI-01", "002010", + "rv64i_m/C/C-LW-01", "003210", + "rv64i_m/C/C-LWSP-01", "003210", + "rv64i_m/C/C-MV-01", "003010", + "rv64i_m/C/C-OR-01", "003010", + "rv64i_m/C/C-SD-01", "003010", + "rv64i_m/C/C-SDSP-01", "003010", + "rv64i_m/C/C-SLLI-01", "003010", + "rv64i_m/C/C-SRAI-01", "003010", + "rv64i_m/C/C-SRLI-01", "003010", + "rv64i_m/C/C-SUB-01", "003010", + "rv64i_m/C/C-SUBW-01", "003010", + "rv64i_m/C/C-SW-01", "003010", + "rv64i_m/C/C-SWSP-01", "003010", + "rv64i_m/C/C-XOR-01", "003010", + "rv64i_m/C/I-C-EBREAK-01", "002000", + "rv64i_m/C/I-C-NOP-01", "002000" }; string imperas64iNOc[] = { `IMPERASTEST, - "rv64i_m/I/I-MISALIGN_JMP-01", "002000" + "rv64i_m/I/I-MISALIGN_JMP-01", "002000" }; string imperas64i[] = '{ `IMPERASTEST, - "rv64i_m/I/I-DELAY_SLOTS-01", "002010", - "rv64i_m/I/ADD-01", "004010", - "rv64i_m/I/ADDI-01", "003010", - "rv64i_m/I/ADDIW-01", "003010", - "rv64i_m/I/ADDW-01", "003010", - "rv64i_m/I/AND-01", "004010", - "rv64i_m/I/ANDI-01", "003010", - "rv64i_m/I/AUIPC-01", "003010", - "rv64i_m/I/BEQ-01", "005010", - "rv64i_m/I/BGE-01", "005010", - "rv64i_m/I/BGEU-01", "005010", - "rv64i_m/I/BLT-01", "005010", - "rv64i_m/I/BLTU-01", "005010", - "rv64i_m/I/BNE-01", "005010", - "rv64i_m/I/I-DELAY_SLOTS-01", "002010", - "rv64i_m/I/I-EBREAK-01", "002010", - "rv64i_m/I/I-ECALL-01", "002010", - "rv64i_m/I/I-ENDIANESS-01", "002010", - "rv64i_m/I/I-IO-01", "002050", -// "rv64i_m/I/I-MISALIGN_JMP-01", "002000", - "rv64i_m/I/I-MISALIGN_LDST-01", "002010", - "rv64i_m/I/I-NOP-01", "002000", - "rv64i_m/I/I-RF_size-01", "002000", - "rv64i_m/I/I-RF_width-01", "002000", - "rv64i_m/I/I-RF_x0-01", "002010", - "rv64i_m/I/JAL-01", "004010", - "rv64i_m/I/JALR-01", "005010", - "rv64i_m/I/LB-01", "004120", - "rv64i_m/I/LBU-01", "004120", - "rv64i_m/I/LD-01", "004520", - "rv64i_m/I/LH-01", "004150", - "rv64i_m/I/LHU-01", "004150", - "rv64i_m/I/LUI-01", "002010", - "rv64i_m/I/LW-01", "004210", - "rv64i_m/I/LWU-01", "004210", - "rv64i_m/I/OR-01", "004010", - "rv64i_m/I/ORI-01", "003010", - "rv64i_m/I/SB-01", "004010", - "rv64i_m/I/SD-01", "004010", - "rv64i_m/I/SH-01", "004010", - "rv64i_m/I/SLL-01", "003010", - "rv64i_m/I/SLLI-01", "003010", - "rv64i_m/I/SLLIW-01", "003010", - "rv64i_m/I/SLLW-01", "003010", - "rv64i_m/I/SLT-01", "004010", - "rv64i_m/I/SLTI-01", "003010", - "rv64i_m/I/SLTIU-01", "003010", - "rv64i_m/I/SLTU-01", "004010", - "rv64i_m/I/SRA-01", "003010", - "rv64i_m/I/SRAI-01", "003010", - "rv64i_m/I/SRAIW-01", "003010", - "rv64i_m/I/SRAW-01", "003010", - "rv64i_m/I/SRL-01", "003010", - "rv64i_m/I/SRLI-01", "003010", - "rv64i_m/I/SRLIW-01", "003010", - "rv64i_m/I/SRLW-01", "003010", - "rv64i_m/I/SUB-01", "004010", - "rv64i_m/I/SUBW-01", "003010", - "rv64i_m/I/SW-01", "004010", - "rv64i_m/I/XOR-01", "004010", - "rv64i_m/I/XORI-01", "003010" + "rv64i_m/I/I-DELAY_SLOTS-01", "002010", + "rv64i_m/I/ADD-01", "004010", + "rv64i_m/I/ADDI-01", "003010", + "rv64i_m/I/ADDIW-01", "003010", + "rv64i_m/I/ADDW-01", "003010", + "rv64i_m/I/AND-01", "004010", + "rv64i_m/I/ANDI-01", "003010", + "rv64i_m/I/AUIPC-01", "003010", + "rv64i_m/I/BEQ-01", "005010", + "rv64i_m/I/BGE-01", "005010", + "rv64i_m/I/BGEU-01", "005010", + "rv64i_m/I/BLT-01", "005010", + "rv64i_m/I/BLTU-01", "005010", + "rv64i_m/I/BNE-01", "005010", + "rv64i_m/I/I-DELAY_SLOTS-01", "002010", + "rv64i_m/I/I-EBREAK-01", "002010", + "rv64i_m/I/I-ECALL-01", "002010", + "rv64i_m/I/I-ENDIANESS-01", "002010", + "rv64i_m/I/I-IO-01", "002050", +// "rv64i_m/I/I-MISALIGN_JMP-01", "002000", + "rv64i_m/I/I-MISALIGN_LDST-01", "002010", + "rv64i_m/I/I-NOP-01", "002000", + "rv64i_m/I/I-RF_size-01", "002000", + "rv64i_m/I/I-RF_width-01", "002000", + "rv64i_m/I/I-RF_x0-01", "002010", + "rv64i_m/I/JAL-01", "004010", + "rv64i_m/I/JALR-01", "005010", + "rv64i_m/I/LB-01", "004120", + "rv64i_m/I/LBU-01", "004120", + "rv64i_m/I/LD-01", "004520", + "rv64i_m/I/LH-01", "004150", + "rv64i_m/I/LHU-01", "004150", + "rv64i_m/I/LUI-01", "002010", + "rv64i_m/I/LW-01", "004210", + "rv64i_m/I/LWU-01", "004210", + "rv64i_m/I/OR-01", "004010", + "rv64i_m/I/ORI-01", "003010", + "rv64i_m/I/SB-01", "004010", + "rv64i_m/I/SD-01", "004010", + "rv64i_m/I/SH-01", "004010", + "rv64i_m/I/SLL-01", "003010", + "rv64i_m/I/SLLI-01", "003010", + "rv64i_m/I/SLLIW-01", "003010", + "rv64i_m/I/SLLW-01", "003010", + "rv64i_m/I/SLT-01", "004010", + "rv64i_m/I/SLTI-01", "003010", + "rv64i_m/I/SLTIU-01", "003010", + "rv64i_m/I/SLTU-01", "004010", + "rv64i_m/I/SRA-01", "003010", + "rv64i_m/I/SRAI-01", "003010", + "rv64i_m/I/SRAIW-01", "003010", + "rv64i_m/I/SRAW-01", "003010", + "rv64i_m/I/SRL-01", "003010", + "rv64i_m/I/SRLI-01", "003010", + "rv64i_m/I/SRLIW-01", "003010", + "rv64i_m/I/SRLW-01", "003010", + "rv64i_m/I/SUB-01", "004010", + "rv64i_m/I/SUBW-01", "003010", + "rv64i_m/I/SW-01", "004010", + "rv64i_m/I/XOR-01", "004010", + "rv64i_m/I/XORI-01", "003010" }; string imperas32m[] = '{ `IMPERASTEST, - "rv32i_m/M/DIV-01", "002010", - "rv32i_m/M/DIVU-01", "002010", - "rv32i_m/M/MUL-01", "002010", - "rv32i_m/M/MULH-01", "002010", - "rv32i_m/M/MULHSU-01", "002010", - "rv32i_m/M/MULHU-01", "002010", - "rv32i_m/M/REM-01", "002010", - "rv32i_m/M/REMU-01", "002010" + "rv32i_m/M/DIV-01", "002010", + "rv32i_m/M/DIVU-01", "002010", + "rv32i_m/M/MUL-01", "002010", + "rv32i_m/M/MULH-01", "002010", + "rv32i_m/M/MULHSU-01", "002010", + "rv32i_m/M/MULHU-01", "002010", + "rv32i_m/M/REM-01", "002010", + "rv32i_m/M/REMU-01", "002010" }; string imperas32c[] = '{ `IMPERASTEST, - "rv32i_m/C/C-ADD-01", "002010", - "rv32i_m/C/C-ADDI-01", "002010", - "rv32i_m/C/C-ADDI16SP-01", "002010", - "rv32i_m/C/C-ADDI4SPN-01", "002010", - "rv32i_m/C/C-AND-01", "002010", - "rv32i_m/C/C-ANDI-01", "002010", - "rv32i_m/C/C-BEQZ-01", "003010", - "rv32i_m/C/C-BNEZ-01", "003010", - "rv32i_m/C/C-J-01", "002010", - "rv32i_m/C/C-JAL-01", "002010", - "rv32i_m/C/C-JALR-01", "003010", - "rv32i_m/C/C-JR-01", "003010", - "rv32i_m/C/C-LI-01", "002010", - "rv32i_m/C/C-LUI-01", "002010", - "rv32i_m/C/C-LW-01", "002120", - "rv32i_m/C/C-LWSP-01", "002120", - "rv32i_m/C/C-MV-01", "002010", - "rv32i_m/C/C-OR-01", "002010", - "rv32i_m/C/C-SLLI-01", "002010", - "rv32i_m/C/C-SRAI-01", "002010", - "rv32i_m/C/C-SRLI-01", "002010", - "rv32i_m/C/C-SUB-01", "002010", - "rv32i_m/C/C-SW-01", "002010", - "rv32i_m/C/C-SWSP-01", "002010", - "rv32i_m/C/C-XOR-01", "002010", - "rv32i_m/C/I-C-EBREAK-01", "002000", - "rv32i_m/C/I-C-NOP-01", "002000" + "rv32i_m/C/C-ADD-01", "002010", + "rv32i_m/C/C-ADDI-01", "002010", + "rv32i_m/C/C-ADDI16SP-01", "002010", + "rv32i_m/C/C-ADDI4SPN-01", "002010", + "rv32i_m/C/C-AND-01", "002010", + "rv32i_m/C/C-ANDI-01", "002010", + "rv32i_m/C/C-BEQZ-01", "003010", + "rv32i_m/C/C-BNEZ-01", "003010", + "rv32i_m/C/C-J-01", "002010", + "rv32i_m/C/C-JAL-01", "002010", + "rv32i_m/C/C-JALR-01", "003010", + "rv32i_m/C/C-JR-01", "003010", + "rv32i_m/C/C-LI-01", "002010", + "rv32i_m/C/C-LUI-01", "002010", + "rv32i_m/C/C-LW-01", "002120", + "rv32i_m/C/C-LWSP-01", "002120", + "rv32i_m/C/C-MV-01", "002010", + "rv32i_m/C/C-OR-01", "002010", + "rv32i_m/C/C-SLLI-01", "002010", + "rv32i_m/C/C-SRAI-01", "002010", + "rv32i_m/C/C-SRLI-01", "002010", + "rv32i_m/C/C-SUB-01", "002010", + "rv32i_m/C/C-SW-01", "002010", + "rv32i_m/C/C-SWSP-01", "002010", + "rv32i_m/C/C-XOR-01", "002010", + "rv32i_m/C/I-C-EBREAK-01", "002000", + "rv32i_m/C/I-C-NOP-01", "002000" }; string imperas32iNOc[] = { `IMPERASTEST, - "rv32i_m/I/I-MISALIGN_JMP-01", "002000" + "rv32i_m/I/I-MISALIGN_JMP-01", "002000" }; string imperas32i[] = { `IMPERASTEST, - "rv32i_m/I/ADD-01", "002010", - "rv32i_m/I/ADDI-01", "002010", - "rv32i_m/I/AND-01", "002010", - "rv32i_m/I/ANDI-01", "002010", - "rv32i_m/I/AUIPC-01", "002010", - "rv32i_m/I/BEQ-01", "003010", - "rv32i_m/I/BGE-01", "003010", - "rv32i_m/I/BGEU-01", "003010", - "rv32i_m/I/BLT-01", "003010", - "rv32i_m/I/BLTU-01", "003010", - "rv32i_m/I/BNE-01", "003010", - "rv32i_m/I/I-DELAY_SLOTS-01", "002010", - "rv32i_m/I/I-EBREAK-01", "002010", - "rv32i_m/I/I-ECALL-01", "002010", - "rv32i_m/I/I-ENDIANESS-01", "002010", - "rv32i_m/I/I-IO-01", "002030", -// "rv32i_m/I/I-MISALIGN_JMP-01", "002000", - "rv32i_m/I/I-MISALIGN_LDST-01", "002010", - "rv32i_m/I/I-NOP-01", "002000", - "rv32i_m/I/I-RF_size-01", "002000", - "rv32i_m/I/I-RF_width-01", "002000", - "rv32i_m/I/I-RF_x0-01", "002010", - "rv32i_m/I/JAL-01", "003010", - "rv32i_m/I/JALR-01", "003010", - "rv32i_m/I/LB-01", "003030", - "rv32i_m/I/LBU-01", "003030", - "rv32i_m/I/LH-01", "003060", - "rv32i_m/I/LHU-01", "003060", - "rv32i_m/I/LUI-01", "002010", - "rv32i_m/I/LW-01", "003120", - "rv32i_m/I/OR-01", "002010", - "rv32i_m/I/ORI-01", "002010", - "rv32i_m/I/SB-01", "003010", - "rv32i_m/I/SH-01", "003010", - "rv32i_m/I/SLL-01", "002010", - "rv32i_m/I/SLLI-01", "002010", - "rv32i_m/I/SLT-01", "002010", - "rv32i_m/I/SLTI-01", "002010", - "rv32i_m/I/SLTIU-01", "002010", - "rv32i_m/I/SLTU-01", "002010", - "rv32i_m/I/SRA-01", "002010", - "rv32i_m/I/SRAI-01", "002010", - "rv32i_m/I/SRL-01", "002010", - "rv32i_m/I/SRLI-01", "002010", - "rv32i_m/I/SUB-01", "002010", - "rv32i_m/I/SW-01", "003010", - "rv32i_m/I/XOR-01", "002010", - "rv32i_m/I/XORI-01", "002010" + "rv32i_m/I/ADD-01", "002010", + "rv32i_m/I/ADDI-01", "002010", + "rv32i_m/I/AND-01", "002010", + "rv32i_m/I/ANDI-01", "002010", + "rv32i_m/I/AUIPC-01", "002010", + "rv32i_m/I/BEQ-01", "003010", + "rv32i_m/I/BGE-01", "003010", + "rv32i_m/I/BGEU-01", "003010", + "rv32i_m/I/BLT-01", "003010", + "rv32i_m/I/BLTU-01", "003010", + "rv32i_m/I/BNE-01", "003010", + "rv32i_m/I/I-DELAY_SLOTS-01", "002010", + "rv32i_m/I/I-EBREAK-01", "002010", + "rv32i_m/I/I-ECALL-01", "002010", + "rv32i_m/I/I-ENDIANESS-01", "002010", + "rv32i_m/I/I-IO-01", "002030", +// "rv32i_m/I/I-MISALIGN_JMP-01", "002000", + "rv32i_m/I/I-MISALIGN_LDST-01", "002010", + "rv32i_m/I/I-NOP-01", "002000", + "rv32i_m/I/I-RF_size-01", "002000", + "rv32i_m/I/I-RF_width-01", "002000", + "rv32i_m/I/I-RF_x0-01", "002010", + "rv32i_m/I/JAL-01", "003010", + "rv32i_m/I/JALR-01", "003010", + "rv32i_m/I/LB-01", "003030", + "rv32i_m/I/LBU-01", "003030", + "rv32i_m/I/LH-01", "003060", + "rv32i_m/I/LHU-01", "003060", + "rv32i_m/I/LUI-01", "002010", + "rv32i_m/I/LW-01", "003120", + "rv32i_m/I/OR-01", "002010", + "rv32i_m/I/ORI-01", "002010", + "rv32i_m/I/SB-01", "003010", + "rv32i_m/I/SH-01", "003010", + "rv32i_m/I/SLL-01", "002010", + "rv32i_m/I/SLLI-01", "002010", + "rv32i_m/I/SLT-01", "002010", + "rv32i_m/I/SLTI-01", "002010", + "rv32i_m/I/SLTIU-01", "002010", + "rv32i_m/I/SLTU-01", "002010", + "rv32i_m/I/SRA-01", "002010", + "rv32i_m/I/SRAI-01", "002010", + "rv32i_m/I/SRL-01", "002010", + "rv32i_m/I/SRLI-01", "002010", + "rv32i_m/I/SUB-01", "002010", + "rv32i_m/I/SW-01", "003010", + "rv32i_m/I/XOR-01", "002010", + "rv32i_m/I/XORI-01", "002010" }; string testsBP64[] = '{ @@ -1475,10 +1475,10 @@ string imperas32f[] = '{ string wally64i[] = '{ `WALLYTEST, "rv64i_m/I/WALLY-ADD", "002010", - "rv64i_m/I/WALLY-SLT", "002010", - "rv64i_m/I/WALLY-SLTU", "002010", - "rv64i_m/I/WALLY-SUB", "002010", - "rv64i_m/I/WALLY-XOR", "002010" + "rv64i_m/I/WALLY-SLT", "002010", + "rv64i_m/I/WALLY-SLTU", "002010", + "rv64i_m/I/WALLY-SUB", "002010", + "rv64i_m/I/WALLY-XOR", "002010" }; string wally64priv[] = '{ @@ -1496,52 +1496,52 @@ string imperas32f[] = '{ string wally32e[] = '{ `WALLYTEST, - "rv32i_m/I/E-add-01", "005010", - "rv32i_m/I/E-addi-01", "004010", - "rv32i_m/I/E-and-01", "005010", - "rv32i_m/I/E-andi-01", "004010", - "rv32i_m/I/E-auipc-01", "002010", - "rv32i_m/I/E-beq-01", "03b010", - "rv32i_m/I/E-bge-01", "034010", - "rv32i_m/I/E-bgeu-01", "047010", - "rv32i_m/I/E-blt-01", "038010", - "rv32i_m/I/E-bltu-01", "03e010", - "rv32i_m/I/E-bne-01", "038010", - "rv32i_m/I/E-jal-01", "e02010", - "rv32i_m/I/E-jalr-01", "002010", - "rv32i_m/I/E-lb-align-01", "002010", - "rv32i_m/I/E-lbu-align-01", "002010", - "rv32i_m/I/E-lh-align-01", "002010", - "rv32i_m/I/E-lhu-align-01", "002010", - "rv32i_m/I/E-lui-01", "002010", - "rv32i_m/I/E-lw-align-01", "002010", - "rv32i_m/I/E-or-01", "005010", - "rv32i_m/I/E-ori-01", "004010", - "rv32i_m/I/E-sb-align-01", "002010", - "rv32i_m/I/E-sh-align-01", "002010", - "rv32i_m/I/E-sll-01", "002010", - "rv32i_m/I/E-slli-01", "002010", - "rv32i_m/I/E-slt-01", "005010", - "rv32i_m/I/E-slti-01", "004010", - "rv32i_m/I/E-sltiu-01", "004010", - "rv32i_m/I/E-sltu-01", "005010", - "rv32i_m/I/E-sra-01", "002010", - "rv32i_m/I/E-srai-01", "002010", - "rv32i_m/I/E-srl-01", "002010", - "rv32i_m/I/E-srli-01", "002010", - "rv32i_m/I/E-sub-01", "005010", - "rv32i_m/I/E-sw-align-01", "002010", - "rv32i_m/I/E-xor-01", "005010", - "rv32i_m/I/E-xori-01", "004010" + "rv32i_m/I/E-add-01", "005010", + "rv32i_m/I/E-addi-01", "004010", + "rv32i_m/I/E-and-01", "005010", + "rv32i_m/I/E-andi-01", "004010", + "rv32i_m/I/E-auipc-01", "002010", + "rv32i_m/I/E-beq-01", "03b010", + "rv32i_m/I/E-bge-01", "034010", + "rv32i_m/I/E-bgeu-01", "047010", + "rv32i_m/I/E-blt-01", "038010", + "rv32i_m/I/E-bltu-01", "03e010", + "rv32i_m/I/E-bne-01", "038010", + "rv32i_m/I/E-jal-01", "e02010", + "rv32i_m/I/E-jalr-01", "002010", + "rv32i_m/I/E-lb-align-01", "002010", + "rv32i_m/I/E-lbu-align-01", "002010", + "rv32i_m/I/E-lh-align-01", "002010", + "rv32i_m/I/E-lhu-align-01", "002010", + "rv32i_m/I/E-lui-01", "002010", + "rv32i_m/I/E-lw-align-01", "002010", + "rv32i_m/I/E-or-01", "005010", + "rv32i_m/I/E-ori-01", "004010", + "rv32i_m/I/E-sb-align-01", "002010", + "rv32i_m/I/E-sh-align-01", "002010", + "rv32i_m/I/E-sll-01", "002010", + "rv32i_m/I/E-slli-01", "002010", + "rv32i_m/I/E-slt-01", "005010", + "rv32i_m/I/E-slti-01", "004010", + "rv32i_m/I/E-sltiu-01", "004010", + "rv32i_m/I/E-sltu-01", "005010", + "rv32i_m/I/E-sra-01", "002010", + "rv32i_m/I/E-srai-01", "002010", + "rv32i_m/I/E-srl-01", "002010", + "rv32i_m/I/E-srli-01", "002010", + "rv32i_m/I/E-sub-01", "005010", + "rv32i_m/I/E-sw-align-01", "002010", + "rv32i_m/I/E-xor-01", "005010", + "rv32i_m/I/E-xori-01", "004010" }; string wally32i[] = '{ `WALLYTEST, "rv32i_m/I/WALLY-ADD", "002010", - "rv32i_m/I/WALLY-SLT", "002010", - "rv32i_m/I/WALLY-SLTU", "002010", - "rv32i_m/I/WALLY-SUB", "002010", - "rv32i_m/I/WALLY-XOR", "002010" + "rv32i_m/I/WALLY-SLT", "002010", + "rv32i_m/I/WALLY-SLTU", "002010", + "rv32i_m/I/WALLY-SUB", "002010", + "rv32i_m/I/WALLY-XOR", "002010" }; string wally32priv[] = '{ From 0feb624bab092b94542be851de5d3d34375335b6 Mon Sep 17 00:00:00 2001 From: David Harris Date: Sun, 6 Feb 2022 01:22:40 +0000 Subject: [PATCH 056/100] Added E tests to wally-riscv-arch-test rv32i_m/I and fixed cyclic path in rv32e configuration --- pipelined/regression/lint-wally | 2 +- pipelined/regression/sim-wally-batch | 2 +- pipelined/src/ieu/controller.sv | 2 +- pipelined/src/ifu/ifu.sv | 2 +- .../I/references/E-add-01.reference_output | 584 +++ .../I/references/E-addi-01.reference_output | 564 +++ .../I/references/E-and-01.reference_output | 588 +++ .../I/references/E-andi-01.reference_output | 556 +++ .../I/references/E-auipc-01.reference_output | 64 + .../I/references/E-beq-01.reference_output | 592 +++ .../I/references/E-bge-01.reference_output | 588 +++ .../I/references/E-bgeu-01.reference_output | 728 ++++ .../I/references/E-blt-01.reference_output | 588 +++ .../I/references/E-bltu-01.reference_output | 728 ++++ .../I/references/E-bne-01.reference_output | 588 +++ .../I/references/E-jal-01.reference_output | 16 + .../I/references/E-jalr-01.reference_output | 28 + .../references/E-lb-align-01.reference_output | 20 + .../E-lbu-align-01.reference_output | 20 + .../references/E-lh-align-01.reference_output | 16 + .../E-lhu-align-01.reference_output | 16 + .../I/references/E-lui-01.reference_output | 64 + .../references/E-lw-align-01.reference_output | 16 + .../I/references/E-or-01.reference_output | 592 +++ .../I/references/E-ori-01.reference_output | 560 +++ .../references/E-sb-align-01.reference_output | 80 + .../references/E-sh-align-01.reference_output | 72 + .../I/references/E-sll-01.reference_output | 88 + .../I/references/E-slli-01.reference_output | 92 + .../I/references/E-slt-01.reference_output | 584 +++ .../I/references/E-slti-01.reference_output | 564 +++ .../I/references/E-sltiu-01.reference_output | 700 ++++ .../I/references/E-sltu-01.reference_output | 724 ++++ .../I/references/E-sra-01.reference_output | 92 + .../I/references/E-srai-01.reference_output | 88 + .../I/references/E-srl-01.reference_output | 92 + .../I/references/E-srli-01.reference_output | 88 + .../I/references/E-sub-01.reference_output | 584 +++ .../references/E-sw-align-01.reference_output | 68 + .../I/references/E-xor-01.reference_output | 584 +++ .../I/references/E-xori-01.reference_output | 560 +++ .../riscv-test-suite/rv32i_m/I/src/E-add-01.S | 3001 +++++++++++++ .../rv32i_m/I/src/E-addi-01.S | 2891 +++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-and-01.S | 3026 ++++++++++++++ .../rv32i_m/I/src/E-andi-01.S | 2851 +++++++++++++ .../rv32i_m/I/src/E-auipc-01.S | 391 ++ .../riscv-test-suite/rv32i_m/I/src/E-beq-01.S | 3031 ++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-bge-01.S | 3016 +++++++++++++ .../rv32i_m/I/src/E-bgeu-01.S | 3721 +++++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-blt-01.S | 3011 +++++++++++++ .../rv32i_m/I/src/E-bltu-01.S | 3716 ++++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-bne-01.S | 3011 +++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-jal-01.S | 156 + .../rv32i_m/I/src/E-jalr-01.S | 216 + .../rv32i_m/I/src/E-lb-align-01.S | 166 + .../rv32i_m/I/src/E-lbu-align-01.S | 166 + .../rv32i_m/I/src/E-lh-align-01.S | 156 + .../rv32i_m/I/src/E-lhu-align-01.S | 161 + .../riscv-test-suite/rv32i_m/I/src/E-lui-01.S | 391 ++ .../rv32i_m/I/src/E-lw-align-01.S | 161 + .../riscv-test-suite/rv32i_m/I/src/E-or-01.S | 3036 ++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-ori-01.S | 2866 +++++++++++++ .../rv32i_m/I/src/E-sb-align-01.S | 466 +++ .../rv32i_m/I/src/E-sh-align-01.S | 436 ++ .../riscv-test-suite/rv32i_m/I/src/E-sll-01.S | 521 +++ .../rv32i_m/I/src/E-slli-01.S | 526 +++ .../riscv-test-suite/rv32i_m/I/src/E-slt-01.S | 2991 +++++++++++++ .../rv32i_m/I/src/E-slti-01.S | 2891 +++++++++++++ .../rv32i_m/I/src/E-sltiu-01.S | 3566 ++++++++++++++++ .../rv32i_m/I/src/E-sltu-01.S | 3696 ++++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-sra-01.S | 531 +++ .../rv32i_m/I/src/E-srai-01.S | 516 +++ .../riscv-test-suite/rv32i_m/I/src/E-srl-01.S | 526 +++ .../rv32i_m/I/src/E-srli-01.S | 521 +++ .../riscv-test-suite/rv32i_m/I/src/E-sub-01.S | 3006 +++++++++++++ .../rv32i_m/I/src/E-sw-align-01.S | 416 ++ .../riscv-test-suite/rv32i_m/I/src/E-xor-01.S | 3001 +++++++++++++ .../rv32i_m/I/src/E-xori-01.S | 2881 +++++++++++++ 78 files changed, 78212 insertions(+), 4 deletions(-) create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-add-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-addi-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-and-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-andi-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-auipc-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-beq-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-bge-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-bgeu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-blt-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-bltu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-bne-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-jal-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-jalr-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lb-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lbu-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lh-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lhu-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lui-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lw-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-or-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-ori-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sb-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sh-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sll-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-slli-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-slt-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-slti-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sltiu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sltu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sra-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-srai-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-srl-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-srli-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sub-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sw-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-xor-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-xori-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-add-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S diff --git a/pipelined/regression/lint-wally b/pipelined/regression/lint-wally index 5968cb4df..564973a39 100755 --- a/pipelined/regression/lint-wally +++ b/pipelined/regression/lint-wally @@ -5,7 +5,7 @@ export PATH=$PATH:/usr/local/bin/ verilator=`which verilator` basepath=$(dirname $0)/.. -for config in rv64gc rv32gc rv32ic; do +for config in rv32e rv64gc rv32gc rv32ic ; do echo "$config linting..." if !($verilator --lint-only "$@" --top-module wallypipelinedsoc "-I$basepath/config/shared" "-I$basepath/config/$config" $basepath/src/*/*.sv $basepath/src/*/*/*.sv --relative-includes); then echo "Exiting after $config lint due to errors or warnings" diff --git a/pipelined/regression/sim-wally-batch b/pipelined/regression/sim-wally-batch index d561e5398..3775f344d 100755 --- a/pipelined/regression/sim-wally-batch +++ b/pipelined/regression/sim-wally-batch @@ -1,3 +1,3 @@ vsim -c < 0, rs1_val == -262145, rs2_val == (2**(xlen-1)-1) +// opcode: add ; op1:x12; op2:x3; dest:x12; op1val:-0x40001; op2val:0x7fffffff +TEST_RR_OP(add, x12, x12, x3, 0x7ffbfffe, -0x40001, 0x7fffffff, x10, 4, x11) + +inst_2: +// rs2 == rd != rs1, rs1==x6, rs2==x1, rd==x1, rs2_val == -1073741825, rs1_val == -4194305 +// opcode: add ; op1:x6; op2:x1; dest:x1; op1val:-0x400001; op2val:-0x40000001 +TEST_RR_OP(add, x1, x6, x1, 0xbfbffffe, -0x400001, -0x40000001, x10, 8, x11) + +inst_3: +// rs1 == rs2 != rd, rs1==x2, rs2==x2, rd==x7, rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 +// opcode: add ; op1:x2; op2:x2; dest:x7; op1val:0x20; op2val:0x20 +TEST_RR_OP(add, x7, x2, x2, 0x40, 0x20, 0x20, x10, 12, x11) + +inst_4: +// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == -268435457, rs1_val == 4 +// opcode: add ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 +TEST_RR_OP(add, x0, x0, x0, 0, 0x0, 0x0, x10, 16, x11) + +inst_5: +// rs1==x8, rs2==x4, rd==x14, rs2_val == -134217729, rs1_val == -16777217 +// opcode: add ; op1:x8; op2:x4; dest:x14; op1val:-0x1000001; op2val:-0x8000001 +TEST_RR_OP(add, x14, x8, x4, 0xf6fffffe, -0x1000001, -0x8000001, x10, 20, x11) + +inst_6: +// rs1==x4, rs2==x5, rd==x15, rs2_val == -67108865, +// opcode: add ; op1:x4; op2:x5; dest:x15; op1val:0x7; op2val:-0x4000001 +TEST_RR_OP(add, x15, x4, x5, 0xfc000006, 0x7, -0x4000001, x10, 24, x1) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x14, rs2==x11, rd==x6, rs2_val == -33554433, +// opcode: add ; op1:x14; op2:x11; dest:x6; op1val:0x33333333; op2val:-0x2000001 +TEST_RR_OP(add, x6, x14, x11, 0x31333332, 0x33333333, -0x2000001, x4, 0, x1) + +inst_8: +// rs1==x3, rs2==x10, rd==x2, rs2_val == -16777217, rs1_val == 2048 +// opcode: add ; op1:x3; op2:x10; dest:x2; op1val:0x800; op2val:-0x1000001 +TEST_RR_OP(add, x2, x3, x10, 0xff0007ff, 0x800, -0x1000001, x4, 4, x1) + +inst_9: +// rs1==x13, rs2==x6, rd==x9, rs2_val == -8388609, rs1_val == -17 +// opcode: add ; op1:x13; op2:x6; dest:x9; op1val:-0x11; op2val:-0x800001 +TEST_RR_OP(add, x9, x13, x6, 0xff7fffee, -0x11, -0x800001, x4, 8, x1) + +inst_10: +// rs1==x15, rs2==x14, rd==x13, rs2_val == -4194305, rs1_val == -5 +// opcode: add ; op1:x15; op2:x14; dest:x13; op1val:-0x5; op2val:-0x400001 +TEST_RR_OP(add, x13, x15, x14, 0xffbffffa, -0x5, -0x400001, x4, 12, x1) + +inst_11: +// rs1==x11, rs2==x12, rd==x3, rs2_val == -2097153, rs1_val == -33554433 +// opcode: add ; op1:x11; op2:x12; dest:x3; op1val:-0x2000001; op2val:-0x200001 +TEST_RR_OP(add, x3, x11, x12, 0xfddffffe, -0x2000001, -0x200001, x4, 16, x1) + +inst_12: +// rs1==x5, rs2==x15, rd==x11, rs2_val == -1048577, rs1_val == -131073 +// opcode: add ; op1:x5; op2:x15; dest:x11; op1val:-0x20001; op2val:-0x100001 +TEST_RR_OP(add, x11, x5, x15, 0xffedfffe, -0x20001, -0x100001, x4, 20, x2) + +inst_13: +// rs1==x1, rs2==x13, rd==x10, rs2_val == -524289, rs1_val == -2097153 +// opcode: add ; op1:x1; op2:x13; dest:x10; op1val:-0x200001; op2val:-0x80001 +TEST_RR_OP(add, x10, x1, x13, 0xffd7fffe, -0x200001, -0x80001, x4, 24, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_14: +// rs1==x10, rs2==x8, rd==x4, rs2_val == -262145, rs1_val == 128 +// opcode: add ; op1:x10; op2:x8; dest:x4; op1val:0x80; op2val:-0x40001 +TEST_RR_OP(add, x4, x10, x8, 0xfffc007f, 0x80, -0x40001, x1, 0, x2) + +inst_15: +// rs1==x9, rs2==x7, rd==x8, rs2_val == -131073, +// opcode: add ; op1:x9; op2:x7; dest:x8; op1val:-0x2000001; op2val:-0x20001 +TEST_RR_OP(add, x8, x9, x7, 0xfdfdfffe, -0x2000001, -0x20001, x1, 4, x2) + +inst_16: +// rs2_val == -65537, rs1_val == -1431655766 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9aaa9, -0x55555556, -0x10001, x1, 8, x2) + +inst_17: +// rs2_val == -32769, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x8001 +TEST_RR_OP(add, x12, x10, x11, 0x3332b331, 0x33333332, -0x8001, x1, 12, x2) + +inst_18: +// rs2_val == -8193, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x2001 +TEST_RR_OP(add, x12, x10, x11, 0xffffe002, 0x3, -0x2001, x1, 16, x2) + +inst_19: +// rs2_val == -4097, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xfffff008, 0x9, -0x1001, x1, 20, x2) + +inst_20: +// rs2_val == -2049, rs1_val == -524289 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x801 +TEST_RR_OP(add, x12, x10, x11, 0xfff7f7fe, -0x80001, -0x801, x1, 24, x2) + +inst_21: +// rs2_val == -1025, rs1_val == 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x401 +TEST_RR_OP(add, x12, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 28, x2) + +inst_22: +// rs2_val == -513, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x201 +TEST_RR_OP(add, x12, x10, x11, 0xfffff5fe, -0x801, -0x201, x1, 32, x2) + +inst_23: +// rs2_val == -257, rs1_val == 1431655765 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x101 +TEST_RR_OP(add, x12, x10, x11, 0x55555454, 0x55555555, -0x101, x1, 36, x2) + +inst_24: +// rs2_val == -129, rs1_val == -3 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0xffffff7c, -0x3, -0x81, x1, 40, x2) + +inst_25: +// rs2_val == -65, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x41 +TEST_RR_OP(add, x12, x10, x11, 0xffdfffbe, -0x200001, -0x41, x1, 44, x2) + +inst_26: +// rs2_val == -33, rs1_val == -129 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x21 +TEST_RR_OP(add, x12, x10, x11, 0xffffff5e, -0x81, -0x21, x1, 48, x2) + +inst_27: +// rs2_val == -17, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x11 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, 0x9, -0x11, x1, 52, x2) + +inst_28: +// rs2_val == -9, rs1_val == -16385 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x9 +TEST_RR_OP(add, x12, x10, x11, 0xffffbff6, -0x4001, -0x9, x1, 56, x2) + +inst_29: +// rs2_val == -5, rs1_val == 134217728 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x5 +TEST_RR_OP(add, x12, x10, x11, 0x7fffffb, 0x8000000, -0x5, x1, 60, x2) + +inst_30: +// rs2_val == -3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffdffffc, -0x200001, -0x3, x1, 64, x2) + +inst_31: +// rs2_val == -2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffffbffd, -0x4001, -0x2, x1, 68, x2) + +inst_32: +// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 131072 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000 +TEST_RR_OP(add, x12, x10, x11, 0x8001ffff, 0x7fffffff, 0x20000, x1, 72, x2) + +inst_33: +// rs1_val == -1073741825, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x26666664, -0x40000001, 0x66666665, x1, 76, x2) + +inst_34: +// rs1_val == -536870913, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 +TEST_RR_OP(add, x12, x10, x11, 0xdfff7ffe, -0x20000001, -0x8001, x1, 80, x2) + +inst_35: +// rs1_val == -268435457, rs2_val == 32 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x20 +TEST_RR_OP(add, x12, x10, x11, 0xf000001f, -0x10000001, 0x20, x1, 84, x2) + +inst_36: +// rs1_val == -134217729, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0xf7ffff7e, -0x8000001, -0x81, x1, 88, x2) + +inst_37: +// rs1_val == -67108865, rs2_val == 1048576 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x100000 +TEST_RR_OP(add, x12, x10, x11, 0xfc0fffff, -0x4000001, 0x100000, x1, 92, x2) + +inst_38: +// rs1_val == -8388609, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x4 +TEST_RR_OP(add, x12, x10, x11, 0xff7ffffb, -0x800001, -0x4, x1, 96, x2) + +inst_39: +// rs1_val == -1048577, rs2_val == 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 100, x2) + +inst_40: +// rs1_val == -65537, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x1, 104, x2) + +inst_41: +// rs1_val == -32769, rs2_val == 524288 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x80000 +TEST_RR_OP(add, x12, x10, x11, 0x77fff, -0x8001, 0x80000, x1, 108, x2) + +inst_42: +// rs1_val == -8193, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffffdfff, -0x2001, 0x0, x1, 112, x2) + +inst_43: +// rs1_val == -4097, rs2_val == 262144 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 +TEST_RR_OP(add, x12, x10, x11, 0x3efff, -0x1001, 0x40000, x1, 116, x2) + +inst_44: +// rs1_val == -1025, rs2_val == 256 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x100 +TEST_RR_OP(add, x12, x10, x11, 0xfffffcff, -0x401, 0x100, x1, 120, x2) + +inst_45: +// rs1_val == -513, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x2001 +TEST_RR_OP(add, x12, x10, x11, 0xffffddfe, -0x201, -0x2001, x1, 124, x2) + +inst_46: +// rs1_val == -257, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xffffeefe, -0x101, -0x1001, x1, 128, x2) + +inst_47: +// rs1_val == -65, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x11 +TEST_RR_OP(add, x12, x10, x11, 0xffffffae, -0x41, -0x11, x1, 132, x2) + +inst_48: +// rs1_val == -33, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x7 +TEST_RR_OP(add, x12, x10, x11, 0xffffffe6, -0x21, 0x7, x1, 136, x2) + +inst_49: +// rs1_val == -9, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x2000001 +TEST_RR_OP(add, x12, x10, x11, 0xfdfffff6, -0x9, -0x2000001, x1, 140, x2) + +inst_50: +// rs1_val == -2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x6 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, -0x2, -0x6, x1, 144, x2) + +inst_51: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x80000000 +TEST_RR_OP(add, x12, x10, x11, 0x80000006, 0x6, -0x80000000, x1, 148, x2) + +inst_52: +// rs2_val == 1073741824, rs1_val == 536870912 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x40000000 +TEST_RR_OP(add, x12, x10, x11, 0x60000000, 0x20000000, 0x40000000, x1, 152, x2) + +inst_53: +// rs2_val == 536870912, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x20000000 +TEST_RR_OP(add, x12, x10, x11, 0xe0000000, -0x40000000, 0x20000000, x1, 156, x2) + +inst_54: +// rs2_val == 268435456, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000000 +TEST_RR_OP(add, x12, x10, x11, 0xbaaaaaaa, -0x55555556, 0x10000000, x1, 160, x2) + +inst_55: +// rs2_val == 134217728, rs1_val == 1 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8000000 +TEST_RR_OP(add, x12, x10, x11, 0x8000001, 0x1, 0x8000000, x1, 164, x2) + +inst_56: +// rs2_val == 67108864, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4000000 +TEST_RR_OP(add, x12, x10, x11, 0x59555554, 0x55555554, 0x4000000, x1, 168, x2) + +inst_57: +// rs2_val == 33554432, rs1_val == 64 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2000000 +TEST_RR_OP(add, x12, x10, x11, 0x2000040, 0x40, 0x2000000, x1, 172, x2) + +inst_58: +// rs2_val == 16777216, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1000000 +TEST_RR_OP(add, x12, x10, x11, 0x1000003, 0x3, 0x1000000, x1, 176, x2) + +inst_59: +// rs2_val == 8388608, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:0x800000 +TEST_RR_OP(add, x12, x10, x11, 0x7ffffa, -0x6, 0x800000, x1, 180, x2) + +inst_60: +// rs2_val == 4194304, rs1_val == 16 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 +TEST_RR_OP(add, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) + +inst_61: +// rs2_val == 2097152, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x200000 +TEST_RR_OP(add, x12, x10, x11, 0xfffff, -0x100001, 0x200000, x1, 188, x2) + +inst_62: +// rs2_val == 65536, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 +TEST_RR_OP(add, x12, x10, x11, 0x10000, 0x0, 0x10000, x1, 192, x2) + +inst_63: +// rs2_val == 32768, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x8000 +TEST_RR_OP(add, x12, x10, x11, 0xffff7fff, -0x10001, 0x8000, x1, 196, x2) + +inst_64: +// rs2_val == 16384, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4000 +TEST_RR_OP(add, x12, x10, x11, 0xffff8afd, -0xb503, 0x4000, x1, 200, x2) + +inst_65: +// rs2_val == 8192, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2000 +TEST_RR_OP(add, x12, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 204, x2) + +inst_66: +// rs2_val == 4096, rs1_val == 65536 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000 +TEST_RR_OP(add, x12, x10, x11, 0x11000, 0x10000, 0x1000, x1, 208, x2) + +inst_67: +// rs2_val == 2048, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 +TEST_RR_OP(add, x12, x10, x11, 0x800, 0x0, 0x800, x1, 212, x2) + +inst_68: +// rs2_val == 1024, rs1_val == 524288 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x400 +TEST_RR_OP(add, x12, x10, x11, 0x80400, 0x80000, 0x400, x1, 216, x2) + +inst_69: +// rs2_val == 512, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x200 +TEST_RR_OP(add, x12, x10, x11, 0x1fd, -0x3, 0x200, x1, 220, x2) + +inst_70: +// rs2_val == 128, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x80 +TEST_RR_OP(add, x12, x10, x11, 0xfffffe7f, -0x201, 0x80, x1, 224, x2) + +inst_71: +// rs2_val == 64, rs1_val == 2 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40 +TEST_RR_OP(add, x12, x10, x11, 0x42, 0x2, 0x40, x1, 228, x2) + +inst_72: +// rs2_val == 16, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x10 +TEST_RR_OP(add, x12, x10, x11, 0xffe0000f, -0x200001, 0x10, x1, 232, x2) + +inst_73: +// rs2_val == 8, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x8 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff7, -0x11, 0x8, x1, 236, x2) + +inst_74: +// rs2_val == 4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffb, -0x9, 0x4, x1, 240, x2) + +inst_75: +// rs2_val == 2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffffffc1, -0x41, 0x2, x1, 244, x2) + +inst_76: +// rs2_val == 1, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 +TEST_RR_OP(add, x12, x10, x11, 0x40000000, 0x3fffffff, 0x1, x1, 248, x2) + +inst_77: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x80000006, -0x80000000, 0x6, x1, 252, x2) + +inst_78: +// rs1_val == 1073741824, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x40000005, 0x40000000, 0x5, x1, 256, x2) + +inst_79: +// rs1_val == 268435456, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfff4afc, 0x10000000, -0xb504, x1, 260, x2) + +inst_80: +// rs1_val == 67108864, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x4000006, 0x4000000, 0x6, x1, 264, x2) + +inst_81: +// rs1_val == 33554432, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x9 +TEST_RR_OP(add, x12, x10, x11, 0x1fffff7, 0x2000000, -0x9, x1, 268, x2) + +inst_82: +// rs1_val == 16777216, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x7 +TEST_RR_OP(add, x12, x10, x11, 0xfffff9, 0x1000000, -0x7, x1, 272, x2) + +inst_83: +// rs1_val == 8388608, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x2 +TEST_RR_OP(add, x12, x10, x11, 0x7ffffe, 0x800000, -0x2, x1, 276, x2) + +inst_84: +// rs1_val == 4194304, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x201 +TEST_RR_OP(add, x12, x10, x11, 0x3ffdff, 0x400000, -0x201, x1, 280, x2) + +inst_85: +// rs1_val == 2097152, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x8 +TEST_RR_OP(add, x12, x10, x11, 0x1ffff8, 0x200000, -0x8, x1, 284, x2) + +inst_86: +// rs1_val == 1048576, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x40000001 +TEST_RR_OP(add, x12, x10, x11, 0xc00fffff, 0x100000, -0x40000001, x1, 288, x2) + +inst_87: +// rs1_val == 262144, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0x3ff7f, 0x40000, -0x81, x1, 292, x2) + +inst_88: +// rs1_val == 131072, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x40000001 +TEST_RR_OP(add, x12, x10, x11, 0xc001ffff, 0x20000, -0x40000001, x1, 296, x2) + +inst_89: +// rs1_val == 32768, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x1000000 +TEST_RR_OP(add, x12, x10, x11, 0x1008000, 0x8000, 0x1000000, x1, 300, x2) + +inst_90: +// rs1_val == 16384, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000000 +TEST_RR_OP(add, x12, x10, x11, 0xc0004000, 0x4000, -0x40000000, x1, 304, x2) + +inst_91: +// rs1_val == 8192, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40000 +TEST_RR_OP(add, x12, x10, x11, 0x42000, 0x2000, 0x40000, x1, 308, x2) + +inst_92: +// rs1_val == 4096, rs2_val == -1431655766 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaabaaa, 0x1000, -0x55555556, x1, 312, x2) + +inst_93: +// rs1_val == 1024, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x8000001 +TEST_RR_OP(add, x12, x10, x11, 0xf80003ff, 0x400, -0x8000001, x1, 316, x2) + +inst_94: +// rs1_val == 512, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1 +TEST_RR_OP(add, x12, x10, x11, 0x201, 0x200, 0x1, x1, 320, x2) + +inst_95: +// rs1_val == 256, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x10001 +TEST_RR_OP(add, x12, x10, x11, 0xffff00ff, 0x100, -0x10001, x1, 324, x2) + +inst_96: +// rs1_val == 8, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80001 +TEST_RR_OP(add, x12, x10, x11, 0xfff80007, 0x8, -0x80001, x1, 328, x2) + +inst_97: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a0a, 0xb505, 0xb505, x1, 332, x2) + +inst_98: +// rs1_val==46341 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0xb505, -0xb503, x1, 336, x2) + +inst_99: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x1, 340, x2) + +inst_100: +// rs1_val==46341 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0xb505, 0x33333334, x1, 344, x2) + +inst_101: +// rs1_val==46341 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0xb505, 0x6, x1, 348, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x1, 352, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x1, 356, x2) + +inst_104: +// rs1_val==46341 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb505, 0x4, x1, 360, x2) + +inst_105: +// rs1_val==46341 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb505, 0xb503, x1, 364, x2) + +inst_106: +// rs1_val==46341 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 368, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x1, 372, x2) + +inst_108: +// rs1_val==46341 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb505, 0x33333332, x1, 376, x2) + +inst_109: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb505, 0x55555554, x1, 380, x2) + +inst_110: +// rs1_val==46341 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 384, x2) + +inst_111: +// rs1_val==46341 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb505, 0xb504, x1, 388, x2) + +inst_112: +// rs1_val==46341 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb505, -0xb504, x1, 392, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x1, 396, x2) + +inst_114: +// rs1_val==46341 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb505, 0x33333333, x1, 400, x2) + +inst_115: +// rs1_val==46341 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb505, 0x5, x1, 404, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x1, 408, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x1, 412, x2) + +inst_118: +// rs1_val==46341 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb505, 0x3, x1, 416, x2) + +inst_119: +// rs1_val==-46339 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x2, -0xb503, 0xb505, x1, 420, x2) + +inst_120: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x1, 424, x2) + +inst_121: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6665b164, -0xb503, 0x66666667, x1, 428, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33327e31, -0xb503, 0x33333334, x1, 432, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, -0xb503, 0x6, x1, 436, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x1, 440, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5554a053, -0xb503, 0x55555556, x1, 444, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb503, 0x4, x1, 448, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb503, 0xb503, x1, 452, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 456, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb503, 0x66666665, x1, 460, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x1, 464, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb503, 0x55555554, x1, 468, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 472, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb503, 0xb504, x1, 476, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x1, 480, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb503, 0x66666666, x1, 484, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb503, 0x33333333, x1, 488, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb503, 0x5, x1, 492, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x1, 496, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb503, 0x55555555, x1, 500, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb503, 0x3, x1, 504, x2) + +inst_141: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x1, 508, x2) + +inst_142: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b164, 0x66666667, -0xb503, x1, 512, x2) + +inst_143: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x1, 516, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x1, 520, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x66666667, 0x6, x1, 524, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x1, 528, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x1, 532, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666667, 0x4, x1, 536, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x1, 540, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 544, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x1, 548, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666667, 0x33333332, x1, 552, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x1, 556, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666667, 0x2, x1, 560, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x1, 564, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666667, -0xb504, x1, 568, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x1, 572, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x1, 576, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666667, 0x5, x1, 580, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x1, 584, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x1, 588, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666667, 0x3, x1, 592, x2) + +inst_163: +// rs1_val==858993460 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0x33333334, 0xb505, x1, 596, x2) + +inst_164: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e31, 0x33333334, -0xb503, x1, 600, x2) + +inst_165: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x1, 604, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x33333334, 0x33333334, x1, 608, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x33333334, 0x6, x1, 612, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x1, 616, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x1, 620, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333334, 0x4, x1, 624, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333334, 0xb503, x1, 628, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 632, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333334, 0x66666665, x1, 636, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333334, 0x33333332, x1, 640, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333334, 0x55555554, x1, 644, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 648, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333334, 0xb504, x1, 652, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333334, -0xb504, x1, 656, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x1, 660, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333334, 0x33333333, x1, 664, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333334, 0x5, x1, 668, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x1, 672, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333334, 0x55555555, x1, 676, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 680, x2) + +inst_185: +// rs1_val==6 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0x6, 0xb505, x1, 684, x2) + +inst_186: +// rs1_val==6 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, 0x6, -0xb503, x1, 688, x2) + +inst_187: +// rs1_val==6 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x6, 0x66666667, x1, 692, x2) + +inst_188: +// rs1_val==6 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x6, 0x33333334, x1, 696, x2) + +inst_189: +// rs1_val==6 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xc, 0x6, 0x6, x1, 700, x2) + +inst_190: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x1, 704, x2) + +inst_191: +// rs1_val==6 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x6, 0x55555556, x1, 708, x2) + +inst_192: +// rs1_val==6 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x6, 0x4, x1, 712, x2) + +inst_193: +// rs1_val==6 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x6, 0xb503, x1, 716, x2) + +inst_194: +// rs1_val==6 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x6, 0x0, x1, 720, x2) + +inst_195: +// rs1_val==6 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x6, 0x66666665, x1, 724, x2) + +inst_196: +// rs1_val==6 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x6, 0x33333332, x1, 728, x2) + +inst_197: +// rs1_val==6 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x6, 0x55555554, x1, 732, x2) + +inst_198: +// rs1_val==6 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x6, 0x2, x1, 736, x2) + +inst_199: +// rs1_val==6 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x6, 0xb504, x1, 740, x2) + +inst_200: +// rs1_val==6 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x6, -0xb504, x1, 744, x2) + +inst_201: +// rs1_val==6 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x6, 0x66666666, x1, 748, x2) + +inst_202: +// rs1_val==6 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x6, 0x33333333, x1, 752, x2) + +inst_203: +// rs1_val==6 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb, 0x6, 0x5, x1, 756, x2) + +inst_204: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x1, 760, x2) + +inst_205: +// rs1_val==6 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x6, 0x55555555, x1, 764, x2) + +inst_206: +// rs1_val==6 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x6, 0x3, x1, 768, x2) + +inst_207: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x1, 772, x2) + +inst_208: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x1, 776, x2) + +inst_209: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x11111112, -0x55555555, 0x66666667, x1, 780, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x1, 784, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x1, 788, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, -0x55555555, -0x55555555, x1, 792, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x1, 796, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 800, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x1, 804, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 808, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555555, 0x66666665, x1, 812, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x1, 816, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 820, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x1, 824, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x1, 828, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x1, 832, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555555, 0x66666666, x1, 836, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x1, 840, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x1, 844, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555555, -0x55555556, x1, 848, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x1, 852, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x1, 856, x2) + +inst_229: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x1, 860, x2) + +inst_230: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a053, 0x55555556, -0xb503, x1, 864, x2) + +inst_231: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x1, 868, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x1, 872, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x55555556, 0x6, x1, 876, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x1, 880, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x1, 884, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555556, 0x4, x1, 888, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555556, 0xb503, x1, 892, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 896, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x1, 900, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555556, 0x33333332, x1, 904, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x1, 908, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555556, 0x2, x1, 912, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x1, 916, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555556, -0xb504, x1, 920, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x1, 924, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555556, 0x33333333, x1, 928, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555556, 0x5, x1, 932, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x1, 936, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x1, 940, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555556, 0x3, x1, 944, x2) + +inst_251: +// rs1_val==4 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x4, 0xb505, x1, 948, x2) + +inst_252: +// rs1_val==4 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x4, -0xb503, x1, 952, x2) + +inst_253: +// rs1_val==4 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x4, 0x66666667, x1, 956, x2) + +inst_254: +// rs1_val==4 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x4, 0x33333334, x1, 960, x2) + +inst_255: +// rs1_val==4 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x4, 0x6, x1, 964, x2) + +inst_256: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 968, x2) + +inst_257: +// rs1_val==4 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x4, 0x55555556, x1, 972, x2) + +inst_258: +// rs1_val==4 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x4, 0x4, x1, 976, x2) + +inst_259: +// rs1_val==4 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 980, x2) + +inst_260: +// rs1_val==4 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x4, 0x0, x1, 984, x2) + +inst_261: +// rs1_val==4 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x4, 0x66666665, x1, 988, x2) + +inst_262: +// rs1_val==4 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 992, x2) + +inst_263: +// rs1_val==4 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x4, 0x55555554, x1, 996, x2) + +inst_264: +// rs1_val==4 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x4, 0x2, x1, 1000, x2) + +inst_265: +// rs1_val==4 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x4, 0xb504, x1, 1004, x2) + +inst_266: +// rs1_val==4 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x4, -0xb504, x1, 1008, x2) + +inst_267: +// rs1_val==4 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x4, 0x66666666, x1, 1012, x2) + +inst_268: +// rs1_val==4 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1016, x2) + +inst_269: +// rs1_val==4 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x4, 0x5, x1, 1020, x2) + +inst_270: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1024, x2) + +inst_271: +// rs1_val==4 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x4, 0x55555555, x1, 1028, x2) + +inst_272: +// rs1_val==4 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1032, x2) + +inst_273: +// rs1_val==46339 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb503, 0xb505, x1, 1036, x2) + +inst_274: +// rs1_val==46339 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb503, -0xb503, x1, 1040, x2) + +inst_275: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x1, 1044, x2) + +inst_276: +// rs1_val==46339 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb503, 0x33333334, x1, 1048, x2) + +inst_277: +// rs1_val==46339 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb503, 0x6, x1, 1052, x2) + +inst_278: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x1, 1056, x2) + +inst_279: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb503, 0x55555556, x1, 1060, x2) + +inst_280: +// rs1_val==46339 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1064, x2) + +inst_281: +// rs1_val==46339 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a06, 0xb503, 0xb503, x1, 1068, x2) + +inst_282: +// rs1_val==46339 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1072, x2) + +inst_283: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0xb503, 0x66666665, x1, 1076, x2) + +inst_284: +// rs1_val==46339 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0xb503, 0x33333332, x1, 1080, x2) + +inst_285: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0xb503, 0x55555554, x1, 1084, x2) + +inst_286: +// rs1_val==46339 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb503, 0x2, x1, 1088, x2) + +inst_287: +// rs1_val==46339 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb503, 0xb504, x1, 1092, x2) + +inst_288: +// rs1_val==46339 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1096, x2) + +inst_289: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb503, 0x66666666, x1, 1100, x2) + +inst_290: +// rs1_val==46339 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb503, 0x33333333, x1, 1104, x2) + +inst_291: +// rs1_val==46339 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb503, 0x5, x1, 1108, x2) + +inst_292: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x1, 1112, x2) + +inst_293: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb503, 0x55555555, x1, 1116, x2) + +inst_294: +// rs1_val==46339 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb503, 0x3, x1, 1120, x2) + +inst_295: +// rs1_val==0 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1124, x2) + +inst_296: +// rs1_val==0 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1128, x2) + +inst_297: +// rs1_val==0 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1132, x2) + +inst_298: +// rs1_val==0 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1136, x2) + +inst_299: +// rs1_val==0 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1140, x2) + +inst_300: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1144, x2) + +inst_301: +// rs1_val==0 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1148, x2) + +inst_302: +// rs1_val==0 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1152, x2) + +inst_303: +// rs1_val==0 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1156, x2) + +inst_304: +// rs1_val==0 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1160, x2) + +inst_305: +// rs1_val==0 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1164, x2) + +inst_306: +// rs1_val==0 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1168, x2) + +inst_307: +// rs1_val==0 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1172, x2) + +inst_308: +// rs1_val==0 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1176, x2) + +inst_309: +// rs1_val==0 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1180, x2) + +inst_310: +// rs1_val==0 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1184, x2) + +inst_311: +// rs1_val==0 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1188, x2) + +inst_312: +// rs1_val==0 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1192, x2) + +inst_313: +// rs1_val==0 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1196, x2) + +inst_314: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1200, x2) + +inst_315: +// rs1_val==0 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1204, x2) + +inst_316: +// rs1_val==0 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1208, x2) + +inst_317: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x1, 1212, x2) + +inst_318: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666665, -0xb503, x1, 1216, x2) + +inst_319: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x1, 1220, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666665, 0x33333334, x1, 1224, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666665, 0x6, x1, 1228, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x1, 1232, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x1, 1236, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666665, 0x4, x1, 1240, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0x66666665, 0xb503, x1, 1244, x2) + +inst_326: +// rs1_val==858993459 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) + +inst_327: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333333, 0x66666665, x1, 1252, x2) + +inst_328: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333333, 0x33333332, x1, 1256, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333333, 0x55555554, x1, 1260, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333333, 0x2, x1, 1264, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333333, 0xb504, x1, 1268, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x1, 1272, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333333, 0x66666666, x1, 1276, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333333, 0x33333333, x1, 1280, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333333, 0x5, x1, 1284, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x1, 1288, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333333, 0x55555555, x1, 1292, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333333, 0x3, x1, 1296, x2) + +inst_339: +// rs1_val==5 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x5, 0xb505, x1, 1300, x2) + +inst_340: +// rs1_val==5 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x5, -0xb503, x1, 1304, x2) + +inst_341: +// rs1_val==5 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x5, 0x66666667, x1, 1308, x2) + +inst_342: +// rs1_val==5 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x5, 0x33333334, x1, 1312, x2) + +inst_343: +// rs1_val==5 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb, 0x5, 0x6, x1, 1316, x2) + +inst_344: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x1, 1320, x2) + +inst_345: +// rs1_val==5 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x5, 0x55555556, x1, 1324, x2) + +inst_346: +// rs1_val==5 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x5, 0x4, x1, 1328, x2) + +inst_347: +// rs1_val==5 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x5, 0xb503, x1, 1332, x2) + +inst_348: +// rs1_val==5 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) + +inst_349: +// rs1_val==5 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x5, 0x66666665, x1, 1340, x2) + +inst_350: +// rs1_val==5 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1344, x2) + +inst_351: +// rs1_val==5 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x5, 0x55555554, x1, 1348, x2) + +inst_352: +// rs1_val==5 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1352, x2) + +inst_353: +// rs1_val==5 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x5, 0xb504, x1, 1356, x2) + +inst_354: +// rs1_val==5 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x5, -0xb504, x1, 1360, x2) + +inst_355: +// rs1_val==5 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x5, 0x66666666, x1, 1364, x2) + +inst_356: +// rs1_val==5 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x5, 0x33333333, x1, 1368, x2) + +inst_357: +// rs1_val==5 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x5, 0x5, x1, 1372, x2) + +inst_358: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1376, x2) + +inst_359: +// rs1_val==5 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x5, 0x55555555, x1, 1380, x2) + +inst_360: +// rs1_val==5 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x5, 0x3, x1, 1384, x2) + +inst_361: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x1, 1388, x2) + +inst_362: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x1, 1392, x2) + +inst_363: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555556, 0x66666667, x1, 1396, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x1, 1400, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x1, 1404, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555556, -0x55555555, x1, 1408, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x1, 1412, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1416, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x1, 1420, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x1, 1428, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x1, 1432, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1436, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x1, 1440, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x1, 1444, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x1, 1448, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555556, 0x66666666, x1, 1452, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x1, 1456, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1460, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, -0x55555556, -0x55555556, x1, 1464, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1468, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x1, 1472, x2) + +inst_383: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x1, 1476, x2) + +inst_384: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555555, -0xb503, x1, 1480, x2) + +inst_385: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x1, 1484, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555555, 0x33333334, x1, 1488, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555555, 0x6, x1, 1492, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x1, 1496, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x1, 1500, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555555, 0x4, x1, 1504, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555555, 0xb503, x1, 1508, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x1, 1516, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555555, 0x33333332, x1, 1520, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x1, 1524, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1528, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555555, 0xb504, x1, 1532, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555555, -0xb504, x1, 1536, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x1, 1540, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555555, 0x33333333, x1, 1544, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555555, 0x5, x1, 1548, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1552, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x1, 1556, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555555, 0x3, x1, 1560, x2) + +inst_405: +// rs1_val==3 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x3, 0xb505, x1, 1564, x2) + +inst_406: +// rs1_val==3 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x3, -0xb503, x1, 1568, x2) + +inst_407: +// rs1_val==3 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x3, 0x66666667, x1, 1572, x2) + +inst_408: +// rs1_val==3 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1576, x2) + +inst_409: +// rs1_val==3 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x3, 0x6, x1, 1580, x2) + +inst_410: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x1, 1584, x2) + +inst_411: +// rs1_val==3 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x3, 0x55555556, x1, 1588, x2) + +inst_412: +// rs1_val==3 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1592, x2) + +inst_413: +// rs1_val==3 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x3, 0xb503, x1, 1596, x2) + +inst_414: +// rs1_val==3 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) + +inst_415: +// rs1_val==3 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x3, 0x66666665, x1, 1604, x2) + +inst_416: +// rs1_val==3 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x3, 0x33333332, x1, 1608, x2) + +inst_417: +// rs1_val==3 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1612, x2) + +inst_418: +// rs1_val==3 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x3, 0x2, x1, 1616, x2) + +inst_419: +// rs1_val==3 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1620, x2) + +inst_420: +// rs1_val==3 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1624, x2) + +inst_421: +// rs1_val==3 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x3, 0x66666666, x1, 1628, x2) + +inst_422: +// rs1_val==3 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x3, 0x33333333, x1, 1632, x2) + +inst_423: +// rs1_val==3 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x3, 0x5, x1, 1636, x2) + +inst_424: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x1, 1640, x2) + +inst_425: +// rs1_val==3 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x3, 0x55555555, x1, 1644, x2) + +inst_426: +// rs1_val==3 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x3, 0x3, x1, 1648, x2) + +inst_427: +// rs1_val==1717986917 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1652, x2) + +inst_428: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x1, 1656, x2) + +inst_429: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x66666665, 0x33333332, x1, 1660, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x1, 1664, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1668, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666665, 0xb504, x1, 1672, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b161, 0x66666665, -0xb504, x1, 1676, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x1, 1680, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666665, 0x33333333, x1, 1684, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666665, 0x5, x1, 1688, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x1, 1692, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x1, 1696, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666665, 0x3, x1, 1700, x2) + +inst_440: +// rs1_val==858993458 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333332, 0xb505, x1, 1704, x2) + +inst_441: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x1, 1708, x2) + +inst_442: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333332, 0x66666667, x1, 1712, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333332, 0x33333334, x1, 1716, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333332, 0x6, x1, 1720, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x1, 1724, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333332, 0x55555556, x1, 1728, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1732, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0x33333332, 0xb503, x1, 1736, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1740, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x33333332, 0x66666665, x1, 1744, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666664, 0x33333332, 0x33333332, x1, 1748, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x33333332, 0x55555554, x1, 1752, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333332, 0x2, x1, 1756, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333332, 0xb504, x1, 1760, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x1, 1764, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333332, 0x66666666, x1, 1768, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333332, 0x33333333, x1, 1772, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1776, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x1, 1780, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333332, 0x55555555, x1, 1784, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333332, 0x3, x1, 1788, x2) + +inst_462: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555554, 0xb505, x1, 1792, x2) + +inst_463: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555554, -0xb503, x1, 1796, x2) + +inst_464: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x1, 1800, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555554, 0x33333334, x1, 1804, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555554, 0x6, x1, 1808, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1812, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x1, 1816, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555554, 0x4, x1, 1820, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0x55555554, 0xb503, x1, 1824, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1828, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x1, 1832, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x55555554, 0x33333332, x1, 1836, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x1, 1840, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1844, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555554, 0xb504, x1, 1848, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a050, 0x55555554, -0xb504, x1, 1852, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x1, 1856, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555554, 0x33333333, x1, 1860, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555554, 0x5, x1, 1864, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1868, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x1, 1872, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1876, x2) + +inst_484: +// rs1_val==2 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1880, x2) + +inst_485: +// rs1_val==2 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1884, x2) + +inst_486: +// rs1_val==2 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x2, 0x66666667, x1, 1888, x2) + +inst_487: +// rs1_val==2 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1892, x2) + +inst_488: +// rs1_val==2 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x2, 0x6, x1, 1896, x2) + +inst_489: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x1, 1900, x2) + +inst_490: +// rs1_val==2 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x2, 0x55555556, x1, 1904, x2) + +inst_491: +// rs1_val==2 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1908, x2) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x2, 0xb503, x1, 1912, x2) + +inst_493: +// rs1_val==2 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1916, x2) + +inst_494: +// rs1_val==2 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1920, x2) + +inst_495: +// rs1_val==2 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x2, 0x33333332, x1, 1924, x2) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1928, x2) + +inst_497: +// rs1_val==2 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x2, 0x2, x1, 1932, x2) + +inst_498: +// rs1_val==2 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1936, x2) + +inst_499: +// rs1_val==2 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1940, x2) + +inst_500: +// rs1_val==2 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x2, 0x66666666, x1, 1944, x2) + +inst_501: +// rs1_val==2 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x2, 0x33333333, x1, 1948, x2) + +inst_502: +// rs1_val==2 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1952, x2) + +inst_503: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x1, 1956, x2) + +inst_504: +// rs1_val==2 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1960, x2) + +inst_505: +// rs1_val==2 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x2, 0x3, x1, 1964, x2) + +inst_506: +// rs1_val==46340 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb504, 0xb505, x1, 1968, x2) + +inst_507: +// rs1_val==46340 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb504, -0xb503, x1, 1972, x2) + +inst_508: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x1, 1976, x2) + +inst_509: +// rs1_val==46340 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb504, 0x33333334, x1, 1980, x2) + +inst_510: +// rs1_val==46340 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb504, 0x6, x1, 1984, x2) + +inst_511: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x1, 1988, x2) + +inst_512: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x1, 1992, x2) + +inst_513: +// rs1_val==46340 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb504, 0x4, x1, 1996, x2) + +inst_514: +// rs1_val==46340 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb504, 0xb503, x1, 2000, x2) + +inst_515: +// rs1_val==46340 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 2004, x2) + +inst_516: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb504, 0x66666665, x1, 2008, x2) + +inst_517: +// rs1_val==46340 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb504, 0x33333332, x1, 2012, x2) + +inst_518: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb504, 0x55555554, x1, 2016, x2) + +inst_519: +// rs1_val==46340 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2020, x2) + +inst_520: +// rs1_val==46340 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb504, 0xb504, x1, 2024, x2) + +inst_521: +// rs1_val==46340 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb504, -0xb504, x1, 2028, x2) + +inst_522: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x1, 2032, x2) + +inst_523: +// rs1_val==46340 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb504, 0x33333333, x1, 2036, x2) + +inst_524: +// rs1_val==46340 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb504, 0x5, x1, 2040, x2) + +inst_525: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_526: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb504, 0x55555555, x1, 0, x2) + +inst_527: +// rs1_val==46340 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 4, x2) + +inst_528: +// rs1_val==-46340 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb504, 0xb505, x1, 8, x2) + +inst_529: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x1, 12, x2) + +inst_530: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb504, 0x66666667, x1, 16, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb504, 0x33333334, x1, 20, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb504, 0x6, x1, 24, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x1, 28, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb504, 0x55555556, x1, 32, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb504, 0x4, x1, 36, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 40, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 44, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6665b161, -0xb504, 0x66666665, x1, 48, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x1, 52, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5554a050, -0xb504, 0x55555554, x1, 56, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 60, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb504, 0xb504, x1, 64, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x1, 68, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb504, 0x66666666, x1, 72, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x1, 76, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb504, 0x5, x1, 80, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x1, 84, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb504, 0x55555555, x1, 88, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 92, x2) + +inst_550: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x1, 96, x2) + +inst_551: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666666, -0xb503, x1, 100, x2) + +inst_552: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x1, 104, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x1, 108, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666666, 0x6, x1, 112, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x1, 116, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x1, 120, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666666, 0x4, x1, 124, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666666, 0xb503, x1, 128, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 132, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x1, 136, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666666, 0x33333332, x1, 140, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x1, 144, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666666, 0x2, x1, 148, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x1, 152, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666666, -0xb504, x1, 156, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x1, 160, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666666, 0x33333333, x1, 164, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666666, 0x5, x1, 168, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x1, 172, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x1, 176, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666666, 0x3, x1, 180, x2) + +inst_572: +// rs1_val==858993459 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333333, 0xb505, x1, 184, x2) + +inst_573: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333333, -0xb503, x1, 188, x2) + +inst_574: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x1, 192, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333333, 0x33333334, x1, 196, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333333, 0x6, x1, 200, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x1, 204, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333333, 0x55555556, x1, 208, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 212, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333333, 0xb503, x1, 216, x2) + +inst_581: +// rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x20000001 +TEST_RR_OP(add, x12, x10, x11, 0xe000001f, 0x20, -0x20000001, x1, 220, x2) + +inst_582: +// rs2_val == -268435457, rs1_val == 4 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10000001 +TEST_RR_OP(add, x12, x10, x11, 0xf0000003, 0x4, -0x10000001, x1, 224, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x10_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x10_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S new file mode 100644 index 000000000..87ce8463e --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S @@ -0,0 +1,2891 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the addi instruction of the RISC-V E extension for the addi covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",addi) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x6, rd==x5, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 536870912 +// opcode: addi ; op1:x6; dest:x5; op1val:0x20000000; immval:-0x800 +TEST_IMM_OP( addi, x5, x6, 0x1ffff800, 0x20000000, -0x800, x4, 0, x8) + +inst_1: +// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: addi ; op1:x10; dest:x10; op1val:0x7fffffff; immval:0x667 +TEST_IMM_OP( addi, x10, x10, 0x80000666, 0x7fffffff, 0x667, x4, 4, x8) + +inst_2: +// rs1==x14, rd==x0, rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 +// opcode: addi ; op1:x14; dest:x0; op1val:-0x40000001; immval:0x40 +TEST_IMM_OP( addi, x0, x14, 0, -0x40000001, 0x40, x4, 8, x8) + +inst_3: +// rs1==x2, rd==x11, rs1_val == -536870913, +// opcode: addi ; op1:x2; dest:x11; op1val:-0x20000001; immval:0x556 +TEST_IMM_OP( addi, x11, x2, 0xe0000555, -0x20000001, 0x556, x4, 12, x8) + +inst_4: +// rs1==x15, rd==x6, rs1_val == -268435457, imm_val == -17, rs1_val < 0 and imm_val < 0 +// opcode: addi ; op1:x15; dest:x6; op1val:-0x10000001; immval:-0x11 +TEST_IMM_OP( addi, x6, x15, 0xefffffee, -0x10000001, -0x11, x4, 16, x8) + +inst_5: +// rs1==x13, rd==x1, rs1_val == -134217729, +// opcode: addi ; op1:x13; dest:x1; op1val:-0x8000001; immval:-0x4 +TEST_IMM_OP( addi, x1, x13, 0xf7fffffb, -0x8000001, -0x4, x4, 20, x8) + +inst_6: +// rs1==x5, rd==x3, rs1_val == -67108865, imm_val == 0 +// opcode: addi ; op1:x5; dest:x3; op1val:-0x4000001; immval:0x0 +TEST_IMM_OP( addi, x3, x5, 0xfbffffff, -0x4000001, 0x0, x4, 24, x8) + +inst_7: +// rs1==x0, rd==x15, rs1_val == -33554433, +// opcode: addi ; op1:x0; dest:x15; op1val:0x0; immval:0x0 +TEST_IMM_OP( addi, x15, x0, 0x0, 0x0, 0x0, x4, 28, x8) + +inst_8: +// rs1==x12, rd==x7, rs1_val == -16777217, imm_val == 4 +// opcode: addi ; op1:x12; dest:x7; op1val:-0x1000001; immval:0x4 +TEST_IMM_OP( addi, x7, x12, 0xff000003, -0x1000001, 0x4, x4, 32, x8) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_9: +// rs1==x1, rd==x8, rs1_val == -8388609, +// opcode: addi ; op1:x1; dest:x8; op1val:-0x800001; immval:0x40 +TEST_IMM_OP( addi, x8, x1, 0xff80003f, -0x800001, 0x40, x5, 0, x6) + +inst_10: +// rs1==x11, rd==x4, rs1_val == -4194305, imm_val == -5 +// opcode: addi ; op1:x11; dest:x4; op1val:-0x400001; immval:-0x5 +TEST_IMM_OP( addi, x4, x11, 0xffbffffa, -0x400001, -0x5, x5, 4, x6) + +inst_11: +// rs1==x7, rd==x13, rs1_val == -2097153, imm_val == 1365 +// opcode: addi ; op1:x7; dest:x13; op1val:-0x200001; immval:0x555 +TEST_IMM_OP( addi, x13, x7, 0xffe00554, -0x200001, 0x555, x5, 8, x6) + +inst_12: +// rs1==x3, rd==x14, rs1_val == -1048577, +// opcode: addi ; op1:x3; dest:x14; op1val:-0x100001; immval:-0x11 +TEST_IMM_OP( addi, x14, x3, 0xffefffee, -0x100001, -0x11, x5, 12, x6) + +inst_13: +// rs1==x4, rd==x2, rs1_val == -524289, +// opcode: addi ; op1:x4; dest:x2; op1val:-0x80001; immval:-0xa +TEST_IMM_OP( addi, x2, x4, 0xfff7fff5, -0x80001, -0xa, x5, 16, x6) + +inst_14: +// rs1==x8, rd==x9, rs1_val == -262145, imm_val == -513 +// opcode: addi ; op1:x8; dest:x9; op1val:-0x40001; immval:-0x201 +TEST_IMM_OP( addi, x9, x8, 0xfffbfdfe, -0x40001, -0x201, x5, 20, x6) + +inst_15: +// rs1==x9, rd==x12, rs1_val == -131073, +// opcode: addi ; op1:x9; dest:x12; op1val:-0x20001; immval:0x3 +TEST_IMM_OP( addi, x12, x9, 0xfffe0002, -0x20001, 0x3, x5, 24, x6) + +inst_16: +// rs1_val == -65537, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff0004, -0x10001, 0x5, x5, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs1_val == -32769, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff8005, -0x8001, 0x6, x1, 0, x2) + +inst_18: +// rs1_val == -16385, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x8 +TEST_IMM_OP( addi, x11, x10, 0xffffbff7, -0x4001, -0x8, x1, 4, x2) + +inst_19: +// rs1_val == -8193, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x6 +TEST_IMM_OP( addi, x11, x10, 0xffffdff9, -0x2001, -0x6, x1, 8, x2) + +inst_20: +// rs1_val == -4097, imm_val == -33 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x21 +TEST_IMM_OP( addi, x11, x10, 0xffffefde, -0x1001, -0x21, x1, 12, x2) + +inst_21: +// rs1_val == -2049, imm_val == 512 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x801; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0xfffff9ff, -0x801, 0x200, x1, 16, x2) + +inst_22: +// rs1_val == -1025, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x401; immval:-0x6 +TEST_IMM_OP( addi, x11, x10, 0xfffffbf9, -0x401, -0x6, x1, 20, x2) + +inst_23: +// rs1_val == -513, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x201; immval:-0x21 +TEST_IMM_OP( addi, x11, x10, 0xfffffdde, -0x201, -0x21, x1, 24, x2) + +inst_24: +// rs1_val == -257, imm_val == 2 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x101; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffffff01, -0x101, 0x2, x1, 28, x2) + +inst_25: +// rs1_val == -129, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffac, -0x81, 0x2d, x1, 32, x2) + +inst_26: +// rs1_val == -65, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffeb, -0x41, 0x2c, x1, 36, x2) + +inst_27: +// rs1_val == -33, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffffffe1, -0x21, 0x2, x1, 40, x2) + +inst_28: +// rs1_val == -17, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xfffffff5, -0x11, 0x6, x1, 44, x2) + +inst_29: +// rs1_val == -9, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x9; immval:-0x5 +TEST_IMM_OP( addi, x11, x10, 0xfffffff2, -0x9, -0x5, x1, 48, x2) + +inst_30: +// rs1_val == -5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x27, -0x5, 0x2c, x1, 52, x2) + +inst_31: +// rs1_val == -3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x3; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd1, -0x3, -0x2c, x1, 56, x2) + +inst_32: +// rs1_val == -2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa +TEST_IMM_OP( addi, x11, x10, 0xfffffff4, -0x2, -0xa, x1, 60, x2) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1) +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x7ff +TEST_IMM_OP( addi, x11, x10, 0xbd02, 0xb503, 0x7ff, x1, 64, x2) + +inst_34: +// imm_val == -1025, rs1_val == 1024 +// opcode: addi ; op1:x10; dest:x11; op1val:0x400; immval:-0x401 +TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x400, -0x401, x1, 68, x2) + +inst_35: +// imm_val == -257, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x101 +TEST_IMM_OP( addi, x11, x10, 0xffffeefe, -0x1001, -0x101, x1, 72, x2) + +inst_36: +// imm_val == -129, rs1_val == 134217728 +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x81 +TEST_IMM_OP( addi, x11, x10, 0x7ffff7f, 0x8000000, -0x81, x1, 76, x2) + +inst_37: +// imm_val == -65, rs1_val == 4 +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0xffffffc3, 0x4, -0x41, x1, 80, x2) + +inst_38: +// imm_val == -9, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x9 +TEST_IMM_OP( addi, x11, x10, 0xffffffb6, -0x41, -0x9, x1, 84, x2) + +inst_39: +// imm_val == -3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x3 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x6, -0x3, x1, 88, x2) + +inst_40: +// imm_val == -2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:-0x2 +TEST_IMM_OP( addi, x11, x10, 0xfffffff9, -0x5, -0x2, x1, 92, x2) + +inst_41: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: addi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x80000556, -0x80000000, 0x556, x1, 96, x2) + +inst_42: +// rs1_val == 1073741824, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x400 +TEST_IMM_OP( addi, x11, x10, 0x3ffffc00, 0x40000000, -0x400, x1, 100, x2) + +inst_43: +// rs1_val == 268435456, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x10000000, 0x10000000, 0x0, x1, 104, x2) + +inst_44: +// rs1_val == 67108864, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0xa +TEST_IMM_OP( addi, x11, x10, 0x3fffff6, 0x4000000, -0xa, x1, 108, x2) + +inst_45: +// rs1_val == 33554432, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2000000; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x200002e, 0x2000000, 0x2e, x1, 112, x2) + +inst_46: +// rs1_val == 16777216, +// opcode: addi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x1000667, 0x1000000, 0x667, x1, 116, x2) + +inst_47: +// rs1_val == 8388608, +// opcode: addi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0x7fffbf, 0x800000, -0x41, x1, 120, x2) + +inst_48: +// rs1_val == 4194304, +// opcode: addi ; op1:x10; dest:x11; op1val:0x400000; immval:-0x101 +TEST_IMM_OP( addi, x11, x10, 0x3ffeff, 0x400000, -0x101, x1, 124, x2) + +inst_49: +// rs1_val == 2097152, +// opcode: addi ; op1:x10; dest:x11; op1val:0x200000; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x200555, 0x200000, 0x555, x1, 128, x2) + +inst_50: +// rs1_val == 1048576, +// opcode: addi ; op1:x10; dest:x11; op1val:0x100000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x100000, 0x100000, 0x0, x1, 132, x2) + +inst_51: +// rs1_val == 524288, +// opcode: addi ; op1:x10; dest:x11; op1val:0x80000; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x80005, 0x80000, 0x5, x1, 136, x2) + +inst_52: +// rs1_val == 262144, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40000; immval:0x3ff +TEST_IMM_OP( addi, x11, x10, 0x403ff, 0x40000, 0x3ff, x1, 140, x2) + +inst_53: +// rs1_val == 131072, +// opcode: addi ; op1:x10; dest:x11; op1val:0x20000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x20000, 0x20000, 0x0, x1, 144, x2) + +inst_54: +// rs1_val == 65536, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10000; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0x10200, 0x10000, 0x200, x1, 148, x2) + +inst_55: +// rs1_val == 32768, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x7 +TEST_IMM_OP( addi, x11, x10, 0x7ff9, 0x8000, -0x7, x1, 152, x2) + +inst_56: +// rs1_val == 16384, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4000; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x4333, 0x4000, 0x333, x1, 156, x2) + +inst_57: +// rs1_val == 8192, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2000; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x2555, 0x2000, 0x555, x1, 160, x2) + +inst_58: +// rs1_val == 4096, imm_val == -1366 +// opcode: addi ; op1:x10; dest:x11; op1val:0x1000; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaa, 0x1000, -0x556, x1, 164, x2) + +inst_59: +// rs1_val == 2048, +// opcode: addi ; op1:x10; dest:x11; op1val:0x800; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xe65, 0x800, 0x665, x1, 168, x2) + +inst_60: +// rs1_val == 512, +// opcode: addi ; op1:x10; dest:x11; op1val:0x200; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0x1bf, 0x200, -0x41, x1, 172, x2) + +inst_61: +// rs1_val == 256, +// opcode: addi ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff +TEST_IMM_OP( addi, x11, x10, 0x4ff, 0x100, 0x3ff, x1, 176, x2) + +inst_62: +// rs1_val == 128, +// opcode: addi ; op1:x10; dest:x11; op1val:0x80; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x6e6, 0x80, 0x666, x1, 180, x2) + +inst_63: +// rs1_val == 64, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x373, 0x40, 0x333, x1, 184, x2) + +inst_64: +// rs1_val == 32, +// opcode: addi ; op1:x10; dest:x11; op1val:0x20; immval:0x7ff +TEST_IMM_OP( addi, x11, x10, 0x81f, 0x20, 0x7ff, x1, 188, x2) + +inst_65: +// rs1_val == 16, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0x210, 0x10, 0x200, x1, 192, x2) + +inst_66: +// rs1_val == 8, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x8, 0x0, x1, 196, x2) + +inst_67: +// rs1_val == 2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x3 +TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x2, -0x3, x1, 200, x2) + +inst_68: +// rs1_val == 1, imm_val == 256 +// opcode: addi ; op1:x10; dest:x11; op1val:0x1; immval:0x100 +TEST_IMM_OP( addi, x11, x10, 0x101, 0x1, 0x100, x1, 204, x2) + +inst_69: +// imm_val == 1024, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x400 +TEST_IMM_OP( addi, x11, x10, 0x3bf, -0x41, 0x400, x1, 208, x2) + +inst_70: +// imm_val == 128, rs1_val == 0 +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x80 +TEST_IMM_OP( addi, x11, x10, 0x80, 0x0, 0x80, x1, 212, x2) + +inst_71: +// imm_val == 32, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x20 +TEST_IMM_OP( addi, x11, x10, 0x20, 0x0, 0x20, x1, 216, x2) + +inst_72: +// imm_val == 16, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 +TEST_IMM_OP( addi, x11, x10, 0x8010, 0x8000, 0x10, x1, 220, x2) + +inst_73: +// imm_val == 8, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 +TEST_IMM_OP( addi, x11, x10, 0x0, -0x8, 0x8, x1, 224, x2) + +inst_74: +// imm_val == 1, rs1_val == 1431655765 +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555555, 0x1, x1, 228, x2) + +inst_75: +// rs1_val==46341 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb533, 0xb505, 0x2e, x1, 232, x2) + +inst_76: +// rs1_val==46341 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d9, 0xb505, -0x2c, x1, 236, x2) + +inst_77: +// rs1_val==46341 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6c, 0xb505, 0x667, x1, 240, x2) + +inst_78: +// rs1_val==46341 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb839, 0xb505, 0x334, x1, 244, x2) + +inst_79: +// rs1_val==46341 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb50b, 0xb505, 0x6, x1, 248, x2) + +inst_80: +// rs1_val==46341 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafb0, 0xb505, -0x555, x1, 252, x2) + +inst_81: +// rs1_val==46341 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba5b, 0xb505, 0x556, x1, 256, x2) + +inst_82: +// rs1_val==46341 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb505, 0x4, x1, 260, x2) + +inst_83: +// rs1_val==46341 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb505, 0x2c, x1, 264, x2) + +inst_84: +// rs1_val==46341 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb505, 0x0, x1, 268, x2) + +inst_85: +// rs1_val==46341 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb505, 0x665, x1, 272, x2) + +inst_86: +// rs1_val==46341 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb505, 0x332, x1, 276, x2) + +inst_87: +// rs1_val==46341 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb505, 0x554, x1, 280, x2) + +inst_88: +// rs1_val==46341 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb505, 0x2, x1, 284, x2) + +inst_89: +// rs1_val==46341 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb505, 0x2d, x1, 288, x2) + +inst_90: +// rs1_val==46341 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb505, -0x2d, x1, 292, x2) + +inst_91: +// rs1_val==46341 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb505, 0x666, x1, 296, x2) + +inst_92: +// rs1_val==46341 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb505, 0x333, x1, 300, x2) + +inst_93: +// rs1_val==46341 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb505, 0x5, x1, 304, x2) + +inst_94: +// rs1_val==46341 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb505, -0x556, x1, 308, x2) + +inst_95: +// rs1_val==46341 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb505, 0x555, x1, 312, x2) + +inst_96: +// rs1_val==46341 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb505, 0x3, x1, 316, x2) + +inst_97: +// rs1_val==-46339 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xffff4b2b, -0xb503, 0x2e, x1, 320, x2) + +inst_98: +// rs1_val==-46339 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4ad1, -0xb503, -0x2c, x1, 324, x2) + +inst_99: +// rs1_val==-46339 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xffff5164, -0xb503, 0x667, x1, 328, x2) + +inst_100: +// rs1_val==-46339 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xffff4e31, -0xb503, 0x334, x1, 332, x2) + +inst_101: +// rs1_val==-46339 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff4b03, -0xb503, 0x6, x1, 336, x2) + +inst_102: +// rs1_val==-46339 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff45a8, -0xb503, -0x555, x1, 340, x2) + +inst_103: +// rs1_val==-46339 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff5053, -0xb503, 0x556, x1, 344, x2) + +inst_104: +// rs1_val==-46339 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb503, 0x4, x1, 348, x2) + +inst_105: +// rs1_val==-46339 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb503, 0x2c, x1, 352, x2) + +inst_106: +// rs1_val==-46339 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xffff4afd, -0xb503, 0x0, x1, 356, x2) + +inst_107: +// rs1_val==-46339 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb503, 0x665, x1, 360, x2) + +inst_108: +// rs1_val==-46339 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb503, 0x332, x1, 364, x2) + +inst_109: +// rs1_val==-46339 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb503, 0x554, x1, 368, x2) + +inst_110: +// rs1_val==-46339 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb503, 0x2, x1, 372, x2) + +inst_111: +// rs1_val==-46339 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb503, 0x2d, x1, 376, x2) + +inst_112: +// rs1_val==-46339 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb503, -0x2d, x1, 380, x2) + +inst_113: +// rs1_val==-46339 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb503, 0x666, x1, 384, x2) + +inst_114: +// rs1_val==-46339 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb503, 0x333, x1, 388, x2) + +inst_115: +// rs1_val==-46339 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb503, 0x5, x1, 392, x2) + +inst_116: +// rs1_val==-46339 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb503, -0x556, x1, 396, x2) + +inst_117: +// rs1_val==-46339 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb503, 0x555, x1, 400, x2) + +inst_118: +// rs1_val==-46339 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb503, 0x3, x1, 404, x2) + +inst_119: +// rs1_val==1717986919 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666695, 0x66666667, 0x2e, x1, 408, x2) + +inst_120: +// rs1_val==1717986919 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x6666663b, 0x66666667, -0x2c, x1, 412, x2) + +inst_121: +// rs1_val==1717986919 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666cce, 0x66666667, 0x667, x1, 416, x2) + +inst_122: +// rs1_val==1717986919 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x6666699b, 0x66666667, 0x334, x1, 420, x2) + +inst_123: +// rs1_val==1717986919 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666d, 0x66666667, 0x6, x1, 424, x2) + +inst_124: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666112, 0x66666667, -0x555, x1, 428, x2) + +inst_125: +// rs1_val==1717986919 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbd, 0x66666667, 0x556, x1, 432, x2) + +inst_126: +// rs1_val==1717986919 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666667, 0x4, x1, 436, x2) + +inst_127: +// rs1_val==1717986919 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666667, 0x2c, x1, 440, x2) + +inst_128: +// rs1_val==1717986919 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666667, 0x0, x1, 444, x2) + +inst_129: +// rs1_val==1717986919 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666667, 0x665, x1, 448, x2) + +inst_130: +// rs1_val==1717986919 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666667, 0x332, x1, 452, x2) + +inst_131: +// rs1_val==1717986919 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666667, 0x554, x1, 456, x2) + +inst_132: +// rs1_val==1717986919 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666667, 0x2, x1, 460, x2) + +inst_133: +// rs1_val==1717986919 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666667, 0x2d, x1, 464, x2) + +inst_134: +// rs1_val==1717986919 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666667, -0x2d, x1, 468, x2) + +inst_135: +// rs1_val==1717986919 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666667, 0x666, x1, 472, x2) + +inst_136: +// rs1_val==1717986919 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666667, 0x333, x1, 476, x2) + +inst_137: +// rs1_val==1717986919 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666667, 0x5, x1, 480, x2) + +inst_138: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666667, -0x556, x1, 484, x2) + +inst_139: +// rs1_val==1717986919 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666667, 0x555, x1, 488, x2) + +inst_140: +// rs1_val==1717986919 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666667, 0x3, x1, 492, x2) + +inst_141: +// rs1_val==858993460 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333362, 0x33333334, 0x2e, x1, 496, x2) + +inst_142: +// rs1_val==858993460 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333308, 0x33333334, -0x2c, x1, 500, x2) + +inst_143: +// rs1_val==858993460 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x3333399b, 0x33333334, 0x667, x1, 504, x2) + +inst_144: +// rs1_val==858993460 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333668, 0x33333334, 0x334, x1, 508, x2) + +inst_145: +// rs1_val==858993460 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x3333333a, 0x33333334, 0x6, x1, 512, x2) + +inst_146: +// rs1_val==858993460 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332ddf, 0x33333334, -0x555, x1, 516, x2) + +inst_147: +// rs1_val==858993460 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x3333388a, 0x33333334, 0x556, x1, 520, x2) + +inst_148: +// rs1_val==858993460 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333334, 0x4, x1, 524, x2) + +inst_149: +// rs1_val==858993460 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333334, 0x2c, x1, 528, x2) + +inst_150: +// rs1_val==858993460 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333334, 0x0, x1, 532, x2) + +inst_151: +// rs1_val==858993460 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333334, 0x665, x1, 536, x2) + +inst_152: +// rs1_val==858993460 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333334, 0x332, x1, 540, x2) + +inst_153: +// rs1_val==858993460 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333334, 0x554, x1, 544, x2) + +inst_154: +// rs1_val==858993460 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333334, 0x2, x1, 548, x2) + +inst_155: +// rs1_val==858993460 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333334, 0x2d, x1, 552, x2) + +inst_156: +// rs1_val==858993460 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333334, -0x2d, x1, 556, x2) + +inst_157: +// rs1_val==858993460 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333334, 0x666, x1, 560, x2) + +inst_158: +// rs1_val==858993460 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333334, 0x333, x1, 564, x2) + +inst_159: +// rs1_val==858993460 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333334, 0x5, x1, 568, x2) + +inst_160: +// rs1_val==858993460 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333334, -0x556, x1, 572, x2) + +inst_161: +// rs1_val==858993460 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333334, 0x555, x1, 576, x2) + +inst_162: +// rs1_val==858993460 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333334, 0x3, x1, 580, x2) + +inst_163: +// rs1_val==6 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x34, 0x6, 0x2e, x1, 584, x2) + +inst_164: +// rs1_val==6 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffda, 0x6, -0x2c, x1, 588, x2) + +inst_165: +// rs1_val==6 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66d, 0x6, 0x667, x1, 592, x2) + +inst_166: +// rs1_val==6 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33a, 0x6, 0x334, x1, 596, x2) + +inst_167: +// rs1_val==6 and imm_val==6, rs1_val == imm_val +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xc, 0x6, 0x6, x1, 600, x2) + +inst_168: +// rs1_val==6 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffab1, 0x6, -0x555, x1, 604, x2) + +inst_169: +// rs1_val==6 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55c, 0x6, 0x556, x1, 608, x2) + +inst_170: +// rs1_val==6 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x6, 0x4, x1, 612, x2) + +inst_171: +// rs1_val==6 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x32, 0x6, 0x2c, x1, 616, x2) + +inst_172: +// rs1_val==6 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x6, 0x0, x1, 620, x2) + +inst_173: +// rs1_val==6 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x6, 0x665, x1, 624, x2) + +inst_174: +// rs1_val==6 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x6, 0x332, x1, 628, x2) + +inst_175: +// rs1_val==6 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x6, 0x554, x1, 632, x2) + +inst_176: +// rs1_val==6 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x6, 0x2, x1, 636, x2) + +inst_177: +// rs1_val==6 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33, 0x6, 0x2d, x1, 640, x2) + +inst_178: +// rs1_val==6 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x6, -0x2d, x1, 644, x2) + +inst_179: +// rs1_val==6 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66c, 0x6, 0x666, x1, 648, x2) + +inst_180: +// rs1_val==6 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x339, 0x6, 0x333, x1, 652, x2) + +inst_181: +// rs1_val==6 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb, 0x6, 0x5, x1, 656, x2) + +inst_182: +// rs1_val==6 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x6, -0x556, x1, 660, x2) + +inst_183: +// rs1_val==6 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55b, 0x6, 0x555, x1, 664, x2) + +inst_184: +// rs1_val==6 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x6, 0x3, x1, 668, x2) + +inst_185: +// rs1_val==-1431655765 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad9, -0x55555555, 0x2e, x1, 672, x2) + +inst_186: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7f, -0x55555555, -0x2c, x1, 676, x2) + +inst_187: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xaaaab112, -0x55555555, 0x667, x1, 680, x2) + +inst_188: +// rs1_val==-1431655765 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddf, -0x55555555, 0x334, x1, 684, x2) + +inst_189: +// rs1_val==-1431655765 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 688, x2) + +inst_190: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa556, -0x55555555, -0x555, x1, 692, x2) + +inst_191: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaab001, -0x55555555, 0x556, x1, 696, x2) + +inst_192: +// rs1_val==-1431655765 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 700, x2) + +inst_193: +// rs1_val==-1431655765 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555555, 0x2c, x1, 704, x2) + +inst_194: +// rs1_val==-1431655765 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 708, x2) + +inst_195: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555555, 0x665, x1, 712, x2) + +inst_196: +// rs1_val==-1431655765 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555555, 0x332, x1, 716, x2) + +inst_197: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x1, 720, x2) + +inst_198: +// rs1_val==-1431655765 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 724, x2) + +inst_199: +// rs1_val==-1431655765 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555555, 0x2d, x1, 728, x2) + +inst_200: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555555, -0x2d, x1, 732, x2) + +inst_201: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555555, 0x666, x1, 736, x2) + +inst_202: +// rs1_val==-1431655765 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555555, 0x333, x1, 740, x2) + +inst_203: +// rs1_val==-1431655765 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 744, x2) + +inst_204: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555555, -0x556, x1, 748, x2) + +inst_205: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555555, 0x555, x1, 752, x2) + +inst_206: +// rs1_val==-1431655765 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 756, x2) + +inst_207: +// rs1_val==1431655766 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555584, 0x55555556, 0x2e, x1, 760, x2) + +inst_208: +// rs1_val==1431655766 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x5555552a, 0x55555556, -0x2c, x1, 764, x2) + +inst_209: +// rs1_val==1431655766 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbd, 0x55555556, 0x667, x1, 768, x2) + +inst_210: +// rs1_val==1431655766 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x5555588a, 0x55555556, 0x334, x1, 772, x2) + +inst_211: +// rs1_val==1431655766 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555c, 0x55555556, 0x6, x1, 776, x2) + +inst_212: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555001, 0x55555556, -0x555, x1, 780, x2) + +inst_213: +// rs1_val==1431655766 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aac, 0x55555556, 0x556, x1, 784, x2) + +inst_214: +// rs1_val==1431655766 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555556, 0x4, x1, 788, x2) + +inst_215: +// rs1_val==1431655766 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555556, 0x2c, x1, 792, x2) + +inst_216: +// rs1_val==1431655766 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555556, 0x0, x1, 796, x2) + +inst_217: +// rs1_val==1431655766 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555556, 0x665, x1, 800, x2) + +inst_218: +// rs1_val==1431655766 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555556, 0x332, x1, 804, x2) + +inst_219: +// rs1_val==1431655766 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555556, 0x554, x1, 808, x2) + +inst_220: +// rs1_val==1431655766 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555556, 0x2, x1, 812, x2) + +inst_221: +// rs1_val==1431655766 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555556, 0x2d, x1, 816, x2) + +inst_222: +// rs1_val==1431655766 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555556, -0x2d, x1, 820, x2) + +inst_223: +// rs1_val==1431655766 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555556, 0x666, x1, 824, x2) + +inst_224: +// rs1_val==1431655766 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555556, 0x333, x1, 828, x2) + +inst_225: +// rs1_val==1431655766 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555556, 0x5, x1, 832, x2) + +inst_226: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555556, -0x556, x1, 836, x2) + +inst_227: +// rs1_val==1431655766 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555556, 0x555, x1, 840, x2) + +inst_228: +// rs1_val==1431655766 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555556, 0x3, x1, 844, x2) + +inst_229: +// rs1_val==4 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x32, 0x4, 0x2e, x1, 848, x2) + +inst_230: +// rs1_val==4 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x4, -0x2c, x1, 852, x2) + +inst_231: +// rs1_val==4 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x4, 0x667, x1, 856, x2) + +inst_232: +// rs1_val==4 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x4, 0x334, x1, 860, x2) + +inst_233: +// rs1_val==4 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x4, 0x6, x1, 864, x2) + +inst_234: +// rs1_val==4 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x4, -0x555, x1, 868, x2) + +inst_235: +// rs1_val==4 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x4, 0x556, x1, 872, x2) + +inst_236: +// rs1_val==4 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x4, 0x4, x1, 876, x2) + +inst_237: +// rs1_val==4 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x30, 0x4, 0x2c, x1, 880, x2) + +inst_238: +// rs1_val==4 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x4, 0x0, x1, 884, x2) + +inst_239: +// rs1_val==4 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x4, 0x665, x1, 888, x2) + +inst_240: +// rs1_val==4 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x4, 0x332, x1, 892, x2) + +inst_241: +// rs1_val==4 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x4, 0x554, x1, 896, x2) + +inst_242: +// rs1_val==4 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x4, 0x2, x1, 900, x2) + +inst_243: +// rs1_val==4 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x31, 0x4, 0x2d, x1, 904, x2) + +inst_244: +// rs1_val==4 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x4, -0x2d, x1, 908, x2) + +inst_245: +// rs1_val==4 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x4, 0x666, x1, 912, x2) + +inst_246: +// rs1_val==4 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x4, 0x333, x1, 916, x2) + +inst_247: +// rs1_val==4 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x4, 0x5, x1, 920, x2) + +inst_248: +// rs1_val==4 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x4, -0x556, x1, 924, x2) + +inst_249: +// rs1_val==4 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x4, 0x555, x1, 928, x2) + +inst_250: +// rs1_val==4 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x4, 0x3, x1, 932, x2) + +inst_251: +// rs1_val==46339 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb503, 0x2e, x1, 936, x2) + +inst_252: +// rs1_val==46339 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb503, -0x2c, x1, 940, x2) + +inst_253: +// rs1_val==46339 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb503, 0x667, x1, 944, x2) + +inst_254: +// rs1_val==46339 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb503, 0x334, x1, 948, x2) + +inst_255: +// rs1_val==46339 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb503, 0x6, x1, 952, x2) + +inst_256: +// rs1_val==46339 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb503, -0x555, x1, 956, x2) + +inst_257: +// rs1_val==46339 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb503, 0x556, x1, 960, x2) + +inst_258: +// rs1_val==46339 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb503, 0x4, x1, 964, x2) + +inst_259: +// rs1_val==46339 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb52f, 0xb503, 0x2c, x1, 968, x2) + +inst_260: +// rs1_val==46339 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb503, 0xb503, 0x0, x1, 972, x2) + +inst_261: +// rs1_val==46339 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb68, 0xb503, 0x665, x1, 976, x2) + +inst_262: +// rs1_val==46339 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb835, 0xb503, 0x332, x1, 980, x2) + +inst_263: +// rs1_val==46339 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba57, 0xb503, 0x554, x1, 984, x2) + +inst_264: +// rs1_val==46339 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb503, 0x2, x1, 988, x2) + +inst_265: +// rs1_val==46339 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb503, 0x2d, x1, 992, x2) + +inst_266: +// rs1_val==46339 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d6, 0xb503, -0x2d, x1, 996, x2) + +inst_267: +// rs1_val==46339 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb503, 0x666, x1, 1000, x2) + +inst_268: +// rs1_val==46339 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb503, 0x333, x1, 1004, x2) + +inst_269: +// rs1_val==46339 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb503, 0x5, x1, 1008, x2) + +inst_270: +// rs1_val==46339 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafad, 0xb503, -0x556, x1, 1012, x2) + +inst_271: +// rs1_val==46339 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb503, 0x555, x1, 1016, x2) + +inst_272: +// rs1_val==46339 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb503, 0x3, x1, 1020, x2) + +inst_273: +// rs1_val==0 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x2e, 0x0, 0x2e, x1, 1024, x2) + +inst_274: +// rs1_val==0 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd4, 0x0, -0x2c, x1, 1028, x2) + +inst_275: +// rs1_val==0 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x667, 0x0, 0x667, x1, 1032, x2) + +inst_276: +// rs1_val==0 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x334, 0x0, 0x334, x1, 1036, x2) + +inst_277: +// rs1_val==0 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x0, 0x6, x1, 1040, x2) + +inst_278: +// rs1_val==0 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaab, 0x0, -0x555, x1, 1044, x2) + +inst_279: +// rs1_val==0 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x556, 0x0, 0x556, x1, 1048, x2) + +inst_280: +// rs1_val==0 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x0, 0x4, x1, 1052, x2) + +inst_281: +// rs1_val==0 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2c, 0x0, 0x2c, x1, 1056, x2) + +inst_282: +// rs1_val==0 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x0, 0x0, 0x0, x1, 1060, x2) + +inst_283: +// rs1_val==0 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x665, 0x0, 0x665, x1, 1064, x2) + +inst_284: +// rs1_val==0 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x332, 0x0, 0x332, x1, 1068, x2) + +inst_285: +// rs1_val==0 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x554, 0x0, 0x554, x1, 1072, x2) + +inst_286: +// rs1_val==0 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x2, 0x0, 0x2, x1, 1076, x2) + +inst_287: +// rs1_val==0 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x2d, 0x0, 0x2d, x1, 1080, x2) + +inst_288: +// rs1_val==0 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd3, 0x0, -0x2d, x1, 1084, x2) + +inst_289: +// rs1_val==0 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x666, 0x0, 0x666, x1, 1088, x2) + +inst_290: +// rs1_val==0 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x333, 0x0, 0x333, x1, 1092, x2) + +inst_291: +// rs1_val==0 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x0, 0x5, x1, 1096, x2) + +inst_292: +// rs1_val==0 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaa, 0x0, -0x556, x1, 1100, x2) + +inst_293: +// rs1_val==0 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x555, 0x0, 0x555, x1, 1104, x2) + +inst_294: +// rs1_val==0 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x0, 0x3, x1, 1108, x2) + +inst_295: +// rs1_val==1717986917 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666665, 0x2e, x1, 1112, x2) + +inst_296: +// rs1_val==1717986917 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666665, -0x2c, x1, 1116, x2) + +inst_297: +// rs1_val==1717986917 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666665, 0x667, x1, 1120, x2) + +inst_298: +// rs1_val==1717986917 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666665, 0x334, x1, 1124, x2) + +inst_299: +// rs1_val==1717986917 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666665, 0x6, x1, 1128, x2) + +inst_300: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666665, -0x555, x1, 1132, x2) + +inst_301: +// rs1_val==1717986917 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666665, 0x556, x1, 1136, x2) + +inst_302: +// rs1_val==1717986917 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666665, 0x4, x1, 1140, x2) + +inst_303: +// rs1_val==1717986917 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666691, 0x66666665, 0x2c, x1, 1144, x2) + +inst_304: +// rs1_val==1717986917 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666665, 0x66666665, 0x0, x1, 1148, x2) + +inst_305: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555556, -0x555, x1, 1152, x2) + +inst_306: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555556, 0x556, x1, 1156, x2) + +inst_307: +// rs1_val==-1431655766 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1160, x2) + +inst_308: +// rs1_val==-1431655766 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad6, -0x55555556, 0x2c, x1, 1164, x2) + +inst_309: +// rs1_val==-1431655766 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1168, x2) + +inst_310: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xaaaab10f, -0x55555556, 0x665, x1, 1172, x2) + +inst_311: +// rs1_val==-1431655766 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddc, -0x55555556, 0x332, x1, 1176, x2) + +inst_312: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x1, 1180, x2) + +inst_313: +// rs1_val==-1431655766 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1184, x2) + +inst_314: +// rs1_val==-1431655766 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555556, 0x2d, x1, 1188, x2) + +inst_315: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7d, -0x55555556, -0x2d, x1, 1192, x2) + +inst_316: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555556, 0x666, x1, 1196, x2) + +inst_317: +// rs1_val==-1431655766 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555556, 0x333, x1, 1200, x2) + +inst_318: +// rs1_val==-1431655766 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1204, x2) + +inst_319: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa554, -0x55555556, -0x556, x1, 1208, x2) + +inst_320: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x1, 1212, x2) + +inst_321: +// rs1_val==-1431655766 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1216, x2) + +inst_322: +// rs1_val==1431655765 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555555, 0x2e, x1, 1220, x2) + +inst_323: +// rs1_val==1431655765 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555555, -0x2c, x1, 1224, x2) + +inst_324: +// rs1_val==1431655765 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555555, 0x667, x1, 1228, x2) + +inst_325: +// rs1_val==1431655765 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555555, 0x334, x1, 1232, x2) + +inst_326: +// rs1_val==1431655765 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555555, 0x6, x1, 1236, x2) + +inst_327: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555555, -0x555, x1, 1240, x2) + +inst_328: +// rs1_val==1431655765 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555555, 0x556, x1, 1244, x2) + +inst_329: +// rs1_val==1431655765 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555555, 0x4, x1, 1248, x2) + +inst_330: +// rs1_val==1431655765 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555555, 0x2c, x1, 1252, x2) + +inst_331: +// rs1_val==1431655765 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555555, 0x55555555, 0x0, x1, 1256, x2) + +inst_332: +// rs1_val==1431655765 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555555, 0x665, x1, 1260, x2) + +inst_333: +// rs1_val==1431655765 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555555, 0x332, x1, 1264, x2) + +inst_334: +// rs1_val==1431655765 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555555, 0x554, x1, 1268, x2) + +inst_335: +// rs1_val==1431655765 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555555, 0x2, x1, 1272, x2) + +inst_336: +// rs1_val==1431655765 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555555, 0x2d, x1, 1276, x2) + +inst_337: +// rs1_val==1431655765 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555555, -0x2d, x1, 1280, x2) + +inst_338: +// rs1_val==1431655765 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555555, 0x666, x1, 1284, x2) + +inst_339: +// rs1_val==1431655765 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555555, 0x333, x1, 1288, x2) + +inst_340: +// rs1_val==1431655765 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555555, 0x5, x1, 1292, x2) + +inst_341: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555555, -0x556, x1, 1296, x2) + +inst_342: +// rs1_val==1431655765 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555555, 0x555, x1, 1300, x2) + +inst_343: +// rs1_val==1431655765 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555555, 0x3, x1, 1304, x2) + +inst_344: +// rs1_val==3 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x31, 0x3, 0x2e, x1, 1308, x2) + +inst_345: +// rs1_val==3 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x3, -0x2c, x1, 1312, x2) + +inst_346: +// rs1_val==3 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x3, 0x667, x1, 1316, x2) + +inst_347: +// rs1_val==3 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x3, 0x334, x1, 1320, x2) + +inst_348: +// rs1_val==3 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x3, 0x6, x1, 1324, x2) + +inst_349: +// rs1_val==3 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x3, -0x555, x1, 1328, x2) + +inst_350: +// rs1_val==3 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x3, 0x556, x1, 1332, x2) + +inst_351: +// rs1_val==3 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x3, 0x4, x1, 1336, x2) + +inst_352: +// rs1_val==3 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2f, 0x3, 0x2c, x1, 1340, x2) + +inst_353: +// rs1_val==3 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x3, 0x0, x1, 1344, x2) + +inst_354: +// rs1_val==3 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x668, 0x3, 0x665, x1, 1348, x2) + +inst_355: +// rs1_val==3 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x335, 0x3, 0x332, x1, 1352, x2) + +inst_356: +// rs1_val==3 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x557, 0x3, 0x554, x1, 1356, x2) + +inst_357: +// rs1_val==3 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x3, 0x2, x1, 1360, x2) + +inst_358: +// rs1_val==3 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x30, 0x3, 0x2d, x1, 1364, x2) + +inst_359: +// rs1_val==3 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x3, -0x2d, x1, 1368, x2) + +inst_360: +// rs1_val==3 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x3, 0x666, x1, 1372, x2) + +inst_361: +// rs1_val==3 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x3, 0x333, x1, 1376, x2) + +inst_362: +// rs1_val==3 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x3, 0x5, x1, 1380, x2) + +inst_363: +// rs1_val==3 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x3, -0x556, x1, 1384, x2) + +inst_364: +// rs1_val==3 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x3, 0x555, x1, 1388, x2) + +inst_365: +// rs1_val==3 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x3, 0x3, x1, 1392, x2) + +inst_366: +// rs1_val==1717986917 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666cca, 0x66666665, 0x665, x1, 1396, x2) + +inst_367: +// rs1_val==1717986917 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666997, 0x66666665, 0x332, x1, 1400, x2) + +inst_368: +// rs1_val==1717986917 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bb9, 0x66666665, 0x554, x1, 1404, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666665, 0x2, x1, 1408, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666665, 0x2d, x1, 1412, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666638, 0x66666665, -0x2d, x1, 1416, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666665, 0x666, x1, 1420, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666665, 0x333, x1, 1424, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666665, 0x5, x1, 1428, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x6666610f, 0x66666665, -0x556, x1, 1432, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666665, 0x555, x1, 1436, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666665, 0x3, x1, 1440, x2) + +inst_378: +// rs1_val==858993458 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333332, 0x2e, x1, 1444, x2) + +inst_379: +// rs1_val==858993458 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333332, -0x2c, x1, 1448, x2) + +inst_380: +// rs1_val==858993458 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333332, 0x667, x1, 1452, x2) + +inst_381: +// rs1_val==858993458 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333332, 0x334, x1, 1456, x2) + +inst_382: +// rs1_val==858993458 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333332, 0x6, x1, 1460, x2) + +inst_383: +// rs1_val==858993458 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333332, -0x555, x1, 1464, x2) + +inst_384: +// rs1_val==858993458 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333332, 0x556, x1, 1468, x2) + +inst_385: +// rs1_val==858993458 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333332, 0x4, x1, 1472, x2) + +inst_386: +// rs1_val==858993458 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x3333335e, 0x33333332, 0x2c, x1, 1476, x2) + +inst_387: +// rs1_val==858993458 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333332, 0x33333332, 0x0, x1, 1480, x2) + +inst_388: +// rs1_val==858993458 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333997, 0x33333332, 0x665, x1, 1484, x2) + +inst_389: +// rs1_val==858993458 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333664, 0x33333332, 0x332, x1, 1488, x2) + +inst_390: +// rs1_val==858993458 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333886, 0x33333332, 0x554, x1, 1492, x2) + +inst_391: +// rs1_val==858993458 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333332, 0x2, x1, 1496, x2) + +inst_392: +// rs1_val==858993458 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333332, 0x2d, x1, 1500, x2) + +inst_393: +// rs1_val==858993458 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333305, 0x33333332, -0x2d, x1, 1504, x2) + +inst_394: +// rs1_val==858993458 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333332, 0x666, x1, 1508, x2) + +inst_395: +// rs1_val==858993458 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333332, 0x333, x1, 1512, x2) + +inst_396: +// rs1_val==858993458 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333332, 0x5, x1, 1516, x2) + +inst_397: +// rs1_val==858993458 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332ddc, 0x33333332, -0x556, x1, 1520, x2) + +inst_398: +// rs1_val==858993458 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333332, 0x555, x1, 1524, x2) + +inst_399: +// rs1_val==858993458 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333332, 0x3, x1, 1528, x2) + +inst_400: +// rs1_val==1431655764 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555554, 0x2e, x1, 1532, x2) + +inst_401: +// rs1_val==1431655764 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555554, -0x2c, x1, 1536, x2) + +inst_402: +// rs1_val==1431655764 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555554, 0x667, x1, 1540, x2) + +inst_403: +// rs1_val==1431655764 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555554, 0x334, x1, 1544, x2) + +inst_404: +// rs1_val==1431655764 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555554, 0x6, x1, 1548, x2) + +inst_405: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555554, -0x555, x1, 1552, x2) + +inst_406: +// rs1_val==1431655764 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555554, 0x556, x1, 1556, x2) + +inst_407: +// rs1_val==1431655764 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555554, 0x4, x1, 1560, x2) + +inst_408: +// rs1_val==1431655764 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555580, 0x55555554, 0x2c, x1, 1564, x2) + +inst_409: +// rs1_val==1431655764 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555554, 0x55555554, 0x0, x1, 1568, x2) + +inst_410: +// rs1_val==1431655764 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bb9, 0x55555554, 0x665, x1, 1572, x2) + +inst_411: +// rs1_val==1431655764 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555886, 0x55555554, 0x332, x1, 1576, x2) + +inst_412: +// rs1_val==1431655764 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aa8, 0x55555554, 0x554, x1, 1580, x2) + +inst_413: +// rs1_val==1431655764 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555554, 0x2, x1, 1584, x2) + +inst_414: +// rs1_val==1431655764 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555554, 0x2d, x1, 1588, x2) + +inst_415: +// rs1_val==1431655764 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555527, 0x55555554, -0x2d, x1, 1592, x2) + +inst_416: +// rs1_val==1431655764 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555554, 0x666, x1, 1596, x2) + +inst_417: +// rs1_val==1431655764 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555554, 0x333, x1, 1600, x2) + +inst_418: +// rs1_val==1431655764 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555554, 0x5, x1, 1604, x2) + +inst_419: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55554ffe, 0x55555554, -0x556, x1, 1608, x2) + +inst_420: +// rs1_val==1431655764 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555554, 0x555, x1, 1612, x2) + +inst_421: +// rs1_val==1431655764 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555554, 0x3, x1, 1616, x2) + +inst_422: +// rs1_val==2 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x30, 0x2, 0x2e, x1, 1620, x2) + +inst_423: +// rs1_val==2 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x2, -0x2c, x1, 1624, x2) + +inst_424: +// rs1_val==2 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x2, 0x667, x1, 1628, x2) + +inst_425: +// rs1_val==2 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x2, 0x334, x1, 1632, x2) + +inst_426: +// rs1_val==2 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x2, 0x6, x1, 1636, x2) + +inst_427: +// rs1_val==2 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x2, -0x555, x1, 1640, x2) + +inst_428: +// rs1_val==2 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x2, 0x556, x1, 1644, x2) + +inst_429: +// rs1_val==2 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x2, 0x4, x1, 1648, x2) + +inst_430: +// rs1_val==2 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2e, 0x2, 0x2c, x1, 1652, x2) + +inst_431: +// rs1_val==2 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x2, 0x2, 0x0, x1, 1656, x2) + +inst_432: +// rs1_val==2 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x667, 0x2, 0x665, x1, 1660, x2) + +inst_433: +// rs1_val==2 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x334, 0x2, 0x332, x1, 1664, x2) + +inst_434: +// rs1_val==2 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x556, 0x2, 0x554, x1, 1668, x2) + +inst_435: +// rs1_val==2 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x2, 0x2, x1, 1672, x2) + +inst_436: +// rs1_val==2 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x2f, 0x2, 0x2d, x1, 1676, x2) + +inst_437: +// rs1_val==2 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd5, 0x2, -0x2d, x1, 1680, x2) + +inst_438: +// rs1_val==2 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x668, 0x2, 0x666, x1, 1684, x2) + +inst_439: +// rs1_val==2 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x335, 0x2, 0x333, x1, 1688, x2) + +inst_440: +// rs1_val==2 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x2, 0x5, x1, 1692, x2) + +inst_441: +// rs1_val==2 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaac, 0x2, -0x556, x1, 1696, x2) + +inst_442: +// rs1_val==2 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x557, 0x2, 0x555, x1, 1700, x2) + +inst_443: +// rs1_val==2 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x2, 0x3, x1, 1704, x2) + +inst_444: +// rs1_val==46340 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb504, 0x2e, x1, 1708, x2) + +inst_445: +// rs1_val==46340 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb504, -0x2c, x1, 1712, x2) + +inst_446: +// rs1_val==46340 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb504, 0x667, x1, 1716, x2) + +inst_447: +// rs1_val==46340 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb504, 0x334, x1, 1720, x2) + +inst_448: +// rs1_val==46340 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb504, 0x6, x1, 1724, x2) + +inst_449: +// rs1_val==46340 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb504, -0x555, x1, 1728, x2) + +inst_450: +// rs1_val==46340 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb504, 0x556, x1, 1732, x2) + +inst_451: +// rs1_val==46340 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb504, 0x4, x1, 1736, x2) + +inst_452: +// rs1_val==46340 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb504, 0x2c, x1, 1740, x2) + +inst_453: +// rs1_val==46340 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb504, 0xb504, 0x0, x1, 1744, x2) + +inst_454: +// rs1_val==46340 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb504, 0x665, x1, 1748, x2) + +inst_455: +// rs1_val==46340 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb504, 0x332, x1, 1752, x2) + +inst_456: +// rs1_val==46340 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb504, 0x554, x1, 1756, x2) + +inst_457: +// rs1_val==46340 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb504, 0x2, x1, 1760, x2) + +inst_458: +// rs1_val==46340 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb504, 0x2d, x1, 1764, x2) + +inst_459: +// rs1_val==46340 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb504, -0x2d, x1, 1768, x2) + +inst_460: +// rs1_val==46340 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb504, 0x666, x1, 1772, x2) + +inst_461: +// rs1_val==46340 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb504, 0x333, x1, 1776, x2) + +inst_462: +// rs1_val==46340 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb504, 0x5, x1, 1780, x2) + +inst_463: +// rs1_val==46340 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb504, -0x556, x1, 1784, x2) + +inst_464: +// rs1_val==46340 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb504, 0x555, x1, 1788, x2) + +inst_465: +// rs1_val==46340 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb504, 0x3, x1, 1792, x2) + +inst_466: +// rs1_val==-46340 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb504, 0x2e, x1, 1796, x2) + +inst_467: +// rs1_val==-46340 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb504, -0x2c, x1, 1800, x2) + +inst_468: +// rs1_val==-46340 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb504, 0x667, x1, 1804, x2) + +inst_469: +// rs1_val==-46340 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb504, 0x334, x1, 1808, x2) + +inst_470: +// rs1_val==-46340 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb504, 0x6, x1, 1812, x2) + +inst_471: +// rs1_val==-46340 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb504, -0x555, x1, 1816, x2) + +inst_472: +// rs1_val==-46340 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb504, 0x556, x1, 1820, x2) + +inst_473: +// rs1_val==-46340 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb504, 0x4, x1, 1824, x2) + +inst_474: +// rs1_val==-46340 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4b28, -0xb504, 0x2c, x1, 1828, x2) + +inst_475: +// rs1_val==-46340 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xffff4afc, -0xb504, 0x0, x1, 1832, x2) + +inst_476: +// rs1_val==-46340 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xffff5161, -0xb504, 0x665, x1, 1836, x2) + +inst_477: +// rs1_val==-46340 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2e, -0xb504, 0x332, x1, 1840, x2) + +inst_478: +// rs1_val==-46340 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xffff5050, -0xb504, 0x554, x1, 1844, x2) + +inst_479: +// rs1_val==-46340 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffff4afe, -0xb504, 0x2, x1, 1848, x2) + +inst_480: +// rs1_val==-46340 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb504, 0x2d, x1, 1852, x2) + +inst_481: +// rs1_val==-46340 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4acf, -0xb504, -0x2d, x1, 1856, x2) + +inst_482: +// rs1_val==-46340 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb504, 0x666, x1, 1860, x2) + +inst_483: +// rs1_val==-46340 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb504, 0x333, x1, 1864, x2) + +inst_484: +// rs1_val==-46340 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb504, 0x5, x1, 1868, x2) + +inst_485: +// rs1_val==-46340 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff45a6, -0xb504, -0x556, x1, 1872, x2) + +inst_486: +// rs1_val==-46340 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb504, 0x555, x1, 1876, x2) + +inst_487: +// rs1_val==-46340 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb504, 0x3, x1, 1880, x2) + +inst_488: +// rs1_val==1717986918 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666666, 0x2e, x1, 1884, x2) + +inst_489: +// rs1_val==1717986918 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666666, -0x2c, x1, 1888, x2) + +inst_490: +// rs1_val==1717986918 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666666, 0x667, x1, 1892, x2) + +inst_491: +// rs1_val==1717986918 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666666, 0x334, x1, 1896, x2) + +inst_492: +// rs1_val==1717986918 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666666, 0x6, x1, 1900, x2) + +inst_493: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666666, -0x555, x1, 1904, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666666, 0x556, x1, 1908, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666666, 0x4, x1, 1912, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666666, 0x2c, x1, 1916, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666666, 0x66666666, 0x0, x1, 1920, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666666, 0x665, x1, 1924, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666666, 0x332, x1, 1928, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666666, 0x554, x1, 1932, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666666, 0x2, x1, 1936, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666666, 0x2d, x1, 1940, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666666, -0x2d, x1, 1944, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666666, 0x666, x1, 1948, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666666, 0x333, x1, 1952, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666666, 0x5, x1, 1956, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666666, -0x556, x1, 1960, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666666, 0x555, x1, 1964, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666666, 0x3, x1, 1968, x2) + +inst_510: +// rs1_val==858993459 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333333, 0x2e, x1, 1972, x2) + +inst_511: +// rs1_val==858993459 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333333, -0x2c, x1, 1976, x2) + +inst_512: +// rs1_val==858993459 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333333, 0x667, x1, 1980, x2) + +inst_513: +// rs1_val==858993459 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333333, 0x334, x1, 1984, x2) + +inst_514: +// rs1_val==858993459 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333333, 0x6, x1, 1988, x2) + +inst_515: +// rs1_val==858993459 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333333, -0x555, x1, 1992, x2) + +inst_516: +// rs1_val==858993459 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333333, 0x556, x1, 1996, x2) + +inst_517: +// rs1_val==858993459 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333333, 0x4, x1, 2000, x2) + +inst_518: +// rs1_val==858993459 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333333, 0x2c, x1, 2004, x2) + +inst_519: +// rs1_val==858993459 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333333, 0x33333333, 0x0, x1, 2008, x2) + +inst_520: +// rs1_val==858993459 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333333, 0x665, x1, 2012, x2) + +inst_521: +// rs1_val==858993459 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333333, 0x332, x1, 2016, x2) + +inst_522: +// rs1_val==858993459 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333333, 0x554, x1, 2020, x2) + +inst_523: +// rs1_val==858993459 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333333, 0x2, x1, 2024, x2) + +inst_524: +// rs1_val==858993459 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333333, 0x2d, x1, 2028, x2) + +inst_525: +// rs1_val==858993459 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333333, -0x2d, x1, 2032, x2) + +inst_526: +// rs1_val==858993459 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333333, 0x666, x1, 2036, x2) + +inst_527: +// rs1_val==858993459 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333333, 0x333, x1, 2040, x2) + +inst_528: +// rs1_val==858993459 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333333, 0x5, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_529: +// rs1_val==858993459 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333333, -0x556, x1, 0, x2) + +inst_530: +// rs1_val==858993459 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333333, 0x555, x1, 4, x2) + +inst_531: +// rs1_val==858993459 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333333, 0x3, x1, 8, x2) + +inst_532: +// rs1_val==5 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33, 0x5, 0x2e, x1, 12, x2) + +inst_533: +// rs1_val==5 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x5, -0x2c, x1, 16, x2) + +inst_534: +// rs1_val==5 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66c, 0x5, 0x667, x1, 20, x2) + +inst_535: +// rs1_val==5 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x339, 0x5, 0x334, x1, 24, x2) + +inst_536: +// rs1_val==5 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb, 0x5, 0x6, x1, 28, x2) + +inst_537: +// rs1_val==5 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x5, -0x555, x1, 32, x2) + +inst_538: +// rs1_val==5 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55b, 0x5, 0x556, x1, 36, x2) + +inst_539: +// rs1_val==5 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x5, 0x4, x1, 40, x2) + +inst_540: +// rs1_val==5 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x31, 0x5, 0x2c, x1, 44, x2) + +inst_541: +// rs1_val==5 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x5, 0x0, x1, 48, x2) + +inst_542: +// rs1_val==5 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x5, 0x665, x1, 52, x2) + +inst_543: +// rs1_val==5 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x5, 0x332, x1, 56, x2) + +inst_544: +// rs1_val==5 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x5, 0x554, x1, 60, x2) + +inst_545: +// rs1_val==5 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x5, 0x2, x1, 64, x2) + +inst_546: +// rs1_val==5 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x32, 0x5, 0x2d, x1, 68, x2) + +inst_547: +// rs1_val==5 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x5, -0x2d, x1, 72, x2) + +inst_548: +// rs1_val==5 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x5, 0x666, x1, 76, x2) + +inst_549: +// rs1_val==5 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x5, 0x333, x1, 80, x2) + +inst_550: +// rs1_val==5 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x5, 0x5, x1, 84, x2) + +inst_551: +// rs1_val==5 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x5, -0x556, x1, 88, x2) + +inst_552: +// rs1_val==5 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x5, 0x555, x1, 92, x2) + +inst_553: +// rs1_val==5 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x5, 0x3, x1, 96, x2) + +inst_554: +// rs1_val==-1431655766 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555556, 0x2e, x1, 100, x2) + +inst_555: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555556, -0x2c, x1, 104, x2) + +inst_556: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555556, 0x667, x1, 108, x2) + +inst_557: +// rs1_val==-1431655766 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555556, 0x334, x1, 112, x2) + +inst_558: +// rs1_val==-1431655766 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 116, x2) + +inst_559: +// rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x40 +TEST_IMM_OP( addi, x11, x10, 0xc000003f, -0x40000001, 0x40, x1, 120, x2) + +inst_560: +// rs1_val == -33554433, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2000001; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xfdffffff, -0x2000001, 0x0, x1, 124, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 32*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S new file mode 100644 index 000000000..dca83d0f0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S @@ -0,0 +1,3026 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the and instruction of the RISC-V E extension for the and covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",and) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x3, rd==x10, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs1_val == 16, rs2_val == 8 +// opcode: and ; op1:x11; op2:x3; dest:x10; op1val:0x10; op2val:0x8 +TEST_RR_OP(and, x10, x11, x3, 0x0, 0x10, 0x8, x1, 0, x7) + +inst_1: +// rs1 == rd != rs2, rs1==x13, rs2==x11, rd==x13, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) +// opcode: and ; op1:x13; op2:x11; dest:x13; op1val:0x3; op2val:0x7fffffff +TEST_RR_OP(and, x13, x13, x11, 0x3, 0x3, 0x7fffffff, x1, 4, x7) + +inst_2: +// rs2 == rd != rs1, rs1==x12, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val == 256, rs1_val > 0 and rs2_val < 0 +// opcode: and ; op1:x12; op2:x6; dest:x6; op1val:0x100; op2val:-0x40000001 +TEST_RR_OP(and, x6, x12, x6, 0x100, 0x100, -0x40000001, x1, 8, x7) + +inst_3: +// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x5, rs2_val == -536870913, rs1_val == 262144 +// opcode: and ; op1:x8; op2:x8; dest:x5; op1val:0x40000; op2val:0x40000 +TEST_RR_OP(and, x5, x8, x8, 0x40000, 0x40000, 0x40000, x1, 12, x7) + +inst_4: +// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == -268435457, rs1_val == 1048576 +// opcode: and ; op1:x4; op2:x4; dest:x4; op1val:0x100000; op2val:0x100000 +TEST_RR_OP(and, x4, x4, x4, 0x100000, 0x100000, 0x100000, x1, 16, x7) + +inst_5: +// rs1==x15, rs2==x2, rd==x12, rs2_val == -134217729, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 +// opcode: and ; op1:x15; op2:x2; dest:x12; op1val:-0x4000001; op2val:-0x8000001 +TEST_RR_OP(and, x12, x15, x2, 0xf3ffffff, -0x4000001, -0x8000001, x1, 20, x7) + +inst_6: +// rs1==x6, rs2==x15, rd==x8, rs2_val == -67108865, rs1_val == -1431655766 +// opcode: and ; op1:x6; op2:x15; dest:x8; op1val:-0x55555556; op2val:-0x4000001 +TEST_RR_OP(and, x8, x6, x15, 0xaaaaaaaa, -0x55555556, -0x4000001, x1, 24, x3) + +inst_7: +// rs1==x10, rs2==x5, rd==x9, rs2_val == -33554433, rs1_val == 4194304 +// opcode: and ; op1:x10; op2:x5; dest:x9; op1val:0x400000; op2val:-0x2000001 +TEST_RR_OP(and, x9, x10, x5, 0x400000, 0x400000, -0x2000001, x1, 28, x3) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_8: +// rs1==x2, rs2==x0, rd==x1, rs2_val == -16777217, +// opcode: and ; op1:x2; op2:x0; dest:x1; op1val:0x40000; op2val:0x0 +TEST_RR_OP(and, x1, x2, x0, 0x0, 0x40000, 0x0, x4, 0, x3) + +inst_9: +// rs1==x5, rs2==x1, rd==x15, rs2_val == -8388609, rs1_val == -65 +// opcode: and ; op1:x5; op2:x1; dest:x15; op1val:-0x41; op2val:-0x800001 +TEST_RR_OP(and, x15, x5, x1, 0xff7fffbf, -0x41, -0x800001, x4, 4, x3) + +inst_10: +// rs1==x7, rs2==x10, rd==x2, rs2_val == -4194305, rs1_val == 16777216 +// opcode: and ; op1:x7; op2:x10; dest:x2; op1val:0x1000000; op2val:-0x400001 +TEST_RR_OP(and, x2, x7, x10, 0x1000000, 0x1000000, -0x400001, x4, 8, x3) + +inst_11: +// rs1==x1, rs2==x9, rd==x14, rs2_val == -2097153, rs1_val == -16385 +// opcode: and ; op1:x1; op2:x9; dest:x14; op1val:-0x4001; op2val:-0x200001 +TEST_RR_OP(and, x14, x1, x9, 0xffdfbfff, -0x4001, -0x200001, x4, 12, x3) + +inst_12: +// rs1==x14, rs2==x13, rd==x7, rs2_val == -1048577, rs1_val == -1025 +// opcode: and ; op1:x14; op2:x13; dest:x7; op1val:-0x401; op2val:-0x100001 +TEST_RR_OP(and, x7, x14, x13, 0xffeffbff, -0x401, -0x100001, x4, 16, x3) + +inst_13: +// rs1==x3, rs2==x14, rd==x0, rs2_val == -524289, rs1_val == -3 +// opcode: and ; op1:x3; op2:x14; dest:x0; op1val:-0x3; op2val:-0x80001 +TEST_RR_OP(and, x0, x3, x14, 0, -0x3, -0x80001, x4, 20, x2) + +inst_14: +// rs1==x9, rs2==x7, rd==x11, rs2_val == -262145, rs1_val == 33554432 +// opcode: and ; op1:x9; op2:x7; dest:x11; op1val:0x2000000; op2val:-0x40001 +TEST_RR_OP(and, x11, x9, x7, 0x2000000, 0x2000000, -0x40001, x4, 24, x2) + +inst_15: +// rs1==x0, rs2==x12, rd==x3, rs2_val == -131073, +// opcode: and ; op1:x0; op2:x12; dest:x3; op1val:0x0; op2val:-0x20001 +TEST_RR_OP(and, x3, x0, x12, 0x0, 0x0, -0x20001, x4, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs2_val == -65537, rs1_val == 4 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10001 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x10001, x1, 0, x2) + +inst_17: +// rs2_val == -32769, rs1_val == 1431655765 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x8001 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, -0x8001, x1, 4, x2) + +inst_18: +// rs2_val == -16385, rs1_val == 1073741824 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 +TEST_RR_OP(and, x12, x10, x11, 0x40000000, 0x40000000, -0x4001, x1, 8, x2) + +inst_19: +// rs2_val == -8193, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x2001 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x2001, x1, 12, x2) + +inst_20: +// rs2_val == -4097, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x1001 +TEST_RR_OP(and, x12, x10, x11, 0x100, 0x100, -0x1001, x1, 16, x2) + +inst_21: +// rs2_val == -2049, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x801 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaa2aa, -0x55555556, -0x801, x1, 20, x2) + +inst_22: +// rs2_val == -1025, rs1_val == 4096 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x401 +TEST_RR_OP(and, x12, x10, x11, 0x1000, 0x1000, -0x401, x1, 24, x2) + +inst_23: +// rs2_val == -513, rs1_val == 1 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x201 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x1, -0x201, x1, 28, x2) + +inst_24: +// rs2_val == -257, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0x7, 0x7, -0x101, x1, 32, x2) + +inst_25: +// rs2_val == -129, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x81 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x81, x1, 36, x2) + +inst_26: +// rs2_val == -65, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x41 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, -0x41, x1, 40, x2) + +inst_27: +// rs2_val == -33, rs1_val == 128 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x21 +TEST_RR_OP(and, x12, x10, x11, 0x80, 0x80, -0x21, x1, 44, x2) + +inst_28: +// rs2_val == -17, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x11 +TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, -0x11, x1, 48, x2) + +inst_29: +// rs2_val == -9, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x9 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x9, x1, 52, x2) + +inst_30: +// rs2_val == -5, rs1_val == 16384 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4000, 0x4000, -0x5, x1, 56, x2) + +inst_31: +// rs2_val == -3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x3 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, -0x3, x1, 60, x2) + +inst_32: +// rs2_val == -2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x2 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, -0x2, x1, 64, x2) + +inst_33: +// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x800001 +TEST_RR_OP(and, x12, x10, x11, 0x7f7fffff, 0x7fffffff, -0x800001, x1, 68, x2) + +inst_34: +// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x26666667, -0x40000001, 0x66666667, x1, 72, x2) + +inst_35: +// rs1_val == -536870913, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x20000001, 0x3, x1, 76, x2) + +inst_36: +// rs1_val == -268435457, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x8 +TEST_RR_OP(and, x12, x10, x11, 0xeffffff8, -0x10000001, -0x8, x1, 80, x2) + +inst_37: +// rs1_val == -134217729, rs2_val == 2097152 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x200000 +TEST_RR_OP(and, x12, x10, x11, 0x200000, -0x8000001, 0x200000, x1, 84, x2) + +inst_38: +// rs1_val == -33554433, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0xfdfffeff, -0x2000001, -0x101, x1, 88, x2) + +inst_39: +// rs1_val == -16777217, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x800001 +TEST_RR_OP(and, x12, x10, x11, 0xfe7fffff, -0x1000001, -0x800001, x1, 92, x2) + +inst_40: +// rs1_val == -8388609, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0xff7ffeff, -0x800001, -0x101, x1, 96, x2) + +inst_41: +// rs1_val == -4194305, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x200001 +TEST_RR_OP(and, x12, x10, x11, 0xff9fffff, -0x400001, -0x200001, x1, 100, x2) + +inst_42: +// rs1_val == -2097153, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66466666, -0x200001, 0x66666666, x1, 104, x2) + +inst_43: +// rs1_val == -1048577, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffef4afd, -0x100001, -0xb503, x1, 108, x2) + +inst_44: +// rs1_val == -524289, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, -0x80001, 0xb504, x1, 112, x2) + +inst_45: +// rs1_val == -262145, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x8001 +TEST_RR_OP(and, x12, x10, x11, 0xfffb7fff, -0x40001, -0x8001, x1, 116, x2) + +inst_46: +// rs1_val == -131073, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555556, -0x20001, 0x55555556, x1, 120, x2) + +inst_47: +// rs1_val == -65537, rs2_val == 524288 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x80000 +TEST_RR_OP(and, x12, x10, x11, 0x80000, -0x10001, 0x80000, x1, 124, x2) + +inst_48: +// rs1_val == -32769, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x4001 +TEST_RR_OP(and, x12, x10, x11, 0xffff3fff, -0x8001, -0x4001, x1, 128, x2) + +inst_49: +// rs1_val == -8193, rs2_val == 2 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x2001, 0x2, x1, 132, x2) + +inst_50: +// rs1_val == -4097, rs2_val == 32 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x20 +TEST_RR_OP(and, x12, x10, x11, 0x20, -0x1001, 0x20, x1, 136, x2) + +inst_51: +// rs1_val == -2049, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff42fc, -0x801, -0xb504, x1, 140, x2) + +inst_52: +// rs1_val == -513, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x80001 +TEST_RR_OP(and, x12, x10, x11, 0xfff7fdff, -0x201, -0x80001, x1, 144, x2) + +inst_53: +// rs1_val == -257, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 +TEST_RR_OP(and, x12, x10, x11, 0xf7fffeff, -0x101, -0x8000001, x1, 148, x2) + +inst_54: +// rs1_val == -129, rs2_val == 1431655765 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, -0x81, 0x55555555, x1, 152, x2) + +inst_55: +// rs1_val == -33, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x201 +TEST_RR_OP(and, x12, x10, x11, 0xfffffddf, -0x21, -0x201, x1, 156, x2) + +inst_56: +// rs1_val == -17, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x11, 0x3, x1, 160, x2) + +inst_57: +// rs1_val == -9, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, -0x9, 0x6, x1, 164, x2) + +inst_58: +// rs1_val == -5, rs2_val == 1 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x5, 0x1, x1, 168, x2) + +inst_59: +// rs1_val == -2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0x2, -0xb503, x1, 172, x2) + +inst_60: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 2 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x80000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0x80000000, x1, 176, x2) + +inst_61: +// rs2_val == 1073741824, rs1_val == 2048 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x40000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x40000000, x1, 180, x2) + +inst_62: +// rs2_val == 536870912, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x20000000 +TEST_RR_OP(and, x12, x10, x11, 0x20000000, -0x4000001, 0x20000000, x1, 184, x2) + +inst_63: +// rs2_val == 268435456, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x10000000 +TEST_RR_OP(and, x12, x10, x11, 0x10000000, -0x100001, 0x10000000, x1, 188, x2) + +inst_64: +// rs2_val == 134217728, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8000000 +TEST_RR_OP(and, x12, x10, x11, 0x8000000, -0xb503, 0x8000000, x1, 192, x2) + +inst_65: +// rs2_val == 67108864, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x4000000 +TEST_RR_OP(and, x12, x10, x11, 0x4000000, -0x1, 0x4000000, x1, 196, x2) + +inst_66: +// rs2_val == 33554432, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2000000 +TEST_RR_OP(and, x12, x10, x11, 0x2000000, -0x55555555, 0x2000000, x1, 200, x2) + +inst_67: +// rs2_val == 16777216, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x1000000 +TEST_RR_OP(and, x12, x10, x11, 0x1000000, -0x10000001, 0x1000000, x1, 204, x2) + +inst_68: +// rs2_val == 8388608, rs1_val == 268435456 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000000, 0x800000, x1, 208, x2) + +inst_69: +// rs2_val == 4194304, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x400000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x400000, x1, 212, x2) + +inst_70: +// rs2_val == 1048576, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x100000 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x55555555, 0x100000, x1, 216, x2) + +inst_71: +// rs2_val == 262144, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:0x40000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x9, 0x40000, x1, 220, x2) + +inst_72: +// rs2_val == 131072, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x20000 +TEST_RR_OP(and, x12, x10, x11, 0x20000, -0x55555555, 0x20000, x1, 224, x2) + +inst_73: +// rs2_val == 65536, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 228, x2) + +inst_74: +// rs2_val == 32768, rs1_val == 65536 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000, 0x8000, x1, 232, x2) + +inst_75: +// rs2_val == 16384, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4000, x1, 236, x2) + +inst_76: +// rs2_val == 8192, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 +TEST_RR_OP(and, x12, x10, x11, 0x2000, -0xa, 0x2000, x1, 240, x2) + +inst_77: +// rs2_val == 4096, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1000 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x1000, x1, 244, x2) + +inst_78: +// rs2_val == 2048, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x800 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x800, x1, 248, x2) + +inst_79: +// rs2_val == 1024, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x400 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x400, x1, 252, x2) + +inst_80: +// rs2_val == 512, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x200, x1, 256, x2) + +inst_81: +// rs2_val == 256, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x100, x1, 260, x2) + +inst_82: +// rs2_val == 128, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 +TEST_RR_OP(and, x12, x10, x11, 0x80, -0x20000001, 0x80, x1, 264, x2) + +inst_83: +// rs2_val == 64, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x40 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x40, x1, 268, x2) + +inst_84: +// rs2_val == 16, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x10 +TEST_RR_OP(and, x12, x10, x11, 0x10, -0x7, 0x10, x1, 272, x2) + +inst_85: +// rs2_val == 4, rs1_val==-46339 and rs2_val==4 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x4, x1, 276, x2) + +inst_86: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x80000000, 0x33333334, x1, 280, x2) + +inst_87: +// rs1_val == 536870912, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x20000000, 0x20000000, -0xb504, x1, 284, x2) + +inst_88: +// rs1_val == 134217728, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000000, 0xb504, x1, 288, x2) + +inst_89: +// rs1_val == 67108864, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4000000, 0x4000000, -0xb504, x1, 292, x2) + +inst_90: +// rs1_val == 8388608, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x3fffffff +TEST_RR_OP(and, x12, x10, x11, 0x800000, 0x800000, 0x3fffffff, x1, 296, x2) + +inst_91: +// rs1_val == 2097152, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 +TEST_RR_OP(and, x12, x10, x11, 0x200000, 0x200000, -0x9, x1, 300, x2) + +inst_92: +// rs1_val == 524288, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7fffffff +TEST_RR_OP(and, x12, x10, x11, 0x80000, 0x80000, 0x7fffffff, x1, 304, x2) + +inst_93: +// rs1_val == 131072, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x4 +TEST_RR_OP(and, x12, x10, x11, 0x20000, 0x20000, -0x4, x1, 308, x2) + +inst_94: +// rs1_val == 32768, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000, 0x10000000, x1, 312, x2) + +inst_95: +// rs1_val == 8192, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x1000001 +TEST_RR_OP(and, x12, x10, x11, 0x2000, 0x2000, -0x1000001, x1, 316, x2) + +inst_96: +// rs1_val == 1024, rs1_val == rs2_val +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x400 +TEST_RR_OP(and, x12, x10, x11, 0x400, 0x400, 0x400, x1, 320, x2) + +inst_97: +// rs1_val == 512, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x20000001 +TEST_RR_OP(and, x12, x10, x11, 0x200, 0x200, -0x20000001, x1, 324, x2) + +inst_98: +// rs1_val == 64, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x40000001 +TEST_RR_OP(and, x12, x10, x11, 0x40, 0x40, -0x40000001, x1, 328, x2) + +inst_99: +// rs1_val == 32, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x2000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x20, 0x2000000, x1, 332, x2) + +inst_100: +// rs1_val == 8, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 336, x2) + +inst_101: +// rs1_val==46341 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 340, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, -0xb503, x1, 344, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 348, x2) + +inst_104: +// rs1_val==46341 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 352, x2) + +inst_105: +// rs1_val==46341 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x6, x1, 356, x2) + +inst_106: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 360, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 364, x2) + +inst_108: +// rs1_val==46341 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x4, x1, 368, x2) + +inst_109: +// rs1_val==46341 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb505, 0xb503, x1, 372, x2) + +inst_110: +// rs1_val==46341 and rs2_val==0, rs2_val == 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 376, x2) + +inst_111: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 380, x2) + +inst_112: +// rs1_val==46341 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 384, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 388, x2) + +inst_114: +// rs1_val==46341 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 392, x2) + +inst_115: +// rs1_val==46341 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb505, 0xb504, x1, 396, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, -0xb504, x1, 400, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 404, x2) + +inst_118: +// rs1_val==46341 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 408, x2) + +inst_119: +// rs1_val==46341 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, 0x5, x1, 412, x2) + +inst_120: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 416, x2) + +inst_121: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 420, x2) + +inst_122: +// rs1_val==46341 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb505, 0x3, x1, 424, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0xb505, x1, 428, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 432, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 436, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 440, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x6, x1, 444, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 448, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 452, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0xb503, x1, 456, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 460, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 464, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 468, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 472, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x2, x1, 476, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0xb504, x1, 480, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 484, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 488, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 492, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0x5, x1, 496, x2) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 500, x2) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 504, x2) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0x3, x1, 508, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 512, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 516, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 520, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 524, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666667, 0x6, x1, 528, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 532, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 536, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666667, 0x4, x1, 540, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 544, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 548, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 552, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 556, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 560, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666667, 0x2, x1, 564, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 568, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 572, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 576, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 580, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666667, 0x5, x1, 584, x2) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 588, x2) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 592, x2) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x66666667, 0x3, x1, 596, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 600, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 604, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 608, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 612, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 616, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 620, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 624, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x4, x1, 628, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 632, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 636, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 640, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 644, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 648, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 652, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 656, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 660, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 664, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 668, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x5, x1, 672, x2) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 676, x2) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 680, x2) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 684, x2) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb505, x1, 688, x2) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb503, x1, 692, x2) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 696, x2) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x33333334, x1, 700, x2) + +inst_192: +// rs1_val==6 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x6, x1, 704, x2) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555555, x1, 708, x2) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 712, x2) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x4, x1, 716, x2) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0xb503, x1, 720, x2) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x6, 0x0, x1, 724, x2) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x66666665, x1, 728, x2) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333332, x1, 732, x2) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555554, x1, 736, x2) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x2, x1, 740, x2) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb504, x1, 744, x2) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb504, x1, 748, x2) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 752, x2) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333333, x1, 756, x2) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x5, x1, 760, x2) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555556, x1, 764, x2) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555555, x1, 768, x2) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x3, x1, 772, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 776, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 780, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 784, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 788, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x6, x1, 792, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 796, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 800, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x4, x1, 804, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 808, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 812, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 816, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 820, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 824, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x2, x1, 828, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 832, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 836, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 840, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 844, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x5, x1, 848, x2) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 852, x2) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 856, x2) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x55555555, 0x3, x1, 860, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 864, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 868, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 872, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 876, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x55555556, 0x6, x1, 880, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 884, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 888, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x4, x1, 892, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 896, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 900, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 904, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 908, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 912, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x2, x1, 916, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 920, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 924, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 928, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 932, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x5, x1, 936, x2) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 940, x2) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 944, x2) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 948, x2) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 952, x2) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb503, x1, 956, x2) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 960, x2) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 964, x2) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x6, x1, 968, x2) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 972, x2) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 976, x2) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x4, x1, 980, x2) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 984, x2) + +inst_263: +// rs1_val==4 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x0, x1, 988, x2) + +inst_264: +// rs1_val==4 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 992, x2) + +inst_265: +// rs1_val==4 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 996, x2) + +inst_266: +// rs1_val==4 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1000, x2) + +inst_267: +// rs1_val==4 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1004, x2) + +inst_268: +// rs1_val==4 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1008, x2) + +inst_269: +// rs1_val==4 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb504, x1, 1012, x2) + +inst_270: +// rs1_val==4 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1016, x2) + +inst_271: +// rs1_val==4 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1020, x2) + +inst_272: +// rs1_val==4 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1024, x2) + +inst_273: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1028, x2) + +inst_274: +// rs1_val==4 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1032, x2) + +inst_275: +// rs1_val==4 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1036, x2) + +inst_276: +// rs1_val==46339 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1040, x2) + +inst_277: +// rs1_val==46339 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, -0xb503, x1, 1044, x2) + +inst_278: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1048, x2) + +inst_279: +// rs1_val==46339 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1052, x2) + +inst_280: +// rs1_val==46339 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x6, x1, 1056, x2) + +inst_281: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1060, x2) + +inst_282: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1064, x2) + +inst_283: +// rs1_val==46339 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1068, x2) + +inst_284: +// rs1_val==46339 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1072, x2) + +inst_285: +// rs1_val==46339 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1076, x2) + +inst_286: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1080, x2) + +inst_287: +// rs1_val==46339 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1084, x2) + +inst_288: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1088, x2) + +inst_289: +// rs1_val==46339 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x2, x1, 1092, x2) + +inst_290: +// rs1_val==46339 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1096, x2) + +inst_291: +// rs1_val==46339 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1100, x2) + +inst_292: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1104, x2) + +inst_293: +// rs1_val==46339 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1108, x2) + +inst_294: +// rs1_val==46339 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, 0x5, x1, 1112, x2) + +inst_295: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1116, x2) + +inst_296: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1120, x2) + +inst_297: +// rs1_val==46339 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0xb503, 0x3, x1, 1124, x2) + +inst_298: +// rs1_val==0 and rs2_val==46341, rs1_val == 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1128, x2) + +inst_299: +// rs1_val==0 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1132, x2) + +inst_300: +// rs1_val==0 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1136, x2) + +inst_301: +// rs1_val==0 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1140, x2) + +inst_302: +// rs1_val==0 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1144, x2) + +inst_303: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1148, x2) + +inst_304: +// rs1_val==0 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1152, x2) + +inst_305: +// rs1_val==0 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1156, x2) + +inst_306: +// rs1_val==0 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1160, x2) + +inst_307: +// rs1_val==0 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1164, x2) + +inst_308: +// rs1_val==0 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1168, x2) + +inst_309: +// rs1_val==0 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1172, x2) + +inst_310: +// rs1_val==0 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1176, x2) + +inst_311: +// rs1_val==0 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1180, x2) + +inst_312: +// rs1_val==0 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1184, x2) + +inst_313: +// rs1_val==0 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1188, x2) + +inst_314: +// rs1_val==0 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1192, x2) + +inst_315: +// rs1_val==0 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1196, x2) + +inst_316: +// rs1_val==0 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1200, x2) + +inst_317: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1204, x2) + +inst_318: +// rs1_val==0 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1208, x2) + +inst_319: +// rs1_val==0 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1212, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1216, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1220, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1224, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1228, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x6, x1, 1232, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1236, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1240, x2) + +inst_327: +// rs1_val==1717986917 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x4, x1, 1244, x2) + +inst_328: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1248, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1252, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1256, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1260, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1264, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x2, x1, 1268, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1272, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1276, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1280, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1284, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x33333333, 0x5, x1, 1288, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1292, x2) + +inst_340: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1296, x2) + +inst_341: +// rs1_val==858993459 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x33333333, 0x3, x1, 1300, x2) + +inst_342: +// rs1_val==5 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 1304, x2) + +inst_343: +// rs1_val==5 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, -0xb503, x1, 1308, x2) + +inst_344: +// rs1_val==5 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 1312, x2) + +inst_345: +// rs1_val==5 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x33333334, x1, 1316, x2) + +inst_346: +// rs1_val==5 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x6, x1, 1320, x2) + +inst_347: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, -0x55555555, x1, 1324, x2) + +inst_348: +// rs1_val==5 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555556, x1, 1328, x2) + +inst_349: +// rs1_val==5 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x4, x1, 1332, x2) + +inst_350: +// rs1_val==5 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0xb503, x1, 1336, x2) + +inst_351: +// rs1_val==5 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1340, x2) + +inst_352: +// rs1_val==5 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 1344, x2) + +inst_353: +// rs1_val==5 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1348, x2) + +inst_354: +// rs1_val==5 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555554, x1, 1352, x2) + +inst_355: +// rs1_val==5 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1356, x2) + +inst_356: +// rs1_val==5 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0xb504, x1, 1360, x2) + +inst_357: +// rs1_val==5 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, -0xb504, x1, 1364, x2) + +inst_358: +// rs1_val==5 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x66666666, x1, 1368, x2) + +inst_359: +// rs1_val==5 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x33333333, x1, 1372, x2) + +inst_360: +// rs1_val==5 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1376, x2) + +inst_361: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1380, x2) + +inst_362: +// rs1_val==5 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 1384, x2) + +inst_363: +// rs1_val==5 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1388, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1392, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1396, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1400, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1404, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x6, x1, 1408, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1412, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1416, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x4, x1, 1420, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1424, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1428, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1432, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1436, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1440, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x2, x1, 1444, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1448, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1452, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1456, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1460, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x5, x1, 1464, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1468, x2) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1472, x2) + +inst_385: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x3, x1, 1476, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1480, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1484, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1488, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1492, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x6, x1, 1496, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1500, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1504, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x4, x1, 1508, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1512, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 1516, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1520, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1524, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1528, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 1532, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1536, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1540, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1544, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1548, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x55555555, 0x5, x1, 1552, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1556, x2) + +inst_406: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1560, x2) + +inst_407: +// rs1_val==1431655765 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 1564, x2) + +inst_408: +// rs1_val==3 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0xb505, x1, 1568, x2) + +inst_409: +// rs1_val==3 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, -0xb503, x1, 1572, x2) + +inst_410: +// rs1_val==3 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 1576, x2) + +inst_411: +// rs1_val==3 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1580, x2) + +inst_412: +// rs1_val==3 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x6, x1, 1584, x2) + +inst_413: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x55555555, x1, 1588, x2) + +inst_414: +// rs1_val==3 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x55555556, x1, 1592, x2) + +inst_415: +// rs1_val==3 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1596, x2) + +inst_416: +// rs1_val==3 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 1600, x2) + +inst_417: +// rs1_val==3 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x0, x1, 1604, x2) + +inst_418: +// rs1_val==3 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 1608, x2) + +inst_419: +// rs1_val==3 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x33333332, x1, 1612, x2) + +inst_420: +// rs1_val==3 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1616, x2) + +inst_421: +// rs1_val==3 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x2, x1, 1620, x2) + +inst_422: +// rs1_val==3 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1624, x2) + +inst_423: +// rs1_val==3 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1628, x2) + +inst_424: +// rs1_val==3 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x66666666, x1, 1632, x2) + +inst_425: +// rs1_val==3 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 1636, x2) + +inst_426: +// rs1_val==3 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x5, x1, 1640, x2) + +inst_427: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, -0x55555556, x1, 1644, x2) + +inst_428: +// rs1_val==3 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x55555555, x1, 1648, x2) + +inst_429: +// rs1_val==3 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1652, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1656, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1660, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1664, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1668, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1672, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1676, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1680, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1684, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1688, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666665, 0x5, x1, 1692, x2) + +inst_440: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1696, x2) + +inst_441: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1700, x2) + +inst_442: +// rs1_val==1717986917 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1704, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1708, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1712, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1716, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1720, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1724, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1728, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1732, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1736, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1740, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1744, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1748, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1752, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1756, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x2, x1, 1760, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1764, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1768, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1772, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1776, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1780, x2) + +inst_462: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1784, x2) + +inst_463: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1788, x2) + +inst_464: +// rs1_val==858993458 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1792, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1796, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1800, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1804, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1808, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x6, x1, 1812, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1816, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1820, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x4, x1, 1824, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1828, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1832, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1836, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1840, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1844, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1848, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1852, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1856, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1860, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1864, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1868, x2) + +inst_484: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1872, x2) + +inst_485: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1876, x2) + +inst_486: +// rs1_val==1431655764 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1880, x2) + +inst_487: +// rs1_val==2 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1884, x2) + +inst_488: +// rs1_val==2 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1888, x2) + +inst_489: +// rs1_val==2 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1892, x2) + +inst_490: +// rs1_val==2 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1896, x2) + +inst_491: +// rs1_val==2 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1900, x2) + +inst_492: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555555, x1, 1904, x2) + +inst_493: +// rs1_val==2 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1908, x2) + +inst_494: +// rs1_val==2 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1912, x2) + +inst_495: +// rs1_val==2 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1916, x2) + +inst_496: +// rs1_val==2 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1920, x2) + +inst_497: +// rs1_val==2 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1924, x2) + +inst_498: +// rs1_val==2 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1928, x2) + +inst_499: +// rs1_val==2 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1932, x2) + +inst_500: +// rs1_val==2 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1936, x2) + +inst_501: +// rs1_val==2 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1940, x2) + +inst_502: +// rs1_val==2 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1944, x2) + +inst_503: +// rs1_val==2 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1948, x2) + +inst_504: +// rs1_val==2 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1952, x2) + +inst_505: +// rs1_val==2 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1956, x2) + +inst_506: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555556, x1, 1960, x2) + +inst_507: +// rs1_val==2 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1964, x2) + +inst_508: +// rs1_val==2 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1968, x2) + +inst_509: +// rs1_val==46340 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 1972, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb503, x1, 1976, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 1980, x2) + +inst_512: +// rs1_val==46340 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 1984, x2) + +inst_513: +// rs1_val==46340 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x6, x1, 1988, x2) + +inst_514: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 1992, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 1996, x2) + +inst_516: +// rs1_val==46340 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x4, x1, 2000, x2) + +inst_517: +// rs1_val==46340 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb504, 0xb503, x1, 2004, x2) + +inst_518: +// rs1_val==46340 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 2008, x2) + +inst_519: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 2012, x2) + +inst_520: +// rs1_val==46340 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 2016, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 2020, x2) + +inst_522: +// rs1_val==46340 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 2024, x2) + +inst_523: +// rs1_val==46340 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2028, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb504, x1, 2032, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 2036, x2) + +inst_526: +// rs1_val==46340 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 2040, x2) + +inst_527: +// rs1_val==46340 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x5, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_3) + +inst_528: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 0, x2) + +inst_529: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 4, x2) + +inst_530: +// rs1_val==46340 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 8, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb505, x1, 12, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 16, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 20, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 24, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x6, x1, 28, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 32, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 36, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x4, x1, 40, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0xb503, x1, 44, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 48, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 52, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 56, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 60, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x2, x1, 64, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb504, x1, 68, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 72, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 76, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 80, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x5, x1, 84, x2) + +inst_550: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 88, x2) + +inst_551: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 92, x2) + +inst_552: +// rs1_val==-46340 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x3, x1, 96, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 100, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 104, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 108, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 112, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666666, 0x6, x1, 116, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 120, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 124, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x4, x1, 128, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 132, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 136, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 140, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 144, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 148, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x2, x1, 152, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 156, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 160, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 164, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 168, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x5, x1, 172, x2) + +inst_572: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 176, x2) + +inst_573: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 180, x2) + +inst_574: +// rs1_val==1717986918 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x3, x1, 184, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 188, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 192, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 196, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 200, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x6, x1, 204, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 208, x2) + +inst_581: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 212, x2) + +inst_582: +// rs1_val==858993459 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 216, x2) + +inst_583: +// rs1_val==858993459 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 220, x2) + +inst_584: +// rs2_val == -536870913, rs1_val == 262144 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x20000001 +TEST_RR_OP(and, x12, x10, x11, 0x40000, 0x40000, -0x20000001, x1, 224, x2) + +inst_585: +// rs2_val == -268435457, rs1_val == 1048576 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x10000001 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x10000001, x1, 228, x2) + +inst_586: +// rs2_val == -524289, rs1_val == -3 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80001 +TEST_RR_OP(and, x12, x10, x11, 0xfff7fffd, -0x3, -0x80001, x1, 232, x2) + +inst_587: +// rs2_val == -131073, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:-0x20001 +TEST_RR_OP(and, x12, x10, x11, 0xfffdfff6, -0xa, -0x20001, x1, 236, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_3: + .fill 60*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S new file mode 100644 index 000000000..2114d6aee --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S @@ -0,0 +1,2851 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the andi instruction of the RISC-V E extension for the andi covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",andi) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x14, rd==x11, imm_val == (-2**(12-1)), rs1_val == 8, rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048 +// opcode: andi ; op1:x14; dest:x11; op1val:0x8; immval:-0x800 +TEST_IMM_OP( andi, x11, x14, 0x0, 0x8, -0x800, x1, 0, x8) + +inst_1: +// rs1 == rd, rs1==x2, rd==x2, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: andi ; op1:x2; dest:x2; op1val:0x7fffffff; immval:0x2c +TEST_IMM_OP( andi, x2, x2, 0x2c, 0x7fffffff, 0x2c, x1, 4, x8) + +inst_2: +// rs1==x10, rd==x5, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 4 +// opcode: andi ; op1:x10; dest:x5; op1val:-0x40000001; immval:0x4 +TEST_IMM_OP( andi, x5, x10, 0x4, -0x40000001, 0x4, x1, 8, x8) + +inst_3: +// rs1==x9, rd==x14, rs1_val == -536870913, +// opcode: andi ; op1:x9; dest:x14; op1val:-0x20000001; immval:0x7 +TEST_IMM_OP( andi, x14, x9, 0x7, -0x20000001, 0x7, x1, 12, x8) + +inst_4: +// rs1==x12, rd==x3, rs1_val == -268435457, imm_val == 64 +// opcode: andi ; op1:x12; dest:x3; op1val:-0x10000001; immval:0x40 +TEST_IMM_OP( andi, x3, x12, 0x40, -0x10000001, 0x40, x1, 16, x8) + +inst_5: +// rs1==x4, rd==x7, rs1_val == -134217729, imm_val == -5, rs1_val < 0 and imm_val < 0 +// opcode: andi ; op1:x4; dest:x7; op1val:-0x8000001; immval:-0x5 +TEST_IMM_OP( andi, x7, x4, 0xf7fffffb, -0x8000001, -0x5, x1, 20, x8) + +inst_6: +// rs1==x6, rd==x9, rs1_val == -67108865, +// opcode: andi ; op1:x6; dest:x9; op1val:-0x4000001; immval:0x332 +TEST_IMM_OP( andi, x9, x6, 0x332, -0x4000001, 0x332, x1, 24, x8) + +inst_7: +// rs1==x7, rd==x15, rs1_val == -33554433, +// opcode: andi ; op1:x7; dest:x15; op1val:-0x2000001; immval:0x6 +TEST_IMM_OP( andi, x15, x7, 0x6, -0x2000001, 0x6, x1, 28, x9) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x15, rd==x0, rs1_val == -16777217, imm_val == 2 +// opcode: andi ; op1:x15; dest:x0; op1val:-0x1000001; immval:0x2 +TEST_IMM_OP( andi, x0, x15, 0, -0x1000001, 0x2, x2, 0, x9) + +inst_9: +// rs1==x3, rd==x6, rs1_val == -8388609, +// opcode: andi ; op1:x3; dest:x6; op1val:-0x800001; immval:0x2 +TEST_IMM_OP( andi, x6, x3, 0x2, -0x800001, 0x2, x2, 4, x9) + +inst_10: +// rs1==x8, rd==x10, rs1_val == -4194305, imm_val == 16 +// opcode: andi ; op1:x8; dest:x10; op1val:-0x400001; immval:0x10 +TEST_IMM_OP( andi, x10, x8, 0x10, -0x400001, 0x10, x2, 8, x9) + +inst_11: +// rs1==x5, rd==x4, rs1_val == -2097153, imm_val == -65 +// opcode: andi ; op1:x5; dest:x4; op1val:-0x200001; immval:-0x41 +TEST_IMM_OP( andi, x4, x5, 0xffdfffbf, -0x200001, -0x41, x2, 12, x9) + +inst_12: +// rs1==x13, rd==x1, rs1_val == -1048577, +// opcode: andi ; op1:x13; dest:x1; op1val:-0x100001; immval:0x6 +TEST_IMM_OP( andi, x1, x13, 0x6, -0x100001, 0x6, x2, 16, x9) + +inst_13: +// rs1==x1, rd==x8, rs1_val == -524289, +// opcode: andi ; op1:x1; dest:x8; op1val:-0x80001; immval:-0x4 +TEST_IMM_OP( andi, x8, x1, 0xfff7fffc, -0x80001, -0x4, x2, 20, x9) + +inst_14: +// rs1==x11, rd==x12, rs1_val == -262145, imm_val == (2**(12-1)-1), imm_val == 2047 +// opcode: andi ; op1:x11; dest:x12; op1val:-0x40001; immval:0x7ff +TEST_IMM_OP( andi, x12, x11, 0x7ff, -0x40001, 0x7ff, x2, 24, x9) + +inst_15: +// rs1==x0, rd==x13, rs1_val == -131073, imm_val == 1365 +// opcode: andi ; op1:x0; dest:x13; op1val:0x0; immval:0x555 +TEST_IMM_OP( andi, x13, x0, 0x0, 0x0, 0x555, x2, 28, x1) + +inst_16: +// rs1_val == -65537, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, -0x10001, 0x334, x2, 32, x1) + +inst_17: +// rs1_val == -32769, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x8001, 0x3, x2, 36, x1) + +inst_18: +// rs1_val == -16385, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x4001; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x4001, 0x2, x2, 40, x1) + +inst_19: +// rs1_val == -8193, imm_val == 512 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x2001; immval:0x200 +TEST_IMM_OP( andi, x11, x10, 0x200, -0x2001, 0x200, x2, 44, x1) + +inst_20: +// rs1_val == -4097, imm_val == -1366 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffffeaaa, -0x1001, -0x556, x2, 48, x1) + +inst_21: +// rs1_val == -2049, imm_val == 8 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x801; immval:0x8 +TEST_IMM_OP( andi, x11, x10, 0x8, -0x801, 0x8, x2, 52, x1) + +inst_22: +// rs1_val == -1025, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x401; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, -0x401, 0x332, x2, 56, x1) + +inst_23: +// rs1_val == -513, imm_val == 128 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x201; immval:0x80 +TEST_IMM_OP( andi, x11, x10, 0x80, -0x201, 0x80, x2, 60, x1) + +inst_24: +// rs1_val == -257, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x101, 0x3, x2, 64, x1) + +inst_25: +// rs1_val == -129, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2d, -0x81, 0x2d, x2, 68, x1) + +inst_26: +// rs1_val == -65, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffffff93, -0x41, -0x2d, x2, 72, x1) + +inst_27: +// rs1_val == -33, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x21; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffffffd3, -0x21, -0x2d, x2, 76, x1) + +inst_28: +// rs1_val == -17, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x11; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xfffffaaa, -0x11, -0x556, x2, 80, x1) + +inst_29: +// rs1_val == -9, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x9; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, -0x9, 0x334, x2, 84, x1) + +inst_30: +// rs1_val == -5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x5; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x5, 0x5, x2, 88, x1) + +inst_31: +// rs1_val == -3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x3; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0x3, 0x4, x2, 92, x1) + +inst_32: +// rs1_val == -2, imm_val == -1025 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x2; immval:-0x401 +TEST_IMM_OP( andi, x11, x10, 0xfffffbfe, -0x2, -0x401, x2, 96, x1) + +inst_33: +// imm_val == -513, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x201 +TEST_IMM_OP( andi, x11, x10, 0x66666465, 0x66666665, -0x201, x2, 100, x1) + +inst_34: +// imm_val == -257, rs1_val == 33554432 +// opcode: andi ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x101 +TEST_IMM_OP( andi, x11, x10, 0x2000000, 0x2000000, -0x101, x2, 104, x1) + +inst_35: +// imm_val == -129, rs1_val == 65536 +// opcode: andi ; op1:x10; dest:x11; op1val:0x10000; immval:-0x81 +TEST_IMM_OP( andi, x11, x10, 0x10000, 0x10000, -0x81, x2, 108, x1) + +inst_36: +// imm_val == -33, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8; immval:-0x21 +TEST_IMM_OP( andi, x11, x10, 0x8, 0x8, -0x21, x2, 112, x1) + +inst_37: +// imm_val == -17, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x11 +TEST_IMM_OP( andi, x11, x10, 0x55555546, 0x55555556, -0x11, x2, 116, x1) + +inst_38: +// imm_val == -9, rs1_val == 524288 +// opcode: andi ; op1:x10; dest:x11; op1val:0x80000; immval:-0x9 +TEST_IMM_OP( andi, x11, x10, 0x80000, 0x80000, -0x9, x2, 120, x1) + +inst_39: +// imm_val == -3, rs1_val == 1 +// opcode: andi ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x1, -0x3, x2, 124, x1) + +inst_40: +// imm_val == -2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2, x2, 128, x1) + +inst_41: +// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) +// opcode: andi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x80000000, 0x0, x2, 132, x1) + +inst_42: +// rs1_val == 1073741824, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x40000000, 0x7ff, x2, 136, x1) + +inst_43: +// rs1_val == 536870912, +// opcode: andi ; op1:x10; dest:x11; op1val:0x20000000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x20000000, 0x7ff, x2, 140, x1) + +inst_44: +// rs1_val == 268435456, +// opcode: andi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x10000000, 0x7, x2, 144, x1) + +inst_45: +// rs1_val == 134217728, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8000000; immval:0x200 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x8000000, 0x200, x2, 148, x1) + +inst_46: +// rs1_val == 67108864, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0x201 +TEST_IMM_OP( andi, x11, x10, 0x4000000, 0x4000000, -0x201, x2, 152, x1) + +inst_47: +// rs1_val == 16777216, +// opcode: andi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000000, 0x2, x2, 156, x1) + +inst_48: +// rs1_val == 8388608, +// opcode: andi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x401 +TEST_IMM_OP( andi, x11, x10, 0x800000, 0x800000, -0x401, x2, 160, x1) + +inst_49: +// rs1_val == 4194304, +// opcode: andi ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x400000, 0x0, x2, 164, x1) + +inst_50: +// rs1_val == 2097152, +// opcode: andi ; op1:x10; dest:x11; op1val:0x200000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x200000, 0x0, x2, 168, x1) + +inst_51: +// rs1_val == 1048576, +// opcode: andi ; op1:x10; dest:x11; op1val:0x100000; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x100000, 0x4, x2, 172, x1) + +inst_52: +// rs1_val == 262144, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40000; immval:-0x7 +TEST_IMM_OP( andi, x11, x10, 0x40000, 0x40000, -0x7, x2, 176, x1) + +inst_53: +// rs1_val == 131072, +// opcode: andi ; op1:x10; dest:x11; op1val:0x20000; immval:-0x5 +TEST_IMM_OP( andi, x11, x10, 0x20000, 0x20000, -0x5, x2, 180, x1) + +inst_54: +// rs1_val == 32768, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x81 +TEST_IMM_OP( andi, x11, x10, 0x8000, 0x8000, -0x81, x2, 184, x1) + +inst_55: +// rs1_val == 16384, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4000; immval:-0x7 +TEST_IMM_OP( andi, x11, x10, 0x4000, 0x4000, -0x7, x2, 188, x1) + +inst_56: +// rs1_val == 8192, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2000, 0x7ff, x2, 192, x1) + +inst_57: +// rs1_val == 4096, imm_val == 32 +// opcode: andi ; op1:x10; dest:x11; op1val:0x1000; immval:0x20 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000, 0x20, x2, 196, x1) + +inst_58: +// rs1_val == 2048, +// opcode: andi ; op1:x10; dest:x11; op1val:0x800; immval:-0x41 +TEST_IMM_OP( andi, x11, x10, 0x800, 0x800, -0x41, x2, 200, x1) + +inst_59: +// rs1_val == 1024, +// opcode: andi ; op1:x10; dest:x11; op1val:0x400; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x400, 0x400, 0x666, x2, 204, x1) + +inst_60: +// rs1_val == 512, +// opcode: andi ; op1:x10; dest:x11; op1val:0x200; immval:-0x3 +TEST_IMM_OP( andi, x11, x10, 0x200, 0x200, -0x3, x2, 208, x1) + +inst_61: +// rs1_val == 256, +// opcode: andi ; op1:x10; dest:x11; op1val:0x100; immval:-0x6 +TEST_IMM_OP( andi, x11, x10, 0x100, 0x100, -0x6, x2, 212, x1) + +inst_62: +// rs1_val == 128, +// opcode: andi ; op1:x10; dest:x11; op1val:0x80; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x80, 0x554, x2, 216, x1) + +inst_63: +// rs1_val == 64, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x40, 0x40, 0x556, x2, 220, x1) + +inst_64: +// rs1_val == 32, imm_val == 1024 +// opcode: andi ; op1:x10; dest:x11; op1val:0x20; immval:0x400 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x20, 0x400, x2, 224, x1) + +inst_65: +// rs1_val == 16, imm_val == 256 +// opcode: andi ; op1:x10; dest:x11; op1val:0x10; immval:0x100 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x10, 0x100, x2, 228, x1) + +inst_66: +// rs1_val == 4, rs1_val==4 and imm_val==1638 +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x666, x2, 232, x1) + +inst_67: +// rs1_val == 2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x10 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x10, x2, 236, x1) + +inst_68: +// imm_val == 1, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x1, x2, 240, x1) + +inst_69: +// rs1_val==46341 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2e, x2, 244, x1) + +inst_70: +// rs1_val==46341 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb505, -0x2c, x2, 248, x1) + +inst_71: +// rs1_val==46341 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x667, x2, 252, x1) + +inst_72: +// rs1_val==46341 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x104, 0xb505, 0x334, x2, 256, x1) + +inst_73: +// rs1_val==46341 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x6, x2, 260, x1) + +inst_74: +// rs1_val==46341 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb001, 0xb505, -0x555, x2, 264, x1) + +inst_75: +// rs1_val==46341 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x556, x2, 268, x1) + +inst_76: +// rs1_val==46341 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x4, x2, 272, x1) + +inst_77: +// rs1_val==46341 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2c, x2, 276, x1) + +inst_78: +// rs1_val==46341 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x0, x2, 280, x1) + +inst_79: +// rs1_val==46341 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x665, x2, 284, x1) + +inst_80: +// rs1_val==46341 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb505, 0x332, x2, 288, x1) + +inst_81: +// rs1_val==46341 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x554, x2, 292, x1) + +inst_82: +// rs1_val==46341 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x2, x2, 296, x1) + +inst_83: +// rs1_val==46341 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x2d, x2, 300, x1) + +inst_84: +// rs1_val==46341 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb501, 0xb505, -0x2d, x2, 304, x1) + +inst_85: +// rs1_val==46341 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb505, 0x666, x2, 308, x1) + +inst_86: +// rs1_val==46341 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x101, 0xb505, 0x333, x2, 312, x1) + +inst_87: +// rs1_val==46341 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x5, x2, 316, x1) + +inst_88: +// rs1_val==46341 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb505, -0x556, x2, 320, x1) + +inst_89: +// rs1_val==46341 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x505, 0xb505, 0x555, x2, 324, x1) + +inst_90: +// rs1_val==46341 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb505, 0x3, x2, 328, x1) + +inst_91: +// rs1_val==-46339 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2e, x2, 332, x1) + +inst_92: +// rs1_val==-46339 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb503, -0x2c, x2, 336, x1) + +inst_93: +// rs1_val==-46339 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x667, x2, 340, x1) + +inst_94: +// rs1_val==-46339 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x234, -0xb503, 0x334, x2, 344, x1) + +inst_95: +// rs1_val==-46339 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x6, x2, 348, x1) + +inst_96: +// rs1_val==-46339 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa9, -0xb503, -0x555, x2, 352, x1) + +inst_97: +// rs1_val==-46339 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x556, x2, 356, x1) + +inst_98: +// rs1_val==-46339 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x4, x2, 360, x1) + +inst_99: +// rs1_val==-46339 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2c, x2, 364, x1) + +inst_100: +// rs1_val==-46339 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x0, x2, 368, x1) + +inst_101: +// rs1_val==-46339 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x665, x2, 372, x1) + +inst_102: +// rs1_val==-46339 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb503, 0x332, x2, 376, x1) + +inst_103: +// rs1_val==-46339 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x554, x2, 380, x1) + +inst_104: +// rs1_val==-46339 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x2, x2, 384, x1) + +inst_105: +// rs1_val==-46339 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2d, -0xb503, 0x2d, x2, 388, x1) + +inst_106: +// rs1_val==-46339 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffff4ad1, -0xb503, -0x2d, x2, 392, x1) + +inst_107: +// rs1_val==-46339 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb503, 0x666, x2, 396, x1) + +inst_108: +// rs1_val==-46339 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x231, -0xb503, 0x333, x2, 400, x1) + +inst_109: +// rs1_val==-46339 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, -0xb503, 0x5, x2, 404, x1) + +inst_110: +// rs1_val==-46339 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb503, -0x556, x2, 408, x1) + +inst_111: +// rs1_val==-46339 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x55, -0xb503, 0x555, x2, 412, x1) + +inst_112: +// rs1_val==-46339 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, -0xb503, 0x3, x2, 416, x1) + +inst_113: +// rs1_val==1717986919 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666667, 0x2e, x2, 420, x1) + +inst_114: +// rs1_val==1717986919 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666667, -0x2c, x2, 424, x1) + +inst_115: +// rs1_val==1717986919 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x667, 0x66666667, 0x667, x2, 428, x1) + +inst_116: +// rs1_val==1717986919 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666667, 0x334, x2, 432, x1) + +inst_117: +// rs1_val==1717986919 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666667, 0x6, x2, 436, x1) + +inst_118: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666223, 0x66666667, -0x555, x2, 440, x1) + +inst_119: +// rs1_val==1717986919 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666667, 0x556, x2, 444, x1) + +inst_120: +// rs1_val==1717986919 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666667, 0x4, x2, 448, x1) + +inst_121: +// rs1_val==1717986919 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666667, 0x2c, x2, 452, x1) + +inst_122: +// rs1_val==1717986919 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666667, 0x0, x2, 456, x1) + +inst_123: +// rs1_val==1717986919 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666667, 0x665, x2, 460, x1) + +inst_124: +// rs1_val==1717986919 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666667, 0x332, x2, 464, x1) + +inst_125: +// rs1_val==1717986919 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666667, 0x554, x2, 468, x1) + +inst_126: +// rs1_val==1717986919 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666667, 0x2, x2, 472, x1) + +inst_127: +// rs1_val==1717986919 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666667, 0x2d, x2, 476, x1) + +inst_128: +// rs1_val==1717986919 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666643, 0x66666667, -0x2d, x2, 480, x1) + +inst_129: +// rs1_val==1717986919 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666667, 0x666, x2, 484, x1) + +inst_130: +// rs1_val==1717986919 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x223, 0x66666667, 0x333, x2, 488, x1) + +inst_131: +// rs1_val==1717986919 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666667, 0x5, x2, 492, x1) + +inst_132: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666667, -0x556, x2, 496, x1) + +inst_133: +// rs1_val==1717986919 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666667, 0x555, x2, 500, x1) + +inst_134: +// rs1_val==1717986919 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x66666667, 0x3, x2, 504, x1) + +inst_135: +// rs1_val==858993460 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2e, x2, 508, x1) + +inst_136: +// rs1_val==858993460 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333314, 0x33333334, -0x2c, x2, 512, x1) + +inst_137: +// rs1_val==858993460 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x667, x2, 516, x1) + +inst_138: +// rs1_val==858993460 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, 0x33333334, 0x334, x2, 520, x1) + +inst_139: +// rs1_val==858993460 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x6, x2, 524, x1) + +inst_140: +// rs1_val==858993460 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x555, x2, 528, x1) + +inst_141: +// rs1_val==858993460 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x556, x2, 532, x1) + +inst_142: +// rs1_val==858993460 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x4, x2, 536, x1) + +inst_143: +// rs1_val==858993460 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2c, x2, 540, x1) + +inst_144: +// rs1_val==858993460 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x0, x2, 544, x1) + +inst_145: +// rs1_val==858993460 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x665, x2, 548, x1) + +inst_146: +// rs1_val==858993460 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x332, x2, 552, x1) + +inst_147: +// rs1_val==858993460 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x554, x2, 556, x1) + +inst_148: +// rs1_val==858993460 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x2, x2, 560, x1) + +inst_149: +// rs1_val==858993460 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2d, x2, 564, x1) + +inst_150: +// rs1_val==858993460 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333334, -0x2d, x2, 568, x1) + +inst_151: +// rs1_val==858993460 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x666, x2, 572, x1) + +inst_152: +// rs1_val==858993460 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x333, x2, 576, x1) + +inst_153: +// rs1_val==858993460 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x5, x2, 580, x1) + +inst_154: +// rs1_val==858993460 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x556, x2, 584, x1) + +inst_155: +// rs1_val==858993460 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x555, x2, 588, x1) + +inst_156: +// rs1_val==858993460 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x3, x2, 592, x1) + +inst_157: +// rs1_val==6 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x2e, x2, 596, x1) + +inst_158: +// rs1_val==6 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, -0x2c, x2, 600, x1) + +inst_159: +// rs1_val==6 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x667, x2, 604, x1) + +inst_160: +// rs1_val==6 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x334, x2, 608, x1) + +inst_161: +// rs1_val==6 and imm_val==6, rs1_val == imm_val +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x6, x2, 612, x1) + +inst_162: +// rs1_val==6 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x555, x2, 616, x1) + +inst_163: +// rs1_val==6 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x556, x2, 620, x1) + +inst_164: +// rs1_val==6 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x4, x2, 624, x1) + +inst_165: +// rs1_val==6 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2c, x2, 628, x1) + +inst_166: +// rs1_val==6 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x6, 0x0, x2, 632, x1) + +inst_167: +// rs1_val==6 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x665, x2, 636, x1) + +inst_168: +// rs1_val==6 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x332, x2, 640, x1) + +inst_169: +// rs1_val==6 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x554, x2, 644, x1) + +inst_170: +// rs1_val==6 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x2, x2, 648, x1) + +inst_171: +// rs1_val==6 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2d, x2, 652, x1) + +inst_172: +// rs1_val==6 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x2d, x2, 656, x1) + +inst_173: +// rs1_val==6 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x666, x2, 660, x1) + +inst_174: +// rs1_val==6 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x333, x2, 664, x1) + +inst_175: +// rs1_val==6 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x5, x2, 668, x1) + +inst_176: +// rs1_val==6 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x556, x2, 672, x1) + +inst_177: +// rs1_val==6 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x555, x2, 676, x1) + +inst_178: +// rs1_val==6 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x3, x2, 680, x1) + +inst_179: +// rs1_val==-1431655765 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555555, 0x2e, x2, 684, x1) + +inst_180: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555555, -0x2c, x2, 688, x1) + +inst_181: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x667, x2, 692, x1) + +inst_182: +// rs1_val==-1431655765 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555555, 0x334, x2, 696, x1) + +inst_183: +// rs1_val==-1431655765 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x6, x2, 700, x1) + +inst_184: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaab, -0x55555555, -0x555, x2, 704, x1) + +inst_185: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x556, x2, 708, x1) + +inst_186: +// rs1_val==-1431655765 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x4, x2, 712, x1) + +inst_187: +// rs1_val==-1431655765 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555555, 0x2c, x2, 716, x1) + +inst_188: +// rs1_val==-1431655765 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x0, x2, 720, x1) + +inst_189: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x221, -0x55555555, 0x665, x2, 724, x1) + +inst_190: +// rs1_val==-1431655765 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x332, x2, 728, x1) + +inst_191: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x554, x2, 732, x1) + +inst_192: +// rs1_val==-1431655765 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x2, x2, 736, x1) + +inst_193: +// rs1_val==-1431655765 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x29, -0x55555555, 0x2d, x2, 740, x1) + +inst_194: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa83, -0x55555555, -0x2d, x2, 744, x1) + +inst_195: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x666, x2, 748, x1) + +inst_196: +// rs1_val==-1431655765 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x333, x2, 752, x1) + +inst_197: +// rs1_val==-1431655765 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x5, x2, 756, x1) + +inst_198: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555555, -0x556, x2, 760, x1) + +inst_199: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x555, x2, 764, x1) + +inst_200: +// rs1_val==-1431655765 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x55555555, 0x3, x2, 768, x1) + +inst_201: +// rs1_val==1431655766 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x2e, x2, 772, x1) + +inst_202: +// rs1_val==1431655766 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555556, -0x2c, x2, 776, x1) + +inst_203: +// rs1_val==1431655766 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x667, x2, 780, x1) + +inst_204: +// rs1_val==1431655766 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555556, 0x334, x2, 784, x1) + +inst_205: +// rs1_val==1431655766 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x6, x2, 788, x1) + +inst_206: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x555, x2, 792, x1) + +inst_207: +// rs1_val==1431655766 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x556, 0x55555556, 0x556, x2, 796, x1) + +inst_208: +// rs1_val==1431655766 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x4, x2, 800, x1) + +inst_209: +// rs1_val==1431655766 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2c, x2, 804, x1) + +inst_210: +// rs1_val==1431655766 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555556, 0x0, x2, 808, x1) + +inst_211: +// rs1_val==1431655766 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555556, 0x665, x2, 812, x1) + +inst_212: +// rs1_val==1431655766 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x332, x2, 816, x1) + +inst_213: +// rs1_val==1431655766 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x554, x2, 820, x1) + +inst_214: +// rs1_val==1431655766 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x2, x2, 824, x1) + +inst_215: +// rs1_val==1431655766 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2d, x2, 828, x1) + +inst_216: +// rs1_val==1431655766 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555552, 0x55555556, -0x2d, x2, 832, x1) + +inst_217: +// rs1_val==1431655766 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x666, x2, 836, x1) + +inst_218: +// rs1_val==1431655766 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x333, x2, 840, x1) + +inst_219: +// rs1_val==1431655766 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x5, x2, 844, x1) + +inst_220: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x556, x2, 848, x1) + +inst_221: +// rs1_val==1431655766 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x555, x2, 852, x1) + +inst_222: +// rs1_val==1431655766 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x3, x2, 856, x1) + +inst_223: +// rs1_val==4 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2e, x2, 860, x1) + +inst_224: +// rs1_val==4 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, -0x2c, x2, 864, x1) + +inst_225: +// rs1_val==4 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x667, x2, 868, x1) + +inst_226: +// rs1_val==4 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x334, x2, 872, x1) + +inst_227: +// rs1_val==4 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x6, x2, 876, x1) + +inst_228: +// rs1_val==4 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x555, x2, 880, x1) + +inst_229: +// rs1_val==4 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x556, x2, 884, x1) + +inst_230: +// rs1_val==4 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x4, x2, 888, x1) + +inst_231: +// rs1_val==4 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2c, x2, 892, x1) + +inst_232: +// rs1_val==4 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x0, x2, 896, x1) + +inst_233: +// rs1_val==4 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x665, x2, 900, x1) + +inst_234: +// rs1_val==4 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x332, x2, 904, x1) + +inst_235: +// rs1_val==4 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x554, x2, 908, x1) + +inst_236: +// rs1_val==4 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x2, x2, 912, x1) + +inst_237: +// rs1_val==4 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2d, x2, 916, x1) + +inst_238: +// rs1_val==4 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x2d, x2, 920, x1) + +inst_239: +// rs1_val==4 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x333, x2, 924, x1) + +inst_240: +// rs1_val==4 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x5, x2, 928, x1) + +inst_241: +// rs1_val==4 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x556, x2, 932, x1) + +inst_242: +// rs1_val==4 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x555, x2, 936, x1) + +inst_243: +// rs1_val==4 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x3, x2, 940, x1) + +inst_244: +// rs1_val==46339 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2e, x2, 944, x1) + +inst_245: +// rs1_val==46339 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb503, -0x2c, x2, 948, x1) + +inst_246: +// rs1_val==46339 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x403, 0xb503, 0x667, x2, 952, x1) + +inst_247: +// rs1_val==46339 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb503, 0x334, x2, 956, x1) + +inst_248: +// rs1_val==46339 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x6, x2, 960, x1) + +inst_249: +// rs1_val==46339 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb003, 0xb503, -0x555, x2, 964, x1) + +inst_250: +// rs1_val==46339 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x502, 0xb503, 0x556, x2, 968, x1) + +inst_251: +// rs1_val==46339 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x4, x2, 972, x1) + +inst_252: +// rs1_val==46339 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x2c, x2, 976, x1) + +inst_253: +// rs1_val==46339 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x0, x2, 980, x1) + +inst_254: +// rs1_val==46339 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x401, 0xb503, 0x665, x2, 984, x1) + +inst_255: +// rs1_val==46339 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x102, 0xb503, 0x332, x2, 988, x1) + +inst_256: +// rs1_val==46339 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x500, 0xb503, 0x554, x2, 992, x1) + +inst_257: +// rs1_val==46339 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2, x2, 996, x1) + +inst_258: +// rs1_val==46339 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x2d, x2, 1000, x1) + +inst_259: +// rs1_val==46339 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb503, 0xb503, -0x2d, x2, 1004, x1) + +inst_260: +// rs1_val==46339 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x402, 0xb503, 0x666, x2, 1008, x1) + +inst_261: +// rs1_val==46339 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x103, 0xb503, 0x333, x2, 1012, x1) + +inst_262: +// rs1_val==46339 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x5, x2, 1016, x1) + +inst_263: +// rs1_val==46339 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb002, 0xb503, -0x556, x2, 1020, x1) + +inst_264: +// rs1_val==46339 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x501, 0xb503, 0x555, x2, 1024, x1) + +inst_265: +// rs1_val==46339 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0xb503, 0x3, x2, 1028, x1) + +inst_266: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2e, x2, 1032, x1) + +inst_267: +// rs1_val==0 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2c, x2, 1036, x1) + +inst_268: +// rs1_val==0 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x667, x2, 1040, x1) + +inst_269: +// rs1_val==0 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x334, x2, 1044, x1) + +inst_270: +// rs1_val==0 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x6, x2, 1048, x1) + +inst_271: +// rs1_val==0 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x555, x2, 1052, x1) + +inst_272: +// rs1_val==0 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x556, x2, 1056, x1) + +inst_273: +// rs1_val==0 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x4, x2, 1060, x1) + +inst_274: +// rs1_val==0 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2c, x2, 1064, x1) + +inst_275: +// rs1_val==0 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x0, x2, 1068, x1) + +inst_276: +// rs1_val==0 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x665, x2, 1072, x1) + +inst_277: +// rs1_val==0 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x332, x2, 1076, x1) + +inst_278: +// rs1_val==0 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x554, x2, 1080, x1) + +inst_279: +// rs1_val==0 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2, x2, 1084, x1) + +inst_280: +// rs1_val==0 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2d, x2, 1088, x1) + +inst_281: +// rs1_val==0 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2d, x2, 1092, x1) + +inst_282: +// rs1_val==0 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x666, x2, 1096, x1) + +inst_283: +// rs1_val==0 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x333, x2, 1100, x1) + +inst_284: +// rs1_val==0 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x5, x2, 1104, x1) + +inst_285: +// rs1_val==0 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x556, x2, 1108, x1) + +inst_286: +// rs1_val==0 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x555, x2, 1112, x1) + +inst_287: +// rs1_val==0 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x3, x2, 1116, x1) + +inst_288: +// rs1_val==1717986917 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2e, x2, 1120, x1) + +inst_289: +// rs1_val==1717986917 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666665, -0x2c, x2, 1124, x1) + +inst_290: +// rs1_val==1717986917 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x667, x2, 1128, x1) + +inst_291: +// rs1_val==1717986917 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666665, 0x334, x2, 1132, x1) + +inst_292: +// rs1_val==1717986917 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x6, x2, 1136, x1) + +inst_293: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666221, 0x66666665, -0x555, x2, 1140, x1) + +inst_294: +// rs1_val==1717986917 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x556, x2, 1144, x1) + +inst_295: +// rs1_val==1717986917 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x4, x2, 1148, x1) + +inst_296: +// rs1_val==1717986917 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2c, x2, 1152, x1) + +inst_297: +// rs1_val==1717986917 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x0, x2, 1156, x1) + +inst_298: +// rs1_val==1717986917 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x665, x2, 1160, x1) + +inst_299: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x555, x2, 1164, x1) + +inst_300: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x556, x2, 1168, x1) + +inst_301: +// rs1_val==-1431655766 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x4, x2, 1172, x1) + +inst_302: +// rs1_val==-1431655766 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2c, x2, 1176, x1) + +inst_303: +// rs1_val==-1431655766 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x0, x2, 1180, x1) + +inst_304: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x665, x2, 1184, x1) + +inst_305: +// rs1_val==-1431655766 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x332, x2, 1188, x1) + +inst_306: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x554, x2, 1192, x1) + +inst_307: +// rs1_val==-1431655766 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x2, x2, 1196, x1) + +inst_308: +// rs1_val==-1431655766 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2d, x2, 1200, x1) + +inst_309: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa82, -0x55555556, -0x2d, x2, 1204, x1) + +inst_310: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x666, x2, 1208, x1) + +inst_311: +// rs1_val==-1431655766 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x333, x2, 1212, x1) + +inst_312: +// rs1_val==-1431655766 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x5, x2, 1216, x1) + +inst_313: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x556, x2, 1220, x1) + +inst_314: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x555, x2, 1224, x1) + +inst_315: +// rs1_val==-1431655766 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x3, x2, 1228, x1) + +inst_316: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2e, x2, 1232, x1) + +inst_317: +// rs1_val==1431655765 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555555, -0x2c, x2, 1236, x1) + +inst_318: +// rs1_val==1431655765 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x667, x2, 1240, x1) + +inst_319: +// rs1_val==1431655765 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555555, 0x334, x2, 1244, x1) + +inst_320: +// rs1_val==1431655765 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x6, x2, 1248, x1) + +inst_321: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555001, 0x55555555, -0x555, x2, 1252, x1) + +inst_322: +// rs1_val==1431655765 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x556, x2, 1256, x1) + +inst_323: +// rs1_val==1431655765 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x4, x2, 1260, x1) + +inst_324: +// rs1_val==1431655765 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2c, x2, 1264, x1) + +inst_325: +// rs1_val==1431655765 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x0, x2, 1268, x1) + +inst_326: +// rs1_val==1431655765 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x665, x2, 1272, x1) + +inst_327: +// rs1_val==1431655765 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555555, 0x332, x2, 1276, x1) + +inst_328: +// rs1_val==1431655765 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x554, x2, 1280, x1) + +inst_329: +// rs1_val==1431655765 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x2, x2, 1284, x1) + +inst_330: +// rs1_val==1431655765 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x2d, x2, 1288, x1) + +inst_331: +// rs1_val==1431655765 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555551, 0x55555555, -0x2d, x2, 1292, x1) + +inst_332: +// rs1_val==1431655765 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555555, 0x666, x2, 1296, x1) + +inst_333: +// rs1_val==1431655765 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x111, 0x55555555, 0x333, x2, 1300, x1) + +inst_334: +// rs1_val==1431655765 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x5, x2, 1304, x1) + +inst_335: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555555, -0x556, x2, 1308, x1) + +inst_336: +// rs1_val==1431655765 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x555, 0x55555555, 0x555, x2, 1312, x1) + +inst_337: +// rs1_val==1431655765 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x55555555, 0x3, x2, 1316, x1) + +inst_338: +// rs1_val==3 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2e, x2, 1320, x1) + +inst_339: +// rs1_val==3 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, -0x2c, x2, 1324, x1) + +inst_340: +// rs1_val==3 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x667, x2, 1328, x1) + +inst_341: +// rs1_val==3 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x334, x2, 1332, x1) + +inst_342: +// rs1_val==3 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x6, x2, 1336, x1) + +inst_343: +// rs1_val==3 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x555, x2, 1340, x1) + +inst_344: +// rs1_val==3 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x556, x2, 1344, x1) + +inst_345: +// rs1_val==3 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x4, x2, 1348, x1) + +inst_346: +// rs1_val==3 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x2c, x2, 1352, x1) + +inst_347: +// rs1_val==3 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x0, x2, 1356, x1) + +inst_348: +// rs1_val==3 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x665, x2, 1360, x1) + +inst_349: +// rs1_val==3 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x332, x2, 1364, x1) + +inst_350: +// rs1_val==3 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x554, x2, 1368, x1) + +inst_351: +// rs1_val==3 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2, x2, 1372, x1) + +inst_352: +// rs1_val==3 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x2d, x2, 1376, x1) + +inst_353: +// rs1_val==3 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x2d, x2, 1380, x1) + +inst_354: +// rs1_val==3 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x666, x2, 1384, x1) + +inst_355: +// rs1_val==3 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x333, x2, 1388, x1) + +inst_356: +// rs1_val==3 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x5, x2, 1392, x1) + +inst_357: +// rs1_val==3 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, -0x556, x2, 1396, x1) + +inst_358: +// rs1_val==3 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x555, x2, 1400, x1) + +inst_359: +// rs1_val==3 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x3, x2, 1404, x1) + +inst_360: +// rs1_val==1717986917 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x220, 0x66666665, 0x332, x2, 1408, x1) + +inst_361: +// rs1_val==1717986917 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x554, x2, 1412, x1) + +inst_362: +// rs1_val==1717986917 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x2, x2, 1416, x1) + +inst_363: +// rs1_val==1717986917 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666665, 0x2d, x2, 1420, x1) + +inst_364: +// rs1_val==1717986917 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666641, 0x66666665, -0x2d, x2, 1424, x1) + +inst_365: +// rs1_val==1717986917 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666665, 0x666, x2, 1428, x1) + +inst_366: +// rs1_val==1717986917 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x221, 0x66666665, 0x333, x2, 1432, x1) + +inst_367: +// rs1_val==1717986917 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666665, 0x5, x2, 1436, x1) + +inst_368: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666220, 0x66666665, -0x556, x2, 1440, x1) + +inst_369: +// rs1_val==1717986917 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666665, 0x555, x2, 1444, x1) + +inst_370: +// rs1_val==1717986917 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x3, x2, 1448, x1) + +inst_371: +// rs1_val==858993458 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333332, 0x2e, x2, 1452, x1) + +inst_372: +// rs1_val==858993458 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333332, -0x2c, x2, 1456, x1) + +inst_373: +// rs1_val==858993458 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x667, x2, 1460, x1) + +inst_374: +// rs1_val==858993458 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333332, 0x334, x2, 1464, x1) + +inst_375: +// rs1_val==858993458 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x6, x2, 1468, x1) + +inst_376: +// rs1_val==858993458 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x555, x2, 1472, x1) + +inst_377: +// rs1_val==858993458 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333332, 0x556, x2, 1476, x1) + +inst_378: +// rs1_val==858993458 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x4, x2, 1480, x1) + +inst_379: +// rs1_val==858993458 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2c, x2, 1484, x1) + +inst_380: +// rs1_val==858993458 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x0, x2, 1488, x1) + +inst_381: +// rs1_val==858993458 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x220, 0x33333332, 0x665, x2, 1492, x1) + +inst_382: +// rs1_val==858993458 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x332, x2, 1496, x1) + +inst_383: +// rs1_val==858993458 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x554, x2, 1500, x1) + +inst_384: +// rs1_val==858993458 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x2, x2, 1504, x1) + +inst_385: +// rs1_val==858993458 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2d, x2, 1508, x1) + +inst_386: +// rs1_val==858993458 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333312, 0x33333332, -0x2d, x2, 1512, x1) + +inst_387: +// rs1_val==858993458 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x666, x2, 1516, x1) + +inst_388: +// rs1_val==858993458 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x333, x2, 1520, x1) + +inst_389: +// rs1_val==858993458 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x5, x2, 1524, x1) + +inst_390: +// rs1_val==858993458 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x556, x2, 1528, x1) + +inst_391: +// rs1_val==858993458 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x555, x2, 1532, x1) + +inst_392: +// rs1_val==858993458 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x3, x2, 1536, x1) + +inst_393: +// rs1_val==1431655764 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2e, x2, 1540, x1) + +inst_394: +// rs1_val==1431655764 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555554, -0x2c, x2, 1544, x1) + +inst_395: +// rs1_val==1431655764 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x667, x2, 1548, x1) + +inst_396: +// rs1_val==1431655764 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555554, 0x334, x2, 1552, x1) + +inst_397: +// rs1_val==1431655764 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x6, x2, 1556, x1) + +inst_398: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x555, x2, 1560, x1) + +inst_399: +// rs1_val==1431655764 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x556, x2, 1564, x1) + +inst_400: +// rs1_val==1431655764 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x4, x2, 1568, x1) + +inst_401: +// rs1_val==1431655764 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2c, x2, 1572, x1) + +inst_402: +// rs1_val==1431655764 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x0, x2, 1576, x1) + +inst_403: +// rs1_val==1431655764 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x665, x2, 1580, x1) + +inst_404: +// rs1_val==1431655764 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x332, x2, 1584, x1) + +inst_405: +// rs1_val==1431655764 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x554, x2, 1588, x1) + +inst_406: +// rs1_val==1431655764 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x2, x2, 1592, x1) + +inst_407: +// rs1_val==1431655764 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2d, x2, 1596, x1) + +inst_408: +// rs1_val==1431655764 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555550, 0x55555554, -0x2d, x2, 1600, x1) + +inst_409: +// rs1_val==1431655764 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x666, x2, 1604, x1) + +inst_410: +// rs1_val==1431655764 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x333, x2, 1608, x1) + +inst_411: +// rs1_val==1431655764 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x5, x2, 1612, x1) + +inst_412: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x556, x2, 1616, x1) + +inst_413: +// rs1_val==1431655764 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x555, x2, 1620, x1) + +inst_414: +// rs1_val==1431655764 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x3, x2, 1624, x1) + +inst_415: +// rs1_val==2 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2e, x2, 1628, x1) + +inst_416: +// rs1_val==2 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, -0x2c, x2, 1632, x1) + +inst_417: +// rs1_val==2 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x667, x2, 1636, x1) + +inst_418: +// rs1_val==2 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x334, x2, 1640, x1) + +inst_419: +// rs1_val==2 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x6, x2, 1644, x1) + +inst_420: +// rs1_val==2 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x555, x2, 1648, x1) + +inst_421: +// rs1_val==2 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x556, x2, 1652, x1) + +inst_422: +// rs1_val==2 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x4, x2, 1656, x1) + +inst_423: +// rs1_val==2 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2c, x2, 1660, x1) + +inst_424: +// rs1_val==2 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x0, x2, 1664, x1) + +inst_425: +// rs1_val==2 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x665, x2, 1668, x1) + +inst_426: +// rs1_val==2 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x332, x2, 1672, x1) + +inst_427: +// rs1_val==2 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x554, x2, 1676, x1) + +inst_428: +// rs1_val==2 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2, x2, 1680, x1) + +inst_429: +// rs1_val==2 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2d, x2, 1684, x1) + +inst_430: +// rs1_val==2 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x2d, x2, 1688, x1) + +inst_431: +// rs1_val==2 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x666, x2, 1692, x1) + +inst_432: +// rs1_val==2 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x333, x2, 1696, x1) + +inst_433: +// rs1_val==2 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x5, x2, 1700, x1) + +inst_434: +// rs1_val==2 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x556, x2, 1704, x1) + +inst_435: +// rs1_val==2 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x555, x2, 1708, x1) + +inst_436: +// rs1_val==2 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x3, x2, 1712, x1) + +inst_437: +// rs1_val==46340 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2e, x2, 1716, x1) + +inst_438: +// rs1_val==46340 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb504, -0x2c, x2, 1720, x1) + +inst_439: +// rs1_val==46340 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x667, x2, 1724, x1) + +inst_440: +// rs1_val==46340 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x104, 0xb504, 0x334, x2, 1728, x1) + +inst_441: +// rs1_val==46340 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x6, x2, 1732, x1) + +inst_442: +// rs1_val==46340 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x555, x2, 1736, x1) + +inst_443: +// rs1_val==46340 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x556, x2, 1740, x1) + +inst_444: +// rs1_val==46340 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x4, x2, 1744, x1) + +inst_445: +// rs1_val==46340 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2c, x2, 1748, x1) + +inst_446: +// rs1_val==46340 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x0, x2, 1752, x1) + +inst_447: +// rs1_val==46340 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x665, x2, 1756, x1) + +inst_448: +// rs1_val==46340 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x332, x2, 1760, x1) + +inst_449: +// rs1_val==46340 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x554, x2, 1764, x1) + +inst_450: +// rs1_val==46340 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x2, x2, 1768, x1) + +inst_451: +// rs1_val==46340 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2d, x2, 1772, x1) + +inst_452: +// rs1_val==46340 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb504, -0x2d, x2, 1776, x1) + +inst_453: +// rs1_val==46340 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x666, x2, 1780, x1) + +inst_454: +// rs1_val==46340 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x333, x2, 1784, x1) + +inst_455: +// rs1_val==46340 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x5, x2, 1788, x1) + +inst_456: +// rs1_val==46340 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x556, x2, 1792, x1) + +inst_457: +// rs1_val==46340 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x555, x2, 1796, x1) + +inst_458: +// rs1_val==46340 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x3, x2, 1800, x1) + +inst_459: +// rs1_val==-46340 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2e, x2, 1804, x1) + +inst_460: +// rs1_val==-46340 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb504, -0x2c, x2, 1808, x1) + +inst_461: +// rs1_val==-46340 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x667, x2, 1812, x1) + +inst_462: +// rs1_val==-46340 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x234, -0xb504, 0x334, x2, 1816, x1) + +inst_463: +// rs1_val==-46340 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x6, x2, 1820, x1) + +inst_464: +// rs1_val==-46340 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x555, x2, 1824, x1) + +inst_465: +// rs1_val==-46340 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x556, x2, 1828, x1) + +inst_466: +// rs1_val==-46340 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x4, x2, 1832, x1) + +inst_467: +// rs1_val==-46340 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2c, x2, 1836, x1) + +inst_468: +// rs1_val==-46340 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x0, x2, 1840, x1) + +inst_469: +// rs1_val==-46340 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x665, x2, 1844, x1) + +inst_470: +// rs1_val==-46340 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x332, x2, 1848, x1) + +inst_471: +// rs1_val==-46340 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x554, x2, 1852, x1) + +inst_472: +// rs1_val==-46340 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x2, x2, 1856, x1) + +inst_473: +// rs1_val==-46340 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2d, x2, 1860, x1) + +inst_474: +// rs1_val==-46340 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffff4ad0, -0xb504, -0x2d, x2, 1864, x1) + +inst_475: +// rs1_val==-46340 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x666, x2, 1868, x1) + +inst_476: +// rs1_val==-46340 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x333, x2, 1872, x1) + +inst_477: +// rs1_val==-46340 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x5, x2, 1876, x1) + +inst_478: +// rs1_val==-46340 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x556, x2, 1880, x1) + +inst_479: +// rs1_val==-46340 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x555, x2, 1884, x1) + +inst_480: +// rs1_val==-46340 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x3, x2, 1888, x1) + +inst_481: +// rs1_val==1717986918 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666666, 0x2e, x2, 1892, x1) + +inst_482: +// rs1_val==1717986918 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666666, -0x2c, x2, 1896, x1) + +inst_483: +// rs1_val==1717986918 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x667, x2, 1900, x1) + +inst_484: +// rs1_val==1717986918 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666666, 0x334, x2, 1904, x1) + +inst_485: +// rs1_val==1717986918 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666666, 0x6, x2, 1908, x1) + +inst_486: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x555, x2, 1912, x1) + +inst_487: +// rs1_val==1717986918 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666666, 0x556, x2, 1916, x1) + +inst_488: +// rs1_val==1717986918 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x4, x2, 1920, x1) + +inst_489: +// rs1_val==1717986918 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2c, x2, 1924, x1) + +inst_490: +// rs1_val==1717986918 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666666, 0x0, x2, 1928, x1) + +inst_491: +// rs1_val==1717986918 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666666, 0x665, x2, 1932, x1) + +inst_492: +// rs1_val==1717986918 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x332, x2, 1936, x1) + +inst_493: +// rs1_val==1717986918 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x554, x2, 1940, x1) + +inst_494: +// rs1_val==1717986918 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x2, x2, 1944, x1) + +inst_495: +// rs1_val==1717986918 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2d, x2, 1948, x1) + +inst_496: +// rs1_val==1717986918 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666642, 0x66666666, -0x2d, x2, 1952, x1) + +inst_497: +// rs1_val==1717986918 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x666, x2, 1956, x1) + +inst_498: +// rs1_val==1717986918 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x333, x2, 1960, x1) + +inst_499: +// rs1_val==1717986918 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x5, x2, 1964, x1) + +inst_500: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x556, x2, 1968, x1) + +inst_501: +// rs1_val==1717986918 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x555, x2, 1972, x1) + +inst_502: +// rs1_val==1717986918 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x3, x2, 1976, x1) + +inst_503: +// rs1_val==858993459 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333333, 0x2e, x2, 1980, x1) + +inst_504: +// rs1_val==858993459 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333333, -0x2c, x2, 1984, x1) + +inst_505: +// rs1_val==858993459 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x223, 0x33333333, 0x667, x2, 1988, x1) + +inst_506: +// rs1_val==858993459 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333333, 0x334, x2, 1992, x1) + +inst_507: +// rs1_val==858993459 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x6, x2, 1996, x1) + +inst_508: +// rs1_val==858993459 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333223, 0x33333333, -0x555, x2, 2000, x1) + +inst_509: +// rs1_val==858993459 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333333, 0x556, x2, 2004, x1) + +inst_510: +// rs1_val==858993459 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x4, x2, 2008, x1) + +inst_511: +// rs1_val==858993459 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333333, 0x2c, x2, 2012, x1) + +inst_512: +// rs1_val==858993459 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x0, x2, 2016, x1) + +inst_513: +// rs1_val==858993459 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x221, 0x33333333, 0x665, x2, 2020, x1) + +inst_514: +// rs1_val==858993459 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333333, 0x332, x2, 2024, x1) + +inst_515: +// rs1_val==858993459 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333333, 0x554, x2, 2028, x1) + +inst_516: +// rs1_val==858993459 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x2, x2, 2032, x1) + +inst_517: +// rs1_val==858993459 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x21, 0x33333333, 0x2d, x2, 2036, x1) + +inst_518: +// rs1_val==858993459 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333313, 0x33333333, -0x2d, x2, 2040, x1) + +inst_519: +// rs1_val==858993459 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333333, 0x666, x2, 2044, x1) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==858993459 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x333, 0x33333333, 0x333, x2, 0, x1) + +inst_521: +// rs1_val==858993459 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x33333333, 0x5, x2, 4, x1) + +inst_522: +// rs1_val==858993459 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333333, -0x556, x2, 8, x1) + +inst_523: +// rs1_val==858993459 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x111, 0x33333333, 0x555, x2, 12, x1) + +inst_524: +// rs1_val==858993459 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x33333333, 0x3, x2, 16, x1) + +inst_525: +// rs1_val==5 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2e, x2, 20, x1) + +inst_526: +// rs1_val==5 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2c, x2, 24, x1) + +inst_527: +// rs1_val==5 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x667, x2, 28, x1) + +inst_528: +// rs1_val==5 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x334, x2, 32, x1) + +inst_529: +// rs1_val==5 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x6, x2, 36, x1) + +inst_530: +// rs1_val==5 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x555, x2, 40, x1) + +inst_531: +// rs1_val==5 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x556, x2, 44, x1) + +inst_532: +// rs1_val==5 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x4, x2, 48, x1) + +inst_533: +// rs1_val==5 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2c, x2, 52, x1) + +inst_534: +// rs1_val==5 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x0, x2, 56, x1) + +inst_535: +// rs1_val==5 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x665, x2, 60, x1) + +inst_536: +// rs1_val==5 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x332, x2, 64, x1) + +inst_537: +// rs1_val==5 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x554, x2, 68, x1) + +inst_538: +// rs1_val==5 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x2, x2, 72, x1) + +inst_539: +// rs1_val==5 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x2d, x2, 76, x1) + +inst_540: +// rs1_val==5 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x2d, x2, 80, x1) + +inst_541: +// rs1_val==5 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x666, x2, 84, x1) + +inst_542: +// rs1_val==5 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x333, x2, 88, x1) + +inst_543: +// rs1_val==5 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x5, x2, 92, x1) + +inst_544: +// rs1_val==5 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, -0x556, x2, 96, x1) + +inst_545: +// rs1_val==5 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x555, x2, 100, x1) + +inst_546: +// rs1_val==5 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x3, x2, 104, x1) + +inst_547: +// rs1_val==-1431655766 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555556, 0x2e, x2, 108, x1) + +inst_548: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555556, -0x2c, x2, 112, x1) + +inst_549: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x667, x2, 116, x1) + +inst_550: +// rs1_val==-1431655766 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x334, x2, 120, x1) + +inst_551: +// rs1_val==-1431655766 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x6, x2, 124, x1) + +inst_552: +// rs1_val == -16777217, imm_val == 2 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x1000001, 0x2, x2, 128, x1) + +inst_553: +// rs1_val == -131073, imm_val == 1365 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x20001; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x555, -0x20001, 0x555, x2, 132, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 34*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S new file mode 100644 index 000000000..517a4b8d1 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S @@ -0,0 +1,391 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the auipc instruction of the RISC-V E extension for the auipc covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",auipc) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rd==x9, imm_val == ((2**20)-1), imm_val > 0 +// opcode: auipc ; dest:x9; immval:0xfffff +TEST_AUIPC(auipc, x9, -0x1000, 0xfffff, x2, 0, x3) + +inst_1: +// rd==x6, imm_val == 524287, +// opcode: auipc ; dest:x6; immval:0x7ffff +TEST_AUIPC(auipc, x6, 0x7ffff000, 0x7ffff, x2, 4, x3) + +inst_2: +// rd==x1, imm_val == 786431, +// opcode: auipc ; dest:x1; immval:0xbffff +TEST_AUIPC(auipc, x1, -0x40001000, 0xbffff, x2, 8, x3) + +inst_3: +// rd==x5, imm_val == 917503, +// opcode: auipc ; dest:x5; immval:0xdffff +TEST_AUIPC(auipc, x5, -0x20001000, 0xdffff, x2, 12, x3) + +inst_4: +// rd==x14, imm_val == 983039, +// opcode: auipc ; dest:x14; immval:0xeffff +TEST_AUIPC(auipc, x14, -0x10001000, 0xeffff, x2, 16, x3) + +inst_5: +// rd==x7, imm_val == 1015807, +// opcode: auipc ; dest:x7; immval:0xf7fff +TEST_AUIPC(auipc, x7, -0x8001000, 0xf7fff, x2, 20, x3) + +inst_6: +// rd==x15, imm_val == 1032191, +// opcode: auipc ; dest:x15; immval:0xfbfff +TEST_AUIPC(auipc, x15, -0x4001000, 0xfbfff, x2, 24, x3) + +inst_7: +// rd==x10, imm_val == 1040383, +// opcode: auipc ; dest:x10; immval:0xfdfff +TEST_AUIPC(auipc, x10, -0x2001000, 0xfdfff, x2, 28, x3) + +inst_8: +// rd==x12, imm_val == 1044479, +// opcode: auipc ; dest:x12; immval:0xfefff +TEST_AUIPC(auipc, x12, -0x1001000, 0xfefff, x2, 32, x3) + +inst_9: +// rd==x0, imm_val == 1046527, +// opcode: auipc ; dest:x0; immval:0xff7ff +TEST_AUIPC(auipc, x0, 0, 0xff7ff, x2, 36, x3) + +inst_10: +// rd==x8, imm_val == 1047551, +// opcode: auipc ; dest:x8; immval:0xffbff +TEST_AUIPC(auipc, x8, -0x401000, 0xffbff, x2, 40, x3) + +inst_11: +// rd==x4, imm_val == 1048063, +// opcode: auipc ; dest:x4; immval:0xffdff +TEST_AUIPC(auipc, x4, -0x201000, 0xffdff, x2, 44, x3) + +inst_12: +// rd==x13, imm_val == 1048319, +// opcode: auipc ; dest:x13; immval:0xffeff +TEST_AUIPC(auipc, x13, -0x101000, 0xffeff, x2, 48, x4) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_13: +// rd==x3, imm_val == 1048447, +// opcode: auipc ; dest:x3; immval:0xfff7f +TEST_AUIPC(auipc, x3, -0x81000, 0xfff7f, x1, 0, x4) + +inst_14: +// rd==x11, imm_val == 1048511, +// opcode: auipc ; dest:x11; immval:0xfffbf +TEST_AUIPC(auipc, x11, -0x41000, 0xfffbf, x1, 4, x4) + +inst_15: +// rd==x2, imm_val == 1048543, +// opcode: auipc ; dest:x2; immval:0xfffdf +TEST_AUIPC(auipc, x2, -0x21000, 0xfffdf, x1, 8, x4) + +inst_16: +// imm_val == 1048559, +// opcode: auipc ; dest:x10; immval:0xfffef +TEST_AUIPC(auipc, x10, -0x11000, 0xfffef, x1, 12, x4) + +inst_17: +// imm_val == 1048567, +// opcode: auipc ; dest:x10; immval:0xffff7 +TEST_AUIPC(auipc, x10, -0x9000, 0xffff7, x1, 16, x4) + +inst_18: +// imm_val == 1048571, +// opcode: auipc ; dest:x10; immval:0xffffb +TEST_AUIPC(auipc, x10, -0x5000, 0xffffb, x1, 20, x4) + +inst_19: +// imm_val == 1048573, +// opcode: auipc ; dest:x10; immval:0xffffd +TEST_AUIPC(auipc, x10, -0x3000, 0xffffd, x1, 24, x4) + +inst_20: +// imm_val == 1048574, +// opcode: auipc ; dest:x10; immval:0xffffe +TEST_AUIPC(auipc, x10, -0x2000, 0xffffe, x1, 28, x4) + +inst_21: +// imm_val == 524288, +// opcode: auipc ; dest:x10; immval:0x80000 +TEST_AUIPC(auipc, x10, -0x80000000, 0x80000, x1, 32, x4) + +inst_22: +// imm_val == 262144, +// opcode: auipc ; dest:x10; immval:0x40000 +TEST_AUIPC(auipc, x10, 0x40000000, 0x40000, x1, 36, x4) + +inst_23: +// imm_val == 131072, +// opcode: auipc ; dest:x10; immval:0x20000 +TEST_AUIPC(auipc, x10, 0x20000000, 0x20000, x1, 40, x4) + +inst_24: +// imm_val == 65536, +// opcode: auipc ; dest:x10; immval:0x10000 +TEST_AUIPC(auipc, x10, 0x10000000, 0x10000, x1, 44, x4) + +inst_25: +// imm_val == 32768, +// opcode: auipc ; dest:x10; immval:0x8000 +TEST_AUIPC(auipc, x10, 0x8000000, 0x8000, x1, 48, x4) + +inst_26: +// imm_val == 16384, +// opcode: auipc ; dest:x10; immval:0x4000 +TEST_AUIPC(auipc, x10, 0x4000000, 0x4000, x1, 52, x4) + +inst_27: +// imm_val == 8192, +// opcode: auipc ; dest:x10; immval:0x2000 +TEST_AUIPC(auipc, x10, 0x2000000, 0x2000, x1, 56, x4) + +inst_28: +// imm_val == 4096, +// opcode: auipc ; dest:x10; immval:0x1000 +TEST_AUIPC(auipc, x10, 0x1000000, 0x1000, x1, 60, x4) + +inst_29: +// imm_val == 2048, +// opcode: auipc ; dest:x10; immval:0x800 +TEST_AUIPC(auipc, x10, 0x800000, 0x800, x1, 64, x4) + +inst_30: +// imm_val == 1024, imm_val==1024 +// opcode: auipc ; dest:x10; immval:0x400 +TEST_AUIPC(auipc, x10, 0x400000, 0x400, x1, 68, x4) + +inst_31: +// imm_val == 512, +// opcode: auipc ; dest:x10; immval:0x200 +TEST_AUIPC(auipc, x10, 0x200000, 0x200, x1, 72, x4) + +inst_32: +// imm_val == 256, +// opcode: auipc ; dest:x10; immval:0x100 +TEST_AUIPC(auipc, x10, 0x100000, 0x100, x1, 76, x4) + +inst_33: +// imm_val == 128, +// opcode: auipc ; dest:x10; immval:0x80 +TEST_AUIPC(auipc, x10, 0x80000, 0x80, x1, 80, x4) + +inst_34: +// imm_val == 64, +// opcode: auipc ; dest:x10; immval:0x40 +TEST_AUIPC(auipc, x10, 0x40000, 0x40, x1, 84, x4) + +inst_35: +// imm_val == 32, +// opcode: auipc ; dest:x10; immval:0x20 +TEST_AUIPC(auipc, x10, 0x20000, 0x20, x1, 88, x4) + +inst_36: +// imm_val == 16, +// opcode: auipc ; dest:x10; immval:0x10 +TEST_AUIPC(auipc, x10, 0x10000, 0x10, x1, 92, x4) + +inst_37: +// imm_val==349525, imm_val == 349525 +// opcode: auipc ; dest:x10; immval:0x55555 +TEST_AUIPC(auipc, x10, 0x55555000, 0x55555, x1, 96, x4) + +inst_38: +// imm_val==3, +// opcode: auipc ; dest:x10; immval:0x3 +TEST_AUIPC(auipc, x10, 0x3000, 0x3, x1, 100, x4) + +inst_39: +// imm_val == 699050, imm_val==699050 +// opcode: auipc ; dest:x10; immval:0xaaaaa +TEST_AUIPC(auipc, x10, -0x55556000, 0xaaaaa, x1, 104, x4) + +inst_40: +// imm_val == 0, imm_val==0 +// opcode: auipc ; dest:x10; immval:0x0 +TEST_AUIPC(auipc, x10, 0x0, 0x0, x1, 108, x4) + +inst_41: +// imm_val == 8, +// opcode: auipc ; dest:x10; immval:0x8 +TEST_AUIPC(auipc, x10, 0x8000, 0x8, x1, 112, x4) + +inst_42: +// imm_val == 4, imm_val==4 +// opcode: auipc ; dest:x10; immval:0x4 +TEST_AUIPC(auipc, x10, 0x4000, 0x4, x1, 116, x4) + +inst_43: +// imm_val == 2, imm_val==2 +// opcode: auipc ; dest:x10; immval:0x2 +TEST_AUIPC(auipc, x10, 0x2000, 0x2, x1, 120, x4) + +inst_44: +// imm_val == 1, imm_val==1 +// opcode: auipc ; dest:x10; immval:0x1 +TEST_AUIPC(auipc, x10, 0x1000, 0x1, x1, 124, x4) + +inst_45: +// imm_val==725, +// opcode: auipc ; dest:x10; immval:0x2d5 +TEST_AUIPC(auipc, x10, 0x2d5000, 0x2d5, x1, 128, x4) + +inst_46: +// imm_val==419431, +// opcode: auipc ; dest:x10; immval:0x66667 +TEST_AUIPC(auipc, x10, 0x66667000, 0x66667, x1, 132, x4) + +inst_47: +// imm_val==209716, +// opcode: auipc ; dest:x10; immval:0x33334 +TEST_AUIPC(auipc, x10, 0x33334000, 0x33334, x1, 136, x4) + +inst_48: +// imm_val==6, +// opcode: auipc ; dest:x10; immval:0x6 +TEST_AUIPC(auipc, x10, 0x6000, 0x6, x1, 140, x4) + +inst_49: +// imm_val==699051, +// opcode: auipc ; dest:x10; immval:0xaaaab +TEST_AUIPC(auipc, x10, -0x55555000, 0xaaaab, x1, 144, x4) + +inst_50: +// imm_val==349526, +// opcode: auipc ; dest:x10; immval:0x55556 +TEST_AUIPC(auipc, x10, 0x55556000, 0x55556, x1, 148, x4) + +inst_51: +// imm_val==1022, +// opcode: auipc ; dest:x10; immval:0x3fe +TEST_AUIPC(auipc, x10, 0x3fe000, 0x3fe, x1, 152, x4) + +inst_52: +// imm_val==723, +// opcode: auipc ; dest:x10; immval:0x2d3 +TEST_AUIPC(auipc, x10, 0x2d3000, 0x2d3, x1, 156, x4) + +inst_53: +// imm_val==419429, +// opcode: auipc ; dest:x10; immval:0x66665 +TEST_AUIPC(auipc, x10, 0x66665000, 0x66665, x1, 160, x4) + +inst_54: +// imm_val==209714, +// opcode: auipc ; dest:x10; immval:0x33332 +TEST_AUIPC(auipc, x10, 0x33332000, 0x33332, x1, 164, x4) + +inst_55: +// imm_val==699049, +// opcode: auipc ; dest:x10; immval:0xaaaa9 +TEST_AUIPC(auipc, x10, -0x55557000, 0xaaaa9, x1, 168, x4) + +inst_56: +// imm_val==349524, +// opcode: auipc ; dest:x10; immval:0x55554 +TEST_AUIPC(auipc, x10, 0x55554000, 0x55554, x1, 172, x4) + +inst_57: +// imm_val==1023, +// opcode: auipc ; dest:x10; immval:0x3ff +TEST_AUIPC(auipc, x10, 0x3ff000, 0x3ff, x1, 176, x4) + +inst_58: +// imm_val==724, +// opcode: auipc ; dest:x10; immval:0x2d4 +TEST_AUIPC(auipc, x10, 0x2d4000, 0x2d4, x1, 180, x4) + +inst_59: +// imm_val==419430, +// opcode: auipc ; dest:x10; immval:0x66666 +TEST_AUIPC(auipc, x10, 0x66666000, 0x66666, x1, 184, x4) + +inst_60: +// imm_val==209715, +// opcode: auipc ; dest:x10; immval:0x33333 +TEST_AUIPC(auipc, x10, 0x33333000, 0x33333, x1, 188, x4) + +inst_61: +// imm_val==5, +// opcode: auipc ; dest:x10; immval:0x5 +TEST_AUIPC(auipc, x10, 0x5000, 0x5, x1, 192, x4) + +inst_62: +// imm_val == 1046527, +// opcode: auipc ; dest:x10; immval:0xff7ff +TEST_AUIPC(auipc, x10, -0x801000, 0xff7ff, x1, 196, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 13*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 50*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S new file mode 100644 index 000000000..946402467 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S @@ -0,0 +1,3031 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the beq instruction of the RISC-V E extension for the beq covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",beq) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 == rs2, rs1==x6, rs2==x6, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 +// opcode: beq, op1:x6; op2:x6; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x13, x6, x6, -0x2000001, -0x2000001, 0x8, 3f, x5, 0,0) + +inst_1: +// rs1 != rs2, rs1==x2, rs2==x12, rs2_val == 2147483647, +// opcode: beq, op1:x2; op2:x12; op1val:0x0; op2val:0x7fffffff; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x13, x2, x12, 0x0, 0x7fffffff, 0x0, 3f, x5, 4,0) + +inst_2: +// rs1==x10, rs2==x7, rs2_val == -1073741825, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1431655766 +// opcode: beq, op1:x10; op2:x7; op1val:-0x55555556; op2val:-0x40000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x13, x10, x7, -0x55555556, -0x40000001, 0x4, 1b, x5, 8,0) + +inst_3: +// rs1==x7, rs2==x11, rs2_val == -536870913, rs1_val == 2147483647, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x7; op2:x11; op1val:0x7fffffff; op2val:-0x20000001; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x13, x7, x11, 0x7fffffff, -0x20000001, 0x100, 3f, x5, 12,0) + +inst_4: +// rs1==x9, rs2==x14, rs2_val == -268435457, +// opcode: beq, op1:x9; op2:x14; op1val:0x3fffffff; op2val:-0x10000001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x13, x9, x14, 0x3fffffff, -0x10000001, 0x6, 1b, x5, 16,0) + +inst_5: +// rs1==x8, rs2==x2, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x8; op2:x2; op1val:-0xa; op2val:-0x8000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x13, x8, x2, -0xa, -0x8000001, 0x4, 1b, x5, 20,0) + +inst_6: +// rs1==x12, rs2==x1, rs2_val == -33554433, +// opcode: beq, op1:x12; op2:x1; op1val:0xb504; op2val:-0x2000001; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x13, x12, x1, 0xb504, -0x2000001, 0x2, 3f, x5, 24,0) + +inst_7: +// rs1==x3, rs2==x4, rs2_val == -16777217, rs1_val == -2097153 +// opcode: beq, op1:x3; op2:x4; op1val:-0x200001; op2val:-0x1000001; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x13, x3, x4, -0x200001, -0x1000001, 0x100, 3f, x5, 28,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x0, rs2==x13, rs2_val == -8388609, +// opcode: beq, op1:x0; op2:x13; op1val:0x0; op2val:-0x800001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x6, x0, x13, 0x0, -0x800001, 0x0, 3f, x2, 0,0) + +inst_9: +// rs1==x14, rs2==x5, rs2_val == -4194305, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -268435457 +// opcode: beq, op1:x14; op2:x5; op1val:-0x10000001; op2val:-0x400001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x14, x5, -0x10000001, -0x400001, 0x4, 3f, x2, 4,0) + +inst_10: +// rs1==x15, rs2==x9, rs2_val == -2097153, rs1_val == 4 +// opcode: beq, op1:x15; op2:x9; op1val:0x4; op2val:-0x200001; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x6, x15, x9, 0x4, -0x200001, 0x20, 3f, x2, 8,0) + +inst_11: +// rs1==x5, rs2==x15, rs2_val == -1048577, rs1_val == 512 +// opcode: beq, op1:x5; op2:x15; op1val:0x200; op2val:-0x100001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x5, x15, 0x200, -0x100001, 0x4, 1b, x2, 12,0) + +inst_12: +// rs1==x4, rs2==x0, rs2_val == -524289, rs1_val == -536870913 +// opcode: beq, op1:x4; op2:x0; op1val:-0x20000001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x6, x4, x0, -0x20000001, 0x0, 0x6, 1b, x2, 16,0) + +inst_13: +// rs1==x1, rs2==x8, rs2_val == -262145, rs1_val == -65 +// opcode: beq, op1:x1; op2:x8; op1val:-0x41; op2val:-0x40001; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x6, x1, x8, -0x41, -0x40001, 0x10, 3f, x2, 20,0) + +inst_14: +// rs1==x11, rs2==x3, rs2_val == -131073, +// opcode: beq, op1:x11; op2:x3; op1val:0x4; op2val:-0x20001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x11, x3, 0x4, -0x20001, 0x4, 3f, x2, 24,0) + +inst_15: +// rs1==x13, rs2==x10, rs2_val == -65537, rs1_val == -8193 +// opcode: beq, op1:x13; op2:x10; op1val:-0x2001; op2val:-0x10001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x13, x10, -0x2001, -0x10001, 0x4, 3f, x2, 28,0) + +inst_16: +// rs2_val == -32769, rs1_val == 32 +// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:-0x8001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, -0x8001, 0x6, 1b, x2, 32,0) + +inst_17: +// rs2_val == -16385, +// opcode: beq, op1:x10; op2:x11; op1val:-0x4; op2val:-0x4001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4, -0x4001, 0x8, 3f, x2, 36,0) + +inst_18: +// rs2_val == -8193, +// opcode: beq, op1:x10; op2:x11; op1val:0x200; op2val:-0x2001; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x200, -0x2001, 0x20, 3f, x2, 40,0) + +inst_19: +// rs2_val == -4097, +// opcode: beq, op1:x10; op2:x11; op1val:-0x6; op2val:-0x1001; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x6, -0x1001, 0x400, 1b, x2, 44,0) + +inst_20: +// rs2_val == -2049, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:-0x801; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, -0x801, 0xa, 1b, x2, 48,0) + +inst_21: +// rs2_val == -1025, rs1_val == -129 +// opcode: beq, op1:x10; op2:x11; op1val:-0x81; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x81, -0x401, 0x8, 1b, x2, 52,0) + +inst_22: +// rs2_val == -513, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x201, 0x4, 1b, x2, 56,0) + +inst_23: +// rs2_val == -257, rs1_val == -3 +// opcode: beq, op1:x10; op2:x11; op1val:-0x3; op2val:-0x101; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x3, -0x101, 0x2, 3f, x2, 60,0) + +inst_24: +// rs2_val == -129, rs1_val == 8192 +// opcode: beq, op1:x10; op2:x11; op1val:0x2000; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000, -0x81, 0x4, 1b, x2, 64,0) + +inst_25: +// rs2_val == -65, +// opcode: beq, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x41; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3fffffff, -0x41, 0x400, 3f, x2, 68,0) + +inst_26: +// rs2_val == -33, rs1_val == -262145 +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x21; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x21, 0x400, 1b, x2, 72,0) + +inst_27: +// rs2_val == -17, rs1_val == -33 +// opcode: beq, op1:x10; op2:x11; op1val:-0x21; op2val:-0x11; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x21, -0x11, 0x556, 1b, x2, 76,0) + +inst_28: +// rs2_val == -9, +// opcode: beq, op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x9; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x7fffffff, -0x9, 0x4, 3f, x2, 80,0) + +inst_29: +// rs2_val == -5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x5; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x5, 0x80, 3f, x2, 84,0) + +inst_30: +// rs2_val == -3, rs1_val == 1 +// opcode: beq, op1:x10; op2:x11; op1val:0x1; op2val:-0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1, -0x3, 0x4, 1b, x2, 88,0) + +inst_31: +// rs2_val == -2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x2, 0xa, 1b, x2, 92,0) + +inst_32: +// rs1_val == -1073741825, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40000001, 0x6, 0x556, 1b, x2, 96,0) + +inst_33: +// rs1_val == -134217729, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, -0x10000001, 0x8, 3f, x2, 100,0) + +inst_34: +// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:-0x4000001; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4000001, 0xb504, 0x8, 3f, x2, 104,0) + +inst_35: +// rs1_val == -16777217, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x1000001; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x1000001, -0x1000001, 0x200, 3f, x2, 108,0) + +inst_36: +// rs1_val == -8388609, +// opcode: beq, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x9; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x800001, -0x9, 0x2, 1b, x2, 112,0) + +inst_37: +// rs1_val == -4194305, rs2_val == 128 +// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x80; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x80, 0x400, 1b, x2, 116,0) + +inst_38: +// rs1_val == -1048577, +// opcode: beq, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x100001, -0x55555555, 0x0, 1b, x2, 120,0) + +inst_39: +// rs1_val == -524289, rs2_val == 131072 +// opcode: beq, op1:x10; op2:x11; op1val:-0x80001; op2val:0x20000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x80001, 0x20000, 0x0, 1b, x2, 124,0) + +inst_40: +// rs1_val == -131073, +// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x66666667, 0x400, 1b, x2, 128,0) + +inst_41: +// rs1_val == -65537, +// opcode: beq, op1:x10; op2:x11; op1val:-0x10001; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x10001, 0x33333334, 0x6, 1b, x2, 132,0) + +inst_42: +// rs1_val == -32769, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x0, 0x6, 1b, x2, 136,0) + +inst_43: +// rs1_val == -16385, +// opcode: beq, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x1001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4001, -0x1001, 0x0, 3f, x2, 140,0) + +inst_44: +// rs1_val == -4097, +// opcode: beq, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x800001; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x1001, -0x800001, 0x10, 3f, x2, 144,0) + +inst_45: +// rs1_val == -2049, rs2_val == 2 +// opcode: beq, op1:x10; op2:x11; op1val:-0x801; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x801, 0x2, 0xa, 1b, x2, 148,0) + +inst_46: +// rs1_val == -1025, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:-0x81; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, -0x81, 0x80, 3f, x2, 152,0) + +inst_47: +// rs1_val == -513, +// opcode: beq, op1:x10; op2:x11; op1val:-0x201; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x201, -0x401, 0x8, 1b, x2, 156,0) + +inst_48: +// rs1_val == -257, +// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0xb503, 0x8, 1b, x2, 160,0) + +inst_49: +// rs1_val == -17, +// opcode: beq, op1:x10; op2:x11; op1val:-0x11; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x11, -0xb503, 0x6, 3f, x2, 164,0) + +inst_50: +// rs1_val == -9, +// opcode: beq, op1:x10; op2:x11; op1val:-0x9; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x9, -0xb504, 0x556, 1b, x2, 168,0) + +inst_51: +// rs1_val == -5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x55555554, 0x8, 3f, x2, 172,0) + +inst_52: +// rs1_val == -2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x2; op2val:-0x801; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x2, -0x801, 0xa, 1b, x2, 176,0) + +inst_53: +// rs2_val == -2147483648, rs1_val == 32768 +// opcode: beq, op1:x10; op2:x11; op1val:0x8000; op2val:-0x80000000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000, -0x80000000, 0x0, 1b, x2, 180,0) + +inst_54: +// rs2_val == 1073741824, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x40000000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x40000000, 0x6, 3f, x2, 184,0) + +inst_55: +// rs2_val == 536870912, rs1_val == 1431655765, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x20000000; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x20000000, 0x200, 3f, x2, 188,0) + +inst_56: +// rs2_val == 268435456, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x10000000; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x10000000, 0x10, 3f, x2, 192,0) + +inst_57: +// rs2_val == 134217728, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x8000000; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x8000000, 0x40, 3f, x2, 196,0) + +inst_58: +// rs2_val == 67108864, +// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:0x4000000; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, 0x4000000, 0x4, 3f, x2, 200,0) + +inst_59: +// rs2_val == 33554432, +// opcode: beq, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x9, 0x2000000, 0x100, 3f, x2, 204,0) + +inst_60: +// rs2_val == 16777216, rs1_val == 134217728 +// opcode: beq, op1:x10; op2:x11; op1val:0x8000000; op2val:0x1000000; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000000, 0x1000000, 0x100, 3f, x2, 208,0) + +inst_61: +// rs2_val == 8388608, rs1_val == 8388608 +// opcode: beq, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x800000, 0x800000, 0x200, 3f, x2, 212,0) + +inst_62: +// rs2_val == 4194304, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x400000, 0x0, 3f, x2, 216,0) + +inst_63: +// rs2_val == 2097152, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x200000; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x200000, 0x4, 1b, x2, 220,0) + +inst_64: +// rs2_val == 1048576, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x100000; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, 0x100000, 0x556, 1b, x2, 224,0) + +inst_65: +// rs2_val == 524288, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:0x80000; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, 0x80000, 0x400, 1b, x2, 228,0) + +inst_66: +// rs2_val == 262144, rs1_val == 256 +// opcode: beq, op1:x10; op2:x11; op1val:0x100; op2val:0x40000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x100, 0x40000, 0x0, 1b, x2, 232,0) + +inst_67: +// rs2_val == 65536, +// opcode: beq, op1:x10; op2:x11; op1val:-0xa; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xa, 0x10000, 0x0, 3f, x2, 236,0) + +inst_68: +// rs2_val == 32768, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x8000, 0xa, 1b, x2, 240,0) + +inst_69: +// rs2_val == 16384, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:0x4000; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, 0x4000, 0x40, 3f, x2, 244,0) + +inst_70: +// rs2_val == 8192, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x2000, 0x6, 3f, x2, 248,0) + +inst_71: +// rs2_val == 4096, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1000; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x1000, 0x400, 1b, x2, 252,0) + +inst_72: +// rs2_val == 2048, +// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x800; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x800, 0x4, 1b, x2, 256,0) + +inst_73: +// rs2_val == 1024, +// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x400, 0x10, 3f, x2, 260,0) + +inst_74: +// rs2_val == 512, +// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0x200, 0x400, 1b, x2, 264,0) + +inst_75: +// rs2_val == 256, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x100; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x100, 0x20, 3f, x2, 268,0) + +inst_76: +// rs2_val == 64, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x40; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x40, 0x40, 3f, x2, 272,0) + +inst_77: +// rs2_val == 32, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x20; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x20, 0x2, 3f, x2, 276,0) + +inst_78: +// rs2_val == 16, +// opcode: beq, op1:x10; op2:x11; op1val:-0x200001; op2val:0x10; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x200001, 0x10, 0x200, 3f, x2, 280,0) + +inst_79: +// rs2_val == 8, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x8; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x8, 0x6, 1b, x2, 284,0) + +inst_80: +// rs2_val == 4, rs1_val == 16384 +// opcode: beq, op1:x10; op2:x11; op1val:0x4000; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000, 0x4, 0x200, 3f, x2, 288,0) + +inst_81: +// rs2_val == 1, +// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x1, 0x6, 3f, x2, 292,0) + +inst_82: +// rs1_val == -2147483648, +// opcode: beq, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x80000000, 0x40000000, 0x2, 3f, x2, 296,0) + +inst_83: +// rs1_val == 1073741824, +// opcode: beq, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x81; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000000, -0x81, 0xa, 1b, x2, 300,0) + +inst_84: +// rs1_val == 536870912, +// opcode: beq, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x40000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000000, -0x40000001, 0x4, 3f, x2, 304,0) + +inst_85: +// rs1_val == 268435456, +// opcode: beq, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x40000001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000000, -0x40000001, 0x0, 3f, x2, 308,0) + +inst_86: +// rs1_val == 67108864, +// opcode: beq, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x8; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000000, -0x8, 0x2, 1b, x2, 312,0) + +inst_87: +// rs1_val == 33554432, +// opcode: beq, op1:x10; op2:x11; op1val:0x2000000; op2val:0x10; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000000, 0x10, 0x100, 3f, x2, 316,0) + +inst_88: +// rs1_val == 16777216, +// opcode: beq, op1:x10; op2:x11; op1val:0x1000000; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000000, 0x6, 0x0, 1b, x2, 320,0) + +inst_89: +// rs1_val == 4194304, +// opcode: beq, op1:x10; op2:x11; op1val:0x400000; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x400000, 0x66666666, 0x6, 3f, x2, 324,0) + +inst_90: +// rs1_val == 2097152, +// opcode: beq, op1:x10; op2:x11; op1val:0x200000; op2val:-0x2; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x200000, -0x2, 0x80, 3f, x2, 328,0) + +inst_91: +// rs1_val == 1048576, +// opcode: beq, op1:x10; op2:x11; op1val:0x100000; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x100000, 0x66666667, 0xa, 1b, x2, 332,0) + +inst_92: +// rs1_val == 524288, +// opcode: beq, op1:x10; op2:x11; op1val:0x80000; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x80000, -0x101, 0x6, 3f, x2, 336,0) + +inst_93: +// rs1_val == 262144, rs2_val == 1431655765 +// opcode: beq, op1:x10; op2:x11; op1val:0x40000; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000, 0x55555555, 0x6, 3f, x2, 340,0) + +inst_94: +// rs1_val == 131072, +// opcode: beq, op1:x10; op2:x11; op1val:0x20000; op2val:-0x10000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000, -0x10000001, 0x4, 3f, x2, 344,0) + +inst_95: +// rs1_val == 65536, +// opcode: beq, op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000, -0x55555555, 0xa, 1b, x2, 348,0) + +inst_96: +// rs1_val == 4096, +// opcode: beq, op1:x10; op2:x11; op1val:0x1000; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000, 0x66666665, 0x4, 1b, x2, 352,0) + +inst_97: +// rs1_val == 2048, +// opcode: beq, op1:x10; op2:x11; op1val:0x800; op2val:-0x200001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x800, -0x200001, 0x8, 3f, x2, 356,0) + +inst_98: +// rs1_val == 1024, +// opcode: beq, op1:x10; op2:x11; op1val:0x400; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x400, 0x3, 0x8, 3f, x2, 360,0) + +inst_99: +// rs1_val == 128, +// opcode: beq, op1:x10; op2:x11; op1val:0x80; op2val:0x2000000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x80, 0x2000000, 0x6, 1b, x2, 364,0) + +inst_100: +// rs1_val == 64, +// opcode: beq, op1:x10; op2:x11; op1val:0x40; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40, 0x3, 0x8, 1b, x2, 368,0) + +inst_101: +// rs1_val == 16, +// opcode: beq, op1:x10; op2:x11; op1val:0x10; op2val:-0x80000000; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10, -0x80000000, 0x556, 1b, x2, 372,0) + +inst_102: +// rs1_val == 8, +// opcode: beq, op1:x10; op2:x11; op1val:0x8; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8, 0x33333332, 0x2, 1b, x2, 376,0) + +inst_103: +// rs1_val == 2, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x7; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x7, 0x100, 3f, x2, 380,0) + +inst_104: +// rs1_val==46341 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb505, 0x4, 3f, x2, 384,0) + +inst_105: +// rs1_val==46341 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb503, 0x400, 1b, x2, 388,0) + +inst_106: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666667, 0x2, 1b, x2, 392,0) + +inst_107: +// rs1_val==46341 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333334, 0x40, 3f, x2, 396,0) + +inst_108: +// rs1_val==46341 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x6, 0x2, 3f, x2, 400,0) + +inst_109: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555555, 0x10, 3f, x2, 404,0) + +inst_110: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555556, 0x40, 3f, x2, 408,0) + +inst_111: +// rs1_val==46341 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x4, 0x10, 3f, x2, 412,0) + +inst_112: +// rs1_val==46341 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb503, 0x6, 3f, x2, 416,0) + +inst_113: +// rs1_val==46341 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x0, 0x10, 3f, x2, 420,0) + +inst_114: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666665, 0x200, 3f, x2, 424,0) + +inst_115: +// rs1_val==46341 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333332, 0x6, 3f, x2, 428,0) + +inst_116: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555554, 0x8, 3f, x2, 432,0) + +inst_117: +// rs1_val==46341 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x2, 0x0, 3f, x2, 436,0) + +inst_118: +// rs1_val==46341 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb504, 0x4, 3f, x2, 440,0) + +inst_119: +// rs1_val==46341 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb504, 0x400, 1b, x2, 444,0) + +inst_120: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666666, 0xa, 1b, x2, 448,0) + +inst_121: +// rs1_val==46341 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333333, 0x8, 3f, x2, 452,0) + +inst_122: +// rs1_val==46341 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x5, 0x8, 3f, x2, 456,0) + +inst_123: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555556, 0xa, 1b, x2, 460,0) + +inst_124: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555555, 0x0, 1b, x2, 464,0) + +inst_125: +// rs1_val==46341 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x3, 0x10, 3f, x2, 468,0) + +inst_126: +// rs1_val==-46339 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb505, 0x2, 3f, x2, 472,0) + +inst_127: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb503, 0x0, 3f, x2, 476,0) + +inst_128: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666667, 0x0, 1b, x2, 480,0) + +inst_129: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333334, 0xa, 1b, x2, 484,0) + +inst_130: +// rs1_val==-46339 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x6, 0x80, 3f, x2, 488,0) + +inst_131: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555555, 0x20, 3f, x2, 492,0) + +inst_132: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555556, 0x400, 1b, x2, 496,0) + +inst_133: +// rs1_val==-46339 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x4, 0x80, 3f, x2, 500,0) + +inst_134: +// rs1_val==-46339 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb503, 0x6, 3f, x2, 504,0) + +inst_135: +// rs1_val==-46339 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x0, 0x400, 3f, x2, 508,0) + +inst_136: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666665, 0x4, 1b, x2, 512,0) + +inst_137: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333332, 0x0, 1b, x2, 516,0) + +inst_138: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555554, 0x10, 3f, x2, 520,0) + +inst_139: +// rs1_val==-46339 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x2, 0x40, 3f, x2, 524,0) + +inst_140: +// rs1_val==-46339 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb504, 0x6, 1b, x2, 528,0) + +inst_141: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb504, 0x4, 1b, x2, 532,0) + +inst_142: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666666, 0x6, 1b, x2, 536,0) + +inst_143: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333333, 0x556, 1b, x2, 540,0) + +inst_144: +// rs1_val==-46339 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x5, 0x10, 3f, x2, 544,0) + +inst_145: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555556, 0xa, 1b, x2, 548,0) + +inst_146: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555555, 0x8, 3f, x2, 552,0) + +inst_147: +// rs1_val==-46339 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x3, 0x10, 3f, x2, 556,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x2, 560,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb503, 0x80, 3f, x2, 564,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666667, 0x40, 3f, x2, 568,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333334, 0x2, 1b, x2, 572,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x6, 0x4, 1b, x2, 576,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555555, 0x200, 3f, x2, 580,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555556, 0x2, 3f, x2, 584,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x4, 0xa, 1b, x2, 588,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x2, 592,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x0, 0x10, 3f, x2, 596,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666665, 0x200, 3f, x2, 600,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333332, 0x200, 3f, x2, 604,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x2, 608,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x2, 0x8, 3f, x2, 612,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x2, 616,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb504, 0x0, 1b, x2, 620,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666666, 0x4, 3f, x2, 624,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x2, 628,0) + +inst_166: +// rs1_val==1717986919 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x5, 0x556, 1b, x2, 632,0) + +inst_167: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555556, 0x2, 1b, x2, 636,0) + +inst_168: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 3f, x2, 640,0) + +inst_169: +// rs1_val==1717986919 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x3, 0x556, 1b, x2, 644,0) + +inst_170: +// rs1_val==858993460 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x2, 648,0) + +inst_171: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb503, 0x400, 3f, x2, 652,0) + +inst_172: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666667, 0x400, 3f, x2, 656,0) + +inst_173: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333334, 0x2, 3f, x2, 660,0) + +inst_174: +// rs1_val==858993460 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x6, 0xa, 1b, x2, 664,0) + +inst_175: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555555, 0x200, 3f, x2, 668,0) + +inst_176: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x2, 672,0) + +inst_177: +// rs1_val==858993460 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x4, 0x20, 3f, x2, 676,0) + +inst_178: +// rs1_val==858993460 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb503, 0x4, 1b, x2, 680,0) + +inst_179: +// rs1_val==858993460 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x0, 0x100, 3f, x2, 684,0) + +inst_180: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666665, 0x10, 3f, x2, 688,0) + +inst_181: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x2, 692,0) + +inst_182: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555554, 0x400, 1b, x2, 696,0) + +inst_183: +// rs1_val==858993460 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x2, 0x4, 1b, x2, 700,0) + +inst_184: +// rs1_val==858993460 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 704,0) + +inst_185: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb504, 0x0, 1b, x2, 708,0) + +inst_186: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666666, 0x6, 1b, x2, 712,0) + +inst_187: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333333, 0x20, 3f, x2, 716,0) + +inst_188: +// rs1_val==858993460 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x5, 0x200, 3f, x2, 720,0) + +inst_189: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555556, 0x40, 3f, x2, 724,0) + +inst_190: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555555, 0x556, 1b, x2, 728,0) + +inst_191: +// rs1_val==858993460 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x3, 0x0, 1b, x2, 732,0) + +inst_192: +// rs1_val==6 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb505, 0x20, 3f, x2, 736,0) + +inst_193: +// rs1_val==6 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb503, 0x40, 3f, x2, 740,0) + +inst_194: +// rs1_val==6 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x2, 744,0) + +inst_195: +// rs1_val==6 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333334, 0x556, 1b, x2, 748,0) + +inst_196: +// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x6, 0x8, 1b, x2, 752,0) + +inst_197: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555555, 0x6, 1b, x2, 756,0) + +inst_198: +// rs1_val==6 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555556, 0x6, 1b, x2, 760,0) + +inst_199: +// rs1_val==6 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x4, 0x2, 3f, x2, 764,0) + +inst_200: +// rs1_val==6 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb503, 0xa, 1b, x2, 768,0) + +inst_201: +// rs1_val==6 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x0, 0x2, 1b, x2, 772,0) + +inst_202: +// rs1_val==6 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666665, 0x80, 3f, x2, 776,0) + +inst_203: +// rs1_val==6 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x2, 780,0) + +inst_204: +// rs1_val==6 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555554, 0x2, 3f, x2, 784,0) + +inst_205: +// rs1_val==6 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x2, 0x0, 1b, x2, 788,0) + +inst_206: +// rs1_val==6 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x2, 792,0) + +inst_207: +// rs1_val==6 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb504, 0x8, 1b, x2, 796,0) + +inst_208: +// rs1_val==6 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666666, 0x400, 1b, x2, 800,0) + +inst_209: +// rs1_val==6 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333333, 0x20, 3f, x2, 804,0) + +inst_210: +// rs1_val==6 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x5, 0xa, 1b, x2, 808,0) + +inst_211: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555556, 0x4, 1b, x2, 812,0) + +inst_212: +// rs1_val==6 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555555, 0x4, 1b, x2, 816,0) + +inst_213: +// rs1_val==6 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x3, 0x6, 3f, x2, 820,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb505, 0x20, 3f, x2, 824,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb503, 0x6, 1b, x2, 828,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666667, 0x556, 1b, x2, 832,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333334, 0x8, 3f, x2, 836,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x6, 0x40, 3f, x2, 840,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555555, 0x8, 3f, x2, 844,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555556, 0xa, 1b, x2, 848,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x4, 0x6, 1b, x2, 852,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb503, 0xa, 1b, x2, 856,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x0, 0x6, 3f, x2, 860,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666665, 0x400, 1b, x2, 864,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333332, 0x10, 3f, x2, 868,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555554, 0x400, 1b, x2, 872,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x2, 0x0, 3f, x2, 876,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb504, 0x10, 3f, x2, 880,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb504, 0x0, 1b, x2, 884,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666666, 0x40, 3f, x2, 888,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333333, 0x10, 3f, x2, 892,0) + +inst_232: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x5, 0x2, 1b, x2, 896,0) + +inst_233: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555556, 0x2, 3f, x2, 900,0) + +inst_234: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x2, 904,0) + +inst_235: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x3, 0xa, 1b, x2, 908,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb505, 0x400, 3f, x2, 912,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb503, 0xa, 1b, x2, 916,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666667, 0x6, 1b, x2, 920,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333334, 0x2, 3f, x2, 924,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x6, 0x8, 3f, x2, 928,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555555, 0x4, 1b, x2, 932,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x2, 936,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x4, 0x200, 3f, x2, 940,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb503, 0x200, 3f, x2, 944,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x0, 0x400, 3f, x2, 948,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666665, 0x6, 1b, x2, 952,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x2, 956,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555554, 0x6, 1b, x2, 960,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x2, 0x8, 3f, x2, 964,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x2, 968,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb504, 0xa, 1b, x2, 972,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 1b, x2, 976,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 1b, x2, 980,0) + +inst_254: +// rs1_val==1431655766 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x5, 0x6, 1b, x2, 984,0) + +inst_255: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555556, 0x200, 3f, x2, 988,0) + +inst_256: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x2, 992,0) + +inst_257: +// rs1_val==1431655766 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x2, 996,0) + +inst_258: +// rs1_val==4 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb505, 0x0, 3f, x2, 1000,0) + +inst_259: +// rs1_val==4 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb503, 0x556, 1b, x2, 1004,0) + +inst_260: +// rs1_val==4 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666667, 0x400, 3f, x2, 1008,0) + +inst_261: +// rs1_val==4 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x2, 1012,0) + +inst_262: +// rs1_val==4 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x6, 0x400, 3f, x2, 1016,0) + +inst_263: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555555, 0x2, 1b, x2, 1020,0) + +inst_264: +// rs1_val==4 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555556, 0x6, 1b, x2, 1024,0) + +inst_265: +// rs1_val==4 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x4, 0x200, 3f, x2, 1028,0) + +inst_266: +// rs1_val==4 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb503, 0x2, 3f, x2, 1032,0) + +inst_267: +// rs1_val==4 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x0, 0x100, 3f, x2, 1036,0) + +inst_268: +// rs1_val==4 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666665, 0xa, 1b, x2, 1040,0) + +inst_269: +// rs1_val==4 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333332, 0x556, 1b, x2, 1044,0) + +inst_270: +// rs1_val==4 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555554, 0x8, 1b, x2, 1048,0) + +inst_271: +// rs1_val==4 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x2, 0x10, 3f, x2, 1052,0) + +inst_272: +// rs1_val==4 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb504, 0x0, 1b, x2, 1056,0) + +inst_273: +// rs1_val==4 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb504, 0xa, 1b, x2, 1060,0) + +inst_274: +// rs1_val==4 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666666, 0x80, 3f, x2, 1064,0) + +inst_275: +// rs1_val==4 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333333, 0x0, 3f, x2, 1068,0) + +inst_276: +// rs1_val==4 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x2, 1072,0) + +inst_277: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555556, 0x8, 1b, x2, 1076,0) + +inst_278: +// rs1_val==4 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555555, 0x6, 1b, x2, 1080,0) + +inst_279: +// rs1_val==4 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x3, 0x8, 1b, x2, 1084,0) + +inst_280: +// rs1_val==46339 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb505, 0x40, 3f, x2, 1088,0) + +inst_281: +// rs1_val==46339 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb503, 0x2, 1b, x2, 1092,0) + +inst_282: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666667, 0x10, 3f, x2, 1096,0) + +inst_283: +// rs1_val==46339 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333334, 0x20, 3f, x2, 1100,0) + +inst_284: +// rs1_val==46339 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x2, 1104,0) + +inst_285: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555555, 0x2, 3f, x2, 1108,0) + +inst_286: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1112,0) + +inst_287: +// rs1_val==46339 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x4, 0x4, 3f, x2, 1116,0) + +inst_288: +// rs1_val==46339 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb503, 0x6, 1b, x2, 1120,0) + +inst_289: +// rs1_val==46339 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x0, 0x556, 1b, x2, 1124,0) + +inst_290: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666665, 0x400, 3f, x2, 1128,0) + +inst_291: +// rs1_val==46339 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333332, 0x8, 1b, x2, 1132,0) + +inst_292: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555554, 0x0, 3f, x2, 1136,0) + +inst_293: +// rs1_val==46339 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1140,0) + +inst_294: +// rs1_val==46339 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb504, 0x6, 3f, x2, 1144,0) + +inst_295: +// rs1_val==46339 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb504, 0x400, 1b, x2, 1148,0) + +inst_296: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666666, 0x0, 1b, x2, 1152,0) + +inst_297: +// rs1_val==46339 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1156,0) + +inst_298: +// rs1_val==46339 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x5, 0x400, 1b, x2, 1160,0) + +inst_299: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555556, 0x400, 3f, x2, 1164,0) + +inst_300: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x2, 1168,0) + +inst_301: +// rs1_val==46339 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x3, 0x556, 1b, x2, 1172,0) + +inst_302: +// rs1_val==0 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb505, 0x556, 1b, x2, 1176,0) + +inst_303: +// rs1_val==0 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb503, 0x2, 1b, x2, 1180,0) + +inst_304: +// rs1_val==0 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666667, 0x10, 3f, x2, 1184,0) + +inst_305: +// rs1_val==0 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333334, 0x2, 1b, x2, 1188,0) + +inst_306: +// rs1_val==0 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x6, 0x80, 3f, x2, 1192,0) + +inst_307: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555555, 0x80, 3f, x2, 1196,0) + +inst_308: +// rs1_val==0 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555556, 0x400, 1b, x2, 1200,0) + +inst_309: +// rs1_val==0 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x4, 0x10, 3f, x2, 1204,0) + +inst_310: +// rs1_val==0 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb503, 0x4, 3f, x2, 1208,0) + +inst_311: +// rs1_val==0 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x0, 0x8, 1b, x2, 1212,0) + +inst_312: +// rs1_val==0 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666665, 0x6, 3f, x2, 1216,0) + +inst_313: +// rs1_val==0 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333332, 0x200, 3f, x2, 1220,0) + +inst_314: +// rs1_val==0 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555554, 0x100, 3f, x2, 1224,0) + +inst_315: +// rs1_val==0 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x2, 0x80, 3f, x2, 1228,0) + +inst_316: +// rs1_val==0 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb504, 0x40, 3f, x2, 1232,0) + +inst_317: +// rs1_val==0 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb504, 0x20, 3f, x2, 1236,0) + +inst_318: +// rs1_val==0 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666666, 0x556, 1b, x2, 1240,0) + +inst_319: +// rs1_val==0 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333333, 0x0, 3f, x2, 1244,0) + +inst_320: +// rs1_val==0 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x5, 0x0, 3f, x2, 1248,0) + +inst_321: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x2, 1252,0) + +inst_322: +// rs1_val==0 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555555, 0x556, 1b, x2, 1256,0) + +inst_323: +// rs1_val==0 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x3, 0x10, 3f, x2, 1260,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x2, 1264,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb503, 0x6, 3f, x2, 1268,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666667, 0x8, 3f, x2, 1272,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1276,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x6, 0x0, 3f, x2, 1280,0) + +inst_329: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x2, 1284,0) + +inst_330: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555556, 0x400, 1b, x2, 1288,0) + +inst_331: +// rs1_val==1717986917 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1292,0) + +inst_332: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x2, 1296,0) + +inst_333: +// rs1_val==858993459 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x0, 0x6, 3f, x2, 1300,0) + +inst_334: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666665, 0x40, 3f, x2, 1304,0) + +inst_335: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333332, 0x400, 3f, x2, 1308,0) + +inst_336: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555554, 0x6, 1b, x2, 1312,0) + +inst_337: +// rs1_val==858993459 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x2, 0x40, 3f, x2, 1316,0) + +inst_338: +// rs1_val==858993459 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb504, 0x10, 3f, x2, 1320,0) + +inst_339: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb504, 0x20, 3f, x2, 1324,0) + +inst_340: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666666, 0x2, 3f, x2, 1328,0) + +inst_341: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333333, 0x4, 3f, x2, 1332,0) + +inst_342: +// rs1_val==858993459 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x5, 0x0, 3f, x2, 1336,0) + +inst_343: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x2, 1340,0) + +inst_344: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555555, 0x8, 3f, x2, 1344,0) + +inst_345: +// rs1_val==858993459 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x3, 0x4, 3f, x2, 1348,0) + +inst_346: +// rs1_val==5 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb505, 0x400, 3f, x2, 1352,0) + +inst_347: +// rs1_val==5 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb503, 0x4, 3f, x2, 1356,0) + +inst_348: +// rs1_val==5 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666667, 0x200, 3f, x2, 1360,0) + +inst_349: +// rs1_val==5 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333334, 0x2, 1b, x2, 1364,0) + +inst_350: +// rs1_val==5 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x6, 0x4, 1b, x2, 1368,0) + +inst_351: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555555, 0x8, 3f, x2, 1372,0) + +inst_352: +// rs1_val==5 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555556, 0x40, 3f, x2, 1376,0) + +inst_353: +// rs1_val==5 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x4, 0x0, 1b, x2, 1380,0) + +inst_354: +// rs1_val==5 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x2, 1384,0) + +inst_355: +// rs1_val==5 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x0, 0xa, 1b, x2, 1388,0) + +inst_356: +// rs1_val==5 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666665, 0x8, 1b, x2, 1392,0) + +inst_357: +// rs1_val==5 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333332, 0x400, 3f, x2, 1396,0) + +inst_358: +// rs1_val==5 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555554, 0x10, 3f, x2, 1400,0) + +inst_359: +// rs1_val==5 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x2, 0x556, 1b, x2, 1404,0) + +inst_360: +// rs1_val==5 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb504, 0x0, 3f, x2, 1408,0) + +inst_361: +// rs1_val==5 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb504, 0x400, 1b, x2, 1412,0) + +inst_362: +// rs1_val==5 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666666, 0x2, 3f, x2, 1416,0) + +inst_363: +// rs1_val==5 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333333, 0x6, 3f, x2, 1420,0) + +inst_364: +// rs1_val==5 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x5, 0xa, 1b, x2, 1424,0) + +inst_365: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555556, 0x8, 3f, x2, 1428,0) + +inst_366: +// rs1_val==5 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555555, 0x2, 3f, x2, 1432,0) + +inst_367: +// rs1_val==5 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x3, 0x20, 3f, x2, 1436,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb505, 0x20, 3f, x2, 1440,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb503, 0x200, 3f, x2, 1444,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666667, 0x10, 3f, x2, 1448,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333334, 0x556, 1b, x2, 1452,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x6, 0xa, 1b, x2, 1456,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555555, 0x8, 3f, x2, 1460,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555556, 0x4, 1b, x2, 1464,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x2, 1468,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb503, 0x8, 3f, x2, 1472,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x0, 0x200, 3f, x2, 1476,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666665, 0x2, 3f, x2, 1480,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333332, 0x8, 1b, x2, 1484,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555554, 0x6, 1b, x2, 1488,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x2, 0x200, 3f, x2, 1492,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x2, 1496,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb504, 0x2, 1b, x2, 1500,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666666, 0x8, 3f, x2, 1504,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333333, 0x8, 1b, x2, 1508,0) + +inst_386: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x5, 0x20, 3f, x2, 1512,0) + +inst_387: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555556, 0x2, 1b, x2, 1516,0) + +inst_388: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555555, 0x80, 3f, x2, 1520,0) + +inst_389: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x3, 0x4, 1b, x2, 1524,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb505, 0x0, 3f, x2, 1528,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x2, 1532,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x2, 1536,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333334, 0x40, 3f, x2, 1540,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 1544,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555555, 0x2, 1b, x2, 1548,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555556, 0x100, 3f, x2, 1552,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x4, 0x2, 1b, x2, 1556,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb503, 0x4, 3f, x2, 1560,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x0, 0x10, 3f, x2, 1564,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666665, 0x400, 1b, x2, 1568,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333332, 0x20, 3f, x2, 1572,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555554, 0x40, 3f, x2, 1576,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x2, 0x40, 3f, x2, 1580,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x2, 1584,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb504, 0x400, 3f, x2, 1588,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 1592,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333333, 0x6, 3f, x2, 1596,0) + +inst_408: +// rs1_val==1431655765 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x5, 0x0, 3f, x2, 1600,0) + +inst_409: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555556, 0x6, 3f, x2, 1604,0) + +inst_410: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x2, 1608,0) + +inst_411: +// rs1_val==1431655765 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x3, 0x10, 3f, x2, 1612,0) + +inst_412: +// rs1_val==3 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb505, 0x2, 1b, x2, 1616,0) + +inst_413: +// rs1_val==3 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb503, 0x0, 1b, x2, 1620,0) + +inst_414: +// rs1_val==3 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666667, 0x0, 1b, x2, 1624,0) + +inst_415: +// rs1_val==3 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 1628,0) + +inst_416: +// rs1_val==3 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x6, 0x0, 1b, x2, 1632,0) + +inst_417: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x2, 1636,0) + +inst_418: +// rs1_val==3 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555556, 0x8, 3f, x2, 1640,0) + +inst_419: +// rs1_val==3 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x4, 0x80, 3f, x2, 1644,0) + +inst_420: +// rs1_val==3 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 1648,0) + +inst_421: +// rs1_val==3 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x0, 0x10, 3f, x2, 1652,0) + +inst_422: +// rs1_val==3 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666665, 0x6, 3f, x2, 1656,0) + +inst_423: +// rs1_val==3 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333332, 0x400, 1b, x2, 1660,0) + +inst_424: +// rs1_val==3 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555554, 0x0, 1b, x2, 1664,0) + +inst_425: +// rs1_val==3 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x2, 0x400, 3f, x2, 1668,0) + +inst_426: +// rs1_val==3 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb504, 0x200, 3f, x2, 1672,0) + +inst_427: +// rs1_val==3 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb504, 0x400, 3f, x2, 1676,0) + +inst_428: +// rs1_val==3 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666666, 0x0, 3f, x2, 1680,0) + +inst_429: +// rs1_val==3 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333333, 0x10, 3f, x2, 1684,0) + +inst_430: +// rs1_val==3 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 1688,0) + +inst_431: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555556, 0x400, 3f, x2, 1692,0) + +inst_432: +// rs1_val==3 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555555, 0x400, 3f, x2, 1696,0) + +inst_433: +// rs1_val==3 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x3, 0x20, 3f, x2, 1700,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x0, 0x8, 3f, x2, 1704,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666665, 0x10, 3f, x2, 1708,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333332, 0x10, 3f, x2, 1712,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x2, 1716,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x2, 0x0, 1b, x2, 1720,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb504, 0x0, 1b, x2, 1724,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x2, 1728,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x2, 1732,0) + +inst_442: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x2, 1736,0) + +inst_443: +// rs1_val==1717986917 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x5, 0x0, 1b, x2, 1740,0) + +inst_444: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555556, 0x40, 3f, x2, 1744,0) + +inst_445: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 3f, x2, 1748,0) + +inst_446: +// rs1_val==1717986917 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x3, 0x4, 3f, x2, 1752,0) + +inst_447: +// rs1_val==858993458 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb505, 0x4, 3f, x2, 1756,0) + +inst_448: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb503, 0x8, 1b, x2, 1760,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666667, 0x4, 1b, x2, 1764,0) + +inst_450: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1768,0) + +inst_451: +// rs1_val==858993458 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x2, 1772,0) + +inst_452: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x2, 1776,0) + +inst_453: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x2, 1780,0) + +inst_454: +// rs1_val==858993458 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x4, 0x20, 3f, x2, 1784,0) + +inst_455: +// rs1_val==858993458 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb503, 0x400, 3f, x2, 1788,0) + +inst_456: +// rs1_val==858993458 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x0, 0x6, 3f, x2, 1792,0) + +inst_457: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1796,0) + +inst_458: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1800,0) + +inst_459: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555554, 0x4, 3f, x2, 1804,0) + +inst_460: +// rs1_val==858993458 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x2, 0x400, 3f, x2, 1808,0) + +inst_461: +// rs1_val==858993458 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb504, 0x40, 3f, x2, 1812,0) + +inst_462: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb504, 0x200, 3f, x2, 1816,0) + +inst_463: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x2, 1820,0) + +inst_464: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1824,0) + +inst_465: +// rs1_val==858993458 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x5, 0x20, 3f, x2, 1828,0) + +inst_466: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555556, 0x40, 3f, x2, 1832,0) + +inst_467: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555555, 0x0, 1b, x2, 1836,0) + +inst_468: +// rs1_val==858993458 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x2, 1840,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb505, 0x10, 3f, x2, 1844,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb503, 0x20, 3f, x2, 1848,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666667, 0x6, 3f, x2, 1852,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333334, 0x200, 3f, x2, 1856,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x6, 0x200, 3f, x2, 1860,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x2, 1864,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x2, 1868,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x4, 0x20, 3f, x2, 1872,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb503, 0x2, 3f, x2, 1876,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x0, 0x6, 3f, x2, 1880,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666665, 0x0, 3f, x2, 1884,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1888,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555554, 0x0, 3f, x2, 1892,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x2, 0x6, 1b, x2, 1896,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb504, 0x0, 3f, x2, 1900,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb504, 0x4, 1b, x2, 1904,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666666, 0x20, 3f, x2, 1908,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 1b, x2, 1912,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x5, 0x2, 3f, x2, 1916,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555556, 0x8, 3f, x2, 1920,0) + +inst_489: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x2, 1924,0) + +inst_490: +// rs1_val==1431655764 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x3, 0x2, 3f, x2, 1928,0) + +inst_491: +// rs1_val==2 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb505, 0x400, 3f, x2, 1932,0) + +inst_492: +// rs1_val==2 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb503, 0x400, 3f, x2, 1936,0) + +inst_493: +// rs1_val==2 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666667, 0x4, 3f, x2, 1940,0) + +inst_494: +// rs1_val==2 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333334, 0x4, 1b, x2, 1944,0) + +inst_495: +// rs1_val==2 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x6, 0x100, 3f, x2, 1948,0) + +inst_496: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555555, 0x556, 1b, x2, 1952,0) + +inst_497: +// rs1_val==2 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555556, 0x4, 3f, x2, 1956,0) + +inst_498: +// rs1_val==2 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x4, 0x6, 1b, x2, 1960,0) + +inst_499: +// rs1_val==2 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb503, 0x556, 1b, x2, 1964,0) + +inst_500: +// rs1_val==2 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x0, 0x4, 1b, x2, 1968,0) + +inst_501: +// rs1_val==2 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666665, 0x200, 3f, x2, 1972,0) + +inst_502: +// rs1_val==2 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333332, 0x0, 1b, x2, 1976,0) + +inst_503: +// rs1_val==2 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555554, 0x80, 3f, x2, 1980,0) + +inst_504: +// rs1_val==2 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x2, 0x2, 1b, x2, 1984,0) + +inst_505: +// rs1_val==2 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb504, 0x556, 1b, x2, 1988,0) + +inst_506: +// rs1_val==2 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb504, 0x0, 3f, x2, 1992,0) + +inst_507: +// rs1_val==2 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666666, 0x0, 3f, x2, 1996,0) + +inst_508: +// rs1_val==2 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333333, 0x400, 1b, x2, 2000,0) + +inst_509: +// rs1_val==2 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x5, 0x2, 3f, x2, 2004,0) + +inst_510: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555556, 0x10, 3f, x2, 2008,0) + +inst_511: +// rs1_val==2 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555555, 0x400, 3f, x2, 2012,0) + +inst_512: +// rs1_val==2 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x3, 0x10, 3f, x2, 2016,0) + +inst_513: +// rs1_val==46340 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb505, 0x100, 3f, x2, 2020,0) + +inst_514: +// rs1_val==46340 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb503, 0x4, 1b, x2, 2024,0) + +inst_515: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666667, 0x2, 3f, x2, 2028,0) + +inst_516: +// rs1_val==46340 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333334, 0x8, 3f, x2, 2032,0) + +inst_517: +// rs1_val==46340 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x6, 0x8, 1b, x2, 2036,0) + +inst_518: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555555, 0x0, 1b, x2, 2040,0) + +inst_519: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x2, 2044,0) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==46340 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x2, 0,0) + +inst_521: +// rs1_val==46340 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb503, 0x10, 3f, x2, 4,0) + +inst_522: +// rs1_val==46340 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x0, 0x8, 1b, x2, 8,0) + +inst_523: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666665, 0x0, 1b, x2, 12,0) + +inst_524: +// rs1_val==46340 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333332, 0x400, 3f, x2, 16,0) + +inst_525: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555554, 0x6, 1b, x2, 20,0) + +inst_526: +// rs1_val==46340 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x2, 0x400, 1b, x2, 24,0) + +inst_527: +// rs1_val==46340 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb504, 0x0, 3f, x2, 28,0) + +inst_528: +// rs1_val==46340 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb504, 0x100, 3f, x2, 32,0) + +inst_529: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666666, 0x80, 3f, x2, 36,0) + +inst_530: +// rs1_val==46340 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333333, 0x8, 1b, x2, 40,0) + +inst_531: +// rs1_val==46340 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x5, 0x2, 1b, x2, 44,0) + +inst_532: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555556, 0x2, 1b, x2, 48,0) + +inst_533: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555555, 0x400, 1b, x2, 52,0) + +inst_534: +// rs1_val==46340 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x3, 0xa, 1b, x2, 56,0) + +inst_535: +// rs1_val==-46340 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb505, 0x80, 3f, x2, 60,0) + +inst_536: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb503, 0x80, 3f, x2, 64,0) + +inst_537: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x2, 68,0) + +inst_538: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333334, 0x6, 3f, x2, 72,0) + +inst_539: +// rs1_val==-46340 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x6, 0x200, 3f, x2, 76,0) + +inst_540: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555555, 0x2, 1b, x2, 80,0) + +inst_541: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555556, 0x0, 1b, x2, 84,0) + +inst_542: +// rs1_val==-46340 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x4, 0x4, 3f, x2, 88,0) + +inst_543: +// rs1_val==-46340 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb503, 0xa, 1b, x2, 92,0) + +inst_544: +// rs1_val==-46340 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x2, 96,0) + +inst_545: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666665, 0x556, 1b, x2, 100,0) + +inst_546: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333332, 0x200, 3f, x2, 104,0) + +inst_547: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555554, 0x80, 3f, x2, 108,0) + +inst_548: +// rs1_val==-46340 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x2, 0x4, 1b, x2, 112,0) + +inst_549: +// rs1_val==-46340 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb504, 0x2, 3f, x2, 116,0) + +inst_550: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb504, 0x4, 1b, x2, 120,0) + +inst_551: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666666, 0x400, 1b, x2, 124,0) + +inst_552: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333333, 0x10, 3f, x2, 128,0) + +inst_553: +// rs1_val==-46340 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x5, 0x8, 3f, x2, 132,0) + +inst_554: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555556, 0x6, 3f, x2, 136,0) + +inst_555: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555555, 0x80, 3f, x2, 140,0) + +inst_556: +// rs1_val==-46340 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x2, 144,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x2, 148,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb503, 0x100, 3f, x2, 152,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x2, 156,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333334, 0x100, 3f, x2, 160,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x6, 0x200, 3f, x2, 164,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555555, 0x2, 3f, x2, 168,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555556, 0x10, 3f, x2, 172,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x4, 0x4, 3f, x2, 176,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb503, 0x100, 3f, x2, 180,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x2, 184,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666665, 0x556, 1b, x2, 188,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333332, 0x200, 3f, x2, 192,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555554, 0x2, 1b, x2, 196,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x2, 0x400, 1b, x2, 200,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb504, 0x100, 3f, x2, 204,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb504, 0x2, 3f, x2, 208,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x2, 212,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x2, 216,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x5, 0x40, 3f, x2, 220,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555556, 0x556, 1b, x2, 224,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555555, 0x556, 1b, x2, 228,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x3, 0x556, 1b, x2, 232,0) + +inst_579: +// rs1_val==858993459 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb505, 0x400, 1b, x2, 236,0) + +inst_580: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb503, 0x10, 3f, x2, 240,0) + +inst_581: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666667, 0xa, 1b, x2, 244,0) + +inst_582: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333334, 0x200, 3f, x2, 248,0) + +inst_583: +// rs1_val==858993459 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x6, 0x0, 3f, x2, 252,0) + +inst_584: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555555, 0x4, 3f, x2, 256,0) + +inst_585: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555556, 0xa, 1b, x2, 260,0) + +inst_586: +// rs1_val==858993459 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x4, 0x400, 1b, x2, 264,0) + +inst_587: +// rs1_val==858993459 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x2, 268,0) + +inst_588: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 +// opcode: beq, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x4000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x2000001, -0x4000001, 0x8, 3f, x2, 272,0) + +inst_589: +// rs2_val == -524289, rs1_val == -536870913 +// opcode: beq, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20000001, -0x80001, 0x6, 1b, x2, 276,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 70*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S new file mode 100644 index 000000000..d8cd00477 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S @@ -0,0 +1,3016 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bge instruction of the RISC-V E extension for the bge covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bge) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x9, rs2==x9, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x9; op2:x9; op1val:-0x10001; op2val:-0x10001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x14, x9, x9, -0x10001, -0x10001, 0x400, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x8, rs2==x7, rs2_val == 2147483647, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x8; op2:x7; op1val:0x4; op2val:0x7fffffff; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x14, x8, x7, 0x4, 0x7fffffff, 0x10, 3f, x2, 4,0) + +inst_2: +// rs1==x5, rs2==x11, rs2_val == -1073741825, +// opcode: bge, op1:x5; op2:x11; op1val:0x4; op2val:-0x40000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x5, x11, 0x4, -0x40000001, 0x2, 1b, x2, 8,0) + +inst_3: +// rs1==x12, rs2==x0, rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x12; op2:x0; op1val:-0x8001; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x14, x12, x0, -0x8001, 0x0, 0x20, 3f, x2, 12,0) + +inst_4: +// rs1==x3, rs2==x4, rs2_val == -268435457, rs1_val == 536870912 +// opcode: bge, op1:x3; op2:x4; op1val:0x20000000; op2val:-0x10000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x3, x4, 0x20000000, -0x10000001, 0x2, 3f, x2, 16,0) + +inst_5: +// rs1==x11, rs2==x1, rs2_val == -134217729, rs1_val == 128, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x11; op2:x1; op1val:0x80; op2val:-0x8000001; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x14, x11, x1, 0x80, -0x8000001, 0x10, 3f, x2, 20,0) + +inst_6: +// rs1==x0, rs2==x12, rs2_val == -67108865, rs1_val == 256 +// opcode: bge, op1:x0; op2:x12; op1val:0x0; op2val:-0x4000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x14, x0, x12, 0x0, -0x4000001, 0x556, 1b, x2, 24,0) + +inst_7: +// rs1==x7, rs2==x6, rs2_val == -33554433, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x7; op2:x6; op1val:0x80; op2val:-0x2000001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x14, x7, x6, 0x80, -0x2000001, 0x4, 1b, x2, 28,0) + +inst_8: +// rs1==x13, rs2==x10, rs2_val == -16777217, rs1_val == 8388608 +// opcode: bge, op1:x13; op2:x10; op1val:0x800000; op2val:-0x1000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x13, x10, 0x800000, -0x1000001, 0x2, 1b, x2, 32,0) +RVTEST_SIGBASE( x7,signature_x7_0) + +inst_9: +// rs1==x1, rs2==x3, rs2_val == -8388609, rs1_val == 268435456 +// opcode: bge, op1:x1; op2:x3; op1val:0x10000000; op2val:-0x800001; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x9, x1, x3, 0x10000000, -0x800001, 0x80, 3f, x7, 0,0) + +inst_10: +// rs1==x2, rs2==x13, rs2_val == -4194305, rs1_val == 32768 +// opcode: bge, op1:x2; op2:x13; op1val:0x8000; op2val:-0x400001; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x9, x2, x13, 0x8000, -0x400001, 0x40, 3f, x7, 4,0) + +inst_11: +// rs1==x14, rs2==x5, rs2_val == -2097153, rs1_val == 2147483647 +// opcode: bge, op1:x14; op2:x5; op1val:0x7fffffff; op2val:-0x200001; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x9, x14, x5, 0x7fffffff, -0x200001, 0x100, 3f, x7, 8,0) + +inst_12: +// rs1==x10, rs2==x15, rs2_val == -1048577, rs1_val == -262145 +// opcode: bge, op1:x10; op2:x15; op1val:-0x40001; op2val:-0x100001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x9, x10, x15, -0x40001, -0x100001, 0x8, 3f, x7, 12,0) + +inst_13: +// rs1==x6, rs2==x8, rs2_val == -524289, +// opcode: bge, op1:x6; op2:x8; op1val:0x0; op2val:-0x80001; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x9, x6, x8, 0x0, -0x80001, 0x0, 1b, x7, 16,0) + +inst_14: +// rs1==x4, rs2==x14, rs2_val == -262145, rs1_val == 65536 +// opcode: bge, op1:x4; op2:x14; op1val:0x10000; op2val:-0x40001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x9, x4, x14, 0x10000, -0x40001, 0x2, 1b, x7, 20,0) + +inst_15: +// rs1==x15, rs2==x2, rs2_val == -131073, +// opcode: bge, op1:x15; op2:x2; op1val:0x55555554; op2val:-0x20001; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x15, x2, 0x55555554, -0x20001, 0x80, 3f, x7, 24,0) + +inst_16: +// rs2_val == -65537, rs1_val == -3 +// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:-0x10001; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, -0x10001, 0x0, 1b, x7, 28,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs2_val == -32769, rs1_val == 1431655765 +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x8001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x8001, 0x8, 3f, x1, 0,0) + +inst_18: +// rs2_val == -16385, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x4001; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x4001, 0x100, 3f, x1, 4,0) + +inst_19: +// rs2_val == -8193, rs1_val == -131073 +// opcode: bge, op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x20001, -0x2001, 0x4, 3f, x1, 8,0) + +inst_20: +// rs2_val == -4097, rs1_val == -1048577 +// opcode: bge, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x1001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x100001, -0x1001, 0x400, 1b, x1, 12,0) + +inst_21: +// rs2_val == -2049, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x801; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x801, 0x20, 3f, x1, 16,0) + +inst_22: +// rs2_val == -1025, rs1_val == 512 +// opcode: bge, op1:x10; op2:x11; op1val:0x200; op2val:-0x401; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x200, -0x401, 0x4, 3f, x1, 20,0) + +inst_23: +// rs2_val == -513, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x201, 0x556, 1b, x1, 24,0) + +inst_24: +// rs2_val == -257, rs1_val == -16777217 +// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x101; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x101, 0x40, 3f, x1, 28,0) + +inst_25: +// rs2_val == -129, +// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x81; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x81, 0x0, 3f, x1, 32,0) + +inst_26: +// rs2_val == -65, +// opcode: bge, op1:x10; op2:x11; op1val:0x80; op2val:-0x41; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x80, -0x41, 0x400, 3f, x1, 36,0) + +inst_27: +// rs2_val == -33, +// opcode: bge, op1:x10; op2:x11; op1val:-0x1; op2val:-0x21; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1, -0x21, 0x100, 3f, x1, 40,0) + +inst_28: +// rs2_val == -17, +// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x11; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x11, 0xa, 1b, x1, 44,0) + +inst_29: +// rs2_val == -9, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x9; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x9, 0x2, 3f, x1, 48,0) + +inst_30: +// rs2_val == -5, rs1_val == 67108864 +// opcode: bge, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000000, -0x5, 0x20, 3f, x1, 52,0) + +inst_31: +// rs2_val == -3, +// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x3, 0x10, 3f, x1, 56,0) + +inst_32: +// rs2_val == -2, rs1_val == -2049 +// opcode: bge, op1:x10; op2:x11; op1val:-0x801; op2val:-0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x801, -0x2, 0x4, 3f, x1, 60,0) + +inst_33: +// rs1_val == -1073741825, rs2_val == 262144 +// opcode: bge, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x40000001, 0x40000, 0xa, 1b, x1, 64,0) + +inst_34: +// rs1_val == -536870913, rs2_val == 32 +// opcode: bge, op1:x10; op2:x11; op1val:-0x20000001; op2val:0x20; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x20000001, 0x20, 0xa, 1b, x1, 68,0) + +inst_35: +// rs1_val == -268435457, +// opcode: bge, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x10000001, 0x0, 0x2, 1b, x1, 72,0) + +inst_36: +// rs1_val == -134217729, +// opcode: bge, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x7; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x8000001, -0x7, 0x20, 3f, x1, 76,0) + +inst_37: +// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x7fffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x4000001, 0x7fffffff, 0x400, 1b, x1, 80,0) + +inst_38: +// rs1_val == -33554433, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2000001, 0x33333333, 0x8, 3f, x1, 84,0) + +inst_39: +// rs1_val == -8388609, +// opcode: bge, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x800001, -0x40001, 0x8, 3f, x1, 88,0) + +inst_40: +// rs1_val == -4194305, +// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, -0x4, 0x0, 1b, x1, 92,0) + +inst_41: +// rs1_val == -2097153, rs2_val == 2 +// opcode: bge, op1:x10; op2:x11; op1val:-0x200001; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x200001, 0x2, 0x0, 1b, x1, 96,0) + +inst_42: +// rs1_val == -524289, +// opcode: bge, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x4001; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x80001, -0x4001, 0xa, 1b, x1, 100,0) + +inst_43: +// rs1_val == -16385, rs2_val == 1073741824 +// opcode: bge, op1:x10; op2:x11; op1val:-0x4001; op2val:0x40000000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x4001, 0x40000000, 0x40, 3f, x1, 104,0) + +inst_44: +// rs1_val == -8193, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2001; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2001, 0x2, 0x4, 3f, x1, 108,0) + +inst_45: +// rs1_val == -4097, rs2_val == -1431655766 +// opcode: bge, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1001, -0x55555556, 0xa, 1b, x1, 112,0) + +inst_46: +// rs1_val == -1025, +// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:-0x10000001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, -0x10000001, 0x400, 3f, x1, 116,0) + +inst_47: +// rs1_val == -513, +// opcode: bge, op1:x10; op2:x11; op1val:-0x201; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x201, 0x0, 0x556, 1b, x1, 120,0) + +inst_48: +// rs1_val == -257, +// opcode: bge, op1:x10; op2:x11; op1val:-0x101; op2val:0x20; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x101, 0x20, 0x4, 1b, x1, 124,0) + +inst_49: +// rs1_val == -129, +// opcode: bge, op1:x10; op2:x11; op1val:-0x81; op2val:-0x40000001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x81, -0x40000001, 0x8, 1b, x1, 128,0) + +inst_50: +// rs1_val == -65, +// opcode: bge, op1:x10; op2:x11; op1val:-0x41; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x41, 0xb503, 0x8, 1b, x1, 132,0) + +inst_51: +// rs1_val == -33, +// opcode: bge, op1:x10; op2:x11; op1val:-0x21; op2val:-0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x21, -0x40000000, 0x2, 3f, x1, 136,0) + +inst_52: +// rs1_val == -17, +// opcode: bge, op1:x10; op2:x11; op1val:-0x11; op2val:-0x100001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x11, -0x100001, 0x4, 3f, x1, 140,0) + +inst_53: +// rs1_val == -9, +// opcode: bge, op1:x10; op2:x11; op1val:-0x9; op2val:-0x2001; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x9, -0x2001, 0x200, 3f, x1, 144,0) + +inst_54: +// rs1_val == -5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:-0x201; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, -0x201, 0x2, 1b, x1, 148,0) + +inst_55: +// rs1_val == -2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2, 0x33333334, 0x100, 3f, x1, 152,0) + +inst_56: +// rs2_val == -2147483648, rs1_val == -1431655766 +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x80000000, 0x6, 1b, x1, 156,0) + +inst_57: +// rs2_val == 536870912, rs1_val == 32 +// opcode: bge, op1:x10; op2:x11; op1val:0x20; op2val:0x20000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x20, 0x20000000, 0x6, 3f, x1, 160,0) + +inst_58: +// rs2_val == 268435456, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x10000000, 0x4, 1b, x1, 164,0) + +inst_59: +// rs2_val == 134217728, rs1_val == 524288 +// opcode: bge, op1:x10; op2:x11; op1val:0x80000; op2val:0x8000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x80000, 0x8000000, 0x4, 1b, x1, 168,0) + +inst_60: +// rs2_val == 67108864, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4000000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4000000, 0xa, 1b, x1, 172,0) + +inst_61: +// rs2_val == 33554432, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2000000, 0x6, 3f, x1, 176,0) + +inst_62: +// rs2_val == 16777216, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1000000; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x1000000, 0x10, 3f, x1, 180,0) + +inst_63: +// rs2_val == 8388608, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800000, 0x800000, 0x80, 3f, x1, 184,0) + +inst_64: +// rs2_val == 4194304, +// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, 0x400000, 0x6, 3f, x1, 188,0) + +inst_65: +// rs2_val == 2097152, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x200000; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x200000, 0x80, 3f, x1, 192,0) + +inst_66: +// rs2_val == 1048576, +// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:0x100000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, 0x100000, 0x40, 3f, x1, 196,0) + +inst_67: +// rs2_val == 524288, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000000; op2val:0x80000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000000, 0x80000, 0x4, 3f, x1, 200,0) + +inst_68: +// rs2_val == 131072, rs1_val == 8 +// opcode: bge, op1:x10; op2:x11; op1val:0x8; op2val:0x20000; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x8, 0x20000, 0x556, 1b, x1, 204,0) + +inst_69: +// rs2_val == 65536, rs1_val == 1024 +// opcode: bge, op1:x10; op2:x11; op1val:0x400; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x400, 0x10000, 0x40, 3f, x1, 208,0) + +inst_70: +// rs2_val == 32768, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x8000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x8000, 0xa, 1b, x1, 212,0) + +inst_71: +// rs2_val == 16384, rs1_val == 2048 +// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x4000; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x4000, 0x200, 3f, x1, 216,0) + +inst_72: +// rs2_val == 8192, +// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:0x2000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, 0x2000, 0x40, 3f, x1, 220,0) + +inst_73: +// rs2_val == 4096, rs1_val == 2 +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x1000; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x1000, 0x0, 3f, x1, 224,0) + +inst_74: +// rs2_val == 2048, +// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x800; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x800, 0x400, 3f, x1, 228,0) + +inst_75: +// rs2_val == 1024, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x400; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x400, 0x8, 3f, x1, 232,0) + +inst_76: +// rs2_val == 512, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x200, 0x400, 3f, x1, 236,0) + +inst_77: +// rs2_val == 256, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x100; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x100, 0x400, 3f, x1, 240,0) + +inst_78: +// rs2_val == 128, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x80, 0x8, 1b, x1, 244,0) + +inst_79: +// rs2_val == 64, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x40; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x40, 0x100, 3f, x1, 248,0) + +inst_80: +// rs2_val == 16, +// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:0x10; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, 0x10, 0x200, 3f, x1, 252,0) + +inst_81: +// rs2_val == 8, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x8; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x8, 0x400, 3f, x1, 256,0) + +inst_82: +// rs2_val == 4, rs1_val == 131072 +// opcode: bge, op1:x10; op2:x11; op1val:0x20000; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x20000, 0x4, 0x6, 3f, x1, 260,0) + +inst_83: +// rs2_val == 1, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x1, 0x40, 3f, x1, 264,0) + +inst_84: +// rs1_val == -2147483648, +// opcode: bge, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x80000000, 0x2, 0x8, 1b, x1, 268,0) + +inst_85: +// rs1_val == 1073741824, +// opcode: bge, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x401; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000000, -0x401, 0x10, 3f, x1, 272,0) + +inst_86: +// rs1_val == 134217728, +// opcode: bge, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x8000000, -0x2, 0xa, 1b, x1, 276,0) + +inst_87: +// rs1_val == 33554432, +// opcode: bge, op1:x10; op2:x11; op1val:0x2000000; op2val:-0x40000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000000, -0x40000001, 0x2, 3f, x1, 280,0) + +inst_88: +// rs1_val == 16777216, +// opcode: bge, op1:x10; op2:x11; op1val:0x1000000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000000, 0x0, 0x2, 3f, x1, 284,0) + +inst_89: +// rs1_val == 4194304, +// opcode: bge, op1:x10; op2:x11; op1val:0x400000; op2val:-0x8000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x400000, -0x8000001, 0x2, 3f, x1, 288,0) + +inst_90: +// rs1_val == 2097152, +// opcode: bge, op1:x10; op2:x11; op1val:0x200000; op2val:0x4000000; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x200000, 0x4000000, 0x0, 1b, x1, 292,0) + +inst_91: +// rs1_val == 1048576, +// opcode: bge, op1:x10; op2:x11; op1val:0x100000; op2val:-0x401; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100000, -0x401, 0x0, 1b, x1, 296,0) + +inst_92: +// rs1_val == 262144, +// opcode: bge, op1:x10; op2:x11; op1val:0x40000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000, 0x0, 0x2, 3f, x1, 300,0) + +inst_93: +// rs1_val == 16384, +// opcode: bge, op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000, 0x8000000, 0x4, 3f, x1, 304,0) + +inst_94: +// rs1_val == 8192, +// opcode: bge, op1:x10; op2:x11; op1val:0x2000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000, 0x0, 0x2, 3f, x1, 308,0) + +inst_95: +// rs1_val == 4096, +// opcode: bge, op1:x10; op2:x11; op1val:0x1000; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000, -0xb503, 0x4, 3f, x1, 312,0) + +inst_96: +// rs1_val == 64, +// opcode: bge, op1:x10; op2:x11; op1val:0x40; op2val:-0x1000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40, -0x1000001, 0x556, 1b, x1, 316,0) + +inst_97: +// rs1_val == 16, +// opcode: bge, op1:x10; op2:x11; op1val:0x10; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10, 0x0, 0x4, 3f, x1, 320,0) + +inst_98: +// rs1_val == 1, +// opcode: bge, op1:x10; op2:x11; op1val:0x1; op2val:0x3fffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1, 0x3fffffff, 0x100, 3f, x1, 324,0) + +inst_99: +// rs1_val==46341 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb505, 0x20, 3f, x1, 328,0) + +inst_100: +// rs1_val==46341 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb503, 0x200, 3f, x1, 332,0) + +inst_101: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666667, 0x0, 3f, x1, 336,0) + +inst_102: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333334, 0x8, 3f, x1, 340,0) + +inst_103: +// rs1_val==46341 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x6, 0x10, 3f, x1, 344,0) + +inst_104: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555555, 0x4, 1b, x1, 348,0) + +inst_105: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555556, 0xa, 1b, x1, 352,0) + +inst_106: +// rs1_val==46341 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x4, 0x100, 3f, x1, 356,0) + +inst_107: +// rs1_val==46341 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb503, 0x2, 1b, x1, 360,0) + +inst_108: +// rs1_val==46341 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x0, 0x4, 1b, x1, 364,0) + +inst_109: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x1, 368,0) + +inst_110: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333332, 0x0, 1b, x1, 372,0) + +inst_111: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555554, 0x400, 1b, x1, 376,0) + +inst_112: +// rs1_val==46341 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x2, 0x2, 1b, x1, 380,0) + +inst_113: +// rs1_val==46341 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb504, 0x2, 3f, x1, 384,0) + +inst_114: +// rs1_val==46341 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb504, 0x20, 3f, x1, 388,0) + +inst_115: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x1, 392,0) + +inst_116: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333333, 0x200, 3f, x1, 396,0) + +inst_117: +// rs1_val==46341 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x5, 0x10, 3f, x1, 400,0) + +inst_118: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555556, 0x20, 3f, x1, 404,0) + +inst_119: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 408,0) + +inst_120: +// rs1_val==46341 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x3, 0x10, 3f, x1, 412,0) + +inst_121: +// rs1_val==-46339 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb505, 0x100, 3f, x1, 416,0) + +inst_122: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb503, 0x2, 3f, x1, 420,0) + +inst_123: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666667, 0x4, 3f, x1, 424,0) + +inst_124: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333334, 0x2, 1b, x1, 428,0) + +inst_125: +// rs1_val==-46339 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x6, 0x4, 1b, x1, 432,0) + +inst_126: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555555, 0x4, 3f, x1, 436,0) + +inst_127: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555556, 0x2, 3f, x1, 440,0) + +inst_128: +// rs1_val==-46339 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x4, 0x8, 1b, x1, 444,0) + +inst_129: +// rs1_val==-46339 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb503, 0x40, 3f, x1, 448,0) + +inst_130: +// rs1_val==-46339 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x0, 0x80, 3f, x1, 452,0) + +inst_131: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666665, 0x100, 3f, x1, 456,0) + +inst_132: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333332, 0x4, 3f, x1, 460,0) + +inst_133: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555554, 0x556, 1b, x1, 464,0) + +inst_134: +// rs1_val==-46339 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x2, 0x100, 3f, x1, 468,0) + +inst_135: +// rs1_val==-46339 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb504, 0x200, 3f, x1, 472,0) + +inst_136: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb504, 0x400, 1b, x1, 476,0) + +inst_137: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666666, 0x2, 3f, x1, 480,0) + +inst_138: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333333, 0x0, 3f, x1, 484,0) + +inst_139: +// rs1_val==-46339 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x5, 0x8, 3f, x1, 488,0) + +inst_140: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555556, 0x2, 1b, x1, 492,0) + +inst_141: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555555, 0x556, 1b, x1, 496,0) + +inst_142: +// rs1_val==-46339 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x3, 0x4, 1b, x1, 500,0) + +inst_143: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb505, 0x8, 3f, x1, 504,0) + +inst_144: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb503, 0x2, 1b, x1, 508,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666667, 0xa, 1b, x1, 512,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333334, 0x100, 3f, x1, 516,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x6, 0x10, 3f, x1, 520,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555555, 0x100, 3f, x1, 524,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x1, 528,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x4, 0x6, 1b, x1, 532,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x1, 536,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x0, 0x100, 3f, x1, 540,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666665, 0x2, 1b, x1, 544,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x1, 548,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555554, 0x556, 1b, x1, 552,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x2, 0x100, 3f, x1, 556,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb504, 0x10, 3f, x1, 560,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb504, 0x200, 3f, x1, 564,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666666, 0x0, 3f, x1, 568,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333333, 0x400, 1b, x1, 572,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x5, 0x200, 3f, x1, 576,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555556, 0x2, 3f, x1, 580,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555555, 0x10, 3f, x1, 584,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x3, 0x2, 3f, x1, 588,0) + +inst_165: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x1, 592,0) + +inst_166: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb503, 0x10, 3f, x1, 596,0) + +inst_167: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666667, 0x100, 3f, x1, 600,0) + +inst_168: +// rs1_val==858993460 and rs2_val==858993460, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x1, 604,0) + +inst_169: +// rs1_val==858993460 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x6, 0x8, 1b, x1, 608,0) + +inst_170: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555555, 0x6, 1b, x1, 612,0) + +inst_171: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555556, 0x8, 3f, x1, 616,0) + +inst_172: +// rs1_val==858993460 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x4, 0x400, 1b, x1, 620,0) + +inst_173: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x1, 624,0) + +inst_174: +// rs1_val==858993460 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x0, 0x10, 3f, x1, 628,0) + +inst_175: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666665, 0x400, 3f, x1, 632,0) + +inst_176: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333332, 0x80, 3f, x1, 636,0) + +inst_177: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555554, 0x2, 1b, x1, 640,0) + +inst_178: +// rs1_val==858993460 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x2, 0x0, 1b, x1, 644,0) + +inst_179: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb504, 0x400, 3f, x1, 648,0) + +inst_180: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb504, 0x10, 3f, x1, 652,0) + +inst_181: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666666, 0x6, 3f, x1, 656,0) + +inst_182: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333333, 0x0, 3f, x1, 660,0) + +inst_183: +// rs1_val==858993460 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x5, 0x0, 1b, x1, 664,0) + +inst_184: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555556, 0x6, 1b, x1, 668,0) + +inst_185: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555555, 0x2, 3f, x1, 672,0) + +inst_186: +// rs1_val==858993460 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x3, 0x8, 3f, x1, 676,0) + +inst_187: +// rs1_val==6 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 680,0) + +inst_188: +// rs1_val==6 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb503, 0x40, 3f, x1, 684,0) + +inst_189: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666667, 0x4, 3f, x1, 688,0) + +inst_190: +// rs1_val==6 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333334, 0x4, 1b, x1, 692,0) + +inst_191: +// rs1_val==6 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x6, 0x0, 1b, x1, 696,0) + +inst_192: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555555, 0x2, 1b, x1, 700,0) + +inst_193: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555556, 0x10, 3f, x1, 704,0) + +inst_194: +// rs1_val==6 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x4, 0x400, 3f, x1, 708,0) + +inst_195: +// rs1_val==6 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb503, 0x10, 3f, x1, 712,0) + +inst_196: +// rs1_val==6 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x0, 0x0, 1b, x1, 716,0) + +inst_197: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666665, 0x80, 3f, x1, 720,0) + +inst_198: +// rs1_val==6 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333332, 0x40, 3f, x1, 724,0) + +inst_199: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555554, 0xa, 1b, x1, 728,0) + +inst_200: +// rs1_val==6 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x2, 0x2, 1b, x1, 732,0) + +inst_201: +// rs1_val==6 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb504, 0x6, 3f, x1, 736,0) + +inst_202: +// rs1_val==6 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb504, 0x400, 3f, x1, 740,0) + +inst_203: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666666, 0x6, 1b, x1, 744,0) + +inst_204: +// rs1_val==6 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333333, 0x2, 1b, x1, 748,0) + +inst_205: +// rs1_val==6 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 752,0) + +inst_206: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555556, 0x0, 1b, x1, 756,0) + +inst_207: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x1, 760,0) + +inst_208: +// rs1_val==6 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x3, 0x2, 3f, x1, 764,0) + +inst_209: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb505, 0x2, 1b, x1, 768,0) + +inst_210: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb503, 0x4, 3f, x1, 772,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666667, 0x0, 3f, x1, 776,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333334, 0x0, 1b, x1, 780,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 784,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555555, 0x10, 3f, x1, 788,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555556, 0x8, 3f, x1, 792,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x4, 0x4, 3f, x1, 796,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb503, 0x20, 3f, x1, 800,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x0, 0x20, 3f, x1, 804,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666665, 0x2, 1b, x1, 808,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333332, 0x200, 3f, x1, 812,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555554, 0x400, 3f, x1, 816,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x2, 0x6, 3f, x1, 820,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb504, 0x6, 3f, x1, 824,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb504, 0x0, 3f, x1, 828,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666666, 0x400, 3f, x1, 832,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333333, 0x8, 3f, x1, 836,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x5, 0x8, 1b, x1, 840,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555556, 0x2, 1b, x1, 844,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x1, 848,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x3, 0x8, 1b, x1, 852,0) + +inst_231: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb505, 0x40, 3f, x1, 856,0) + +inst_232: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb503, 0x556, 1b, x1, 860,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666667, 0x2, 3f, x1, 864,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x1, 868,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x6, 0x40, 3f, x1, 872,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555555, 0x6, 3f, x1, 876,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555556, 0x2, 1b, x1, 880,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x4, 0x10, 3f, x1, 884,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb503, 0x6, 1b, x1, 888,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x0, 0x10, 3f, x1, 892,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x1, 896,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333332, 0x400, 3f, x1, 900,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555554, 0x100, 3f, x1, 904,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x2, 0x10, 3f, x1, 908,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb504, 0x400, 3f, x1, 912,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb504, 0x8, 1b, x1, 916,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666666, 0x100, 3f, x1, 920,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x1, 924,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x5, 0xa, 1b, x1, 928,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555556, 0x0, 1b, x1, 932,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555555, 0x200, 3f, x1, 936,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 940,0) + +inst_253: +// rs1_val==4 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb505, 0x20, 3f, x1, 944,0) + +inst_254: +// rs1_val==4 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb503, 0x200, 3f, x1, 948,0) + +inst_255: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666667, 0x2, 3f, x1, 952,0) + +inst_256: +// rs1_val==4 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x1, 956,0) + +inst_257: +// rs1_val==4 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x6, 0xa, 1b, x1, 960,0) + +inst_258: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555555, 0x20, 3f, x1, 964,0) + +inst_259: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555556, 0xa, 1b, x1, 968,0) + +inst_260: +// rs1_val==4 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x4, 0x6, 1b, x1, 972,0) + +inst_261: +// rs1_val==4 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb503, 0x400, 1b, x1, 976,0) + +inst_262: +// rs1_val==4 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x0, 0x100, 3f, x1, 980,0) + +inst_263: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666665, 0x100, 3f, x1, 984,0) + +inst_264: +// rs1_val==4 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333332, 0x400, 3f, x1, 988,0) + +inst_265: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555554, 0x200, 3f, x1, 992,0) + +inst_266: +// rs1_val==4 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x2, 0x80, 3f, x1, 996,0) + +inst_267: +// rs1_val==4 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb504, 0x400, 1b, x1, 1000,0) + +inst_268: +// rs1_val==4 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb504, 0x0, 3f, x1, 1004,0) + +inst_269: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666666, 0x10, 3f, x1, 1008,0) + +inst_270: +// rs1_val==4 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1012,0) + +inst_271: +// rs1_val==4 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x5, 0x80, 3f, x1, 1016,0) + +inst_272: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555556, 0x6, 3f, x1, 1020,0) + +inst_273: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555555, 0x0, 1b, x1, 1024,0) + +inst_274: +// rs1_val==4 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x3, 0x40, 3f, x1, 1028,0) + +inst_275: +// rs1_val==46339 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb505, 0x2, 1b, x1, 1032,0) + +inst_276: +// rs1_val==46339 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb503, 0x400, 1b, x1, 1036,0) + +inst_277: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x1, 1040,0) + +inst_278: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x1, 1044,0) + +inst_279: +// rs1_val==46339 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x6, 0x2, 3f, x1, 1048,0) + +inst_280: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1052,0) + +inst_281: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555556, 0x2, 1b, x1, 1056,0) + +inst_282: +// rs1_val==46339 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4, 0x2, 1b, x1, 1060,0) + +inst_283: +// rs1_val==46339 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb503, 0x6, 3f, x1, 1064,0) + +inst_284: +// rs1_val==46339 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x0, 0x20, 3f, x1, 1068,0) + +inst_285: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666665, 0x0, 1b, x1, 1072,0) + +inst_286: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333332, 0x0, 1b, x1, 1076,0) + +inst_287: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x1, 1080,0) + +inst_288: +// rs1_val==46339 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x2, 0x8, 1b, x1, 1084,0) + +inst_289: +// rs1_val==46339 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb504, 0x4, 3f, x1, 1088,0) + +inst_290: +// rs1_val==46339 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb504, 0x200, 3f, x1, 1092,0) + +inst_291: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x1, 1096,0) + +inst_292: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333333, 0x100, 3f, x1, 1100,0) + +inst_293: +// rs1_val==46339 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x5, 0x400, 1b, x1, 1104,0) + +inst_294: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555556, 0x4, 1b, x1, 1108,0) + +inst_295: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555555, 0x8, 1b, x1, 1112,0) + +inst_296: +// rs1_val==46339 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x1, 1116,0) + +inst_297: +// rs1_val==0 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb505, 0x2, 1b, x1, 1120,0) + +inst_298: +// rs1_val==0 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb503, 0x8, 3f, x1, 1124,0) + +inst_299: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666667, 0x20, 3f, x1, 1128,0) + +inst_300: +// rs1_val==0 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333334, 0x0, 3f, x1, 1132,0) + +inst_301: +// rs1_val==0 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x6, 0x2, 3f, x1, 1136,0) + +inst_302: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555555, 0x10, 3f, x1, 1140,0) + +inst_303: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555556, 0x4, 3f, x1, 1144,0) + +inst_304: +// rs1_val==0 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x4, 0x0, 1b, x1, 1148,0) + +inst_305: +// rs1_val==0 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb503, 0x2, 3f, x1, 1152,0) + +inst_306: +// rs1_val==0 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x0, 0x8, 1b, x1, 1156,0) + +inst_307: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666665, 0x10, 3f, x1, 1160,0) + +inst_308: +// rs1_val==0 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333332, 0x100, 3f, x1, 1164,0) + +inst_309: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555554, 0x6, 1b, x1, 1168,0) + +inst_310: +// rs1_val==0 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x2, 0x4, 3f, x1, 1172,0) + +inst_311: +// rs1_val==0 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb504, 0x100, 3f, x1, 1176,0) + +inst_312: +// rs1_val==0 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb504, 0x80, 3f, x1, 1180,0) + +inst_313: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666666, 0x2, 1b, x1, 1184,0) + +inst_314: +// rs1_val==0 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333333, 0xa, 1b, x1, 1188,0) + +inst_315: +// rs1_val==0 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x5, 0x80, 3f, x1, 1192,0) + +inst_316: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1196,0) + +inst_317: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555555, 0x400, 3f, x1, 1200,0) + +inst_318: +// rs1_val==0 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x3, 0x100, 3f, x1, 1204,0) + +inst_319: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x1, 1208,0) + +inst_320: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb503, 0x4, 3f, x1, 1212,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666667, 0x8, 1b, x1, 1216,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333334, 0x556, 1b, x1, 1220,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x6, 0x8, 1b, x1, 1224,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x1, 1228,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555556, 0x4, 3f, x1, 1232,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x4, 0x6, 1b, x1, 1236,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb503, 0x8, 1b, x1, 1240,0) + +inst_328: +// rs1_val==858993459 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x0, 0x80, 3f, x1, 1244,0) + +inst_329: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x1, 1248,0) + +inst_330: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x1, 1252,0) + +inst_331: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555554, 0x40, 3f, x1, 1256,0) + +inst_332: +// rs1_val==858993459 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x2, 0x4, 3f, x1, 1260,0) + +inst_333: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb504, 0x0, 3f, x1, 1264,0) + +inst_334: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb504, 0x8, 1b, x1, 1268,0) + +inst_335: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666666, 0x8, 1b, x1, 1272,0) + +inst_336: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333333, 0x556, 1b, x1, 1276,0) + +inst_337: +// rs1_val==858993459 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x5, 0x6, 3f, x1, 1280,0) + +inst_338: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1284,0) + +inst_339: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555555, 0x556, 1b, x1, 1288,0) + +inst_340: +// rs1_val==858993459 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x3, 0x0, 1b, x1, 1292,0) + +inst_341: +// rs1_val==5 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb505, 0x556, 1b, x1, 1296,0) + +inst_342: +// rs1_val==5 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb503, 0x200, 3f, x1, 1300,0) + +inst_343: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666667, 0x0, 1b, x1, 1304,0) + +inst_344: +// rs1_val==5 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333334, 0x6, 1b, x1, 1308,0) + +inst_345: +// rs1_val==5 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x6, 0x2, 3f, x1, 1312,0) + +inst_346: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555555, 0x20, 3f, x1, 1316,0) + +inst_347: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555556, 0x400, 3f, x1, 1320,0) + +inst_348: +// rs1_val==5 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x1, 1324,0) + +inst_349: +// rs1_val==5 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb503, 0x6, 1b, x1, 1328,0) + +inst_350: +// rs1_val==5 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x0, 0x200, 3f, x1, 1332,0) + +inst_351: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666665, 0x6, 3f, x1, 1336,0) + +inst_352: +// rs1_val==5 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333332, 0x556, 1b, x1, 1340,0) + +inst_353: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555554, 0x2, 1b, x1, 1344,0) + +inst_354: +// rs1_val==5 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x2, 0x6, 1b, x1, 1348,0) + +inst_355: +// rs1_val==5 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb504, 0xa, 1b, x1, 1352,0) + +inst_356: +// rs1_val==5 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb504, 0x2, 1b, x1, 1356,0) + +inst_357: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x1, 1360,0) + +inst_358: +// rs1_val==5 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333333, 0x80, 3f, x1, 1364,0) + +inst_359: +// rs1_val==5 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x1, 1368,0) + +inst_360: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1372,0) + +inst_361: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555555, 0x100, 3f, x1, 1376,0) + +inst_362: +// rs1_val==5 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x3, 0x6, 3f, x1, 1380,0) + +inst_363: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x1, 1384,0) + +inst_364: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb503, 0xa, 1b, x1, 1388,0) + +inst_365: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666667, 0x400, 3f, x1, 1392,0) + +inst_366: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333334, 0x200, 3f, x1, 1396,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x6, 0x40, 3f, x1, 1400,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555555, 0x8, 1b, x1, 1404,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555556, 0x8, 1b, x1, 1408,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x4, 0x2, 1b, x1, 1412,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb503, 0x20, 3f, x1, 1416,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x0, 0x0, 1b, x1, 1420,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666665, 0x200, 3f, x1, 1424,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333332, 0xa, 1b, x1, 1428,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555554, 0x2, 3f, x1, 1432,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x2, 0x6, 3f, x1, 1436,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb504, 0x4, 3f, x1, 1440,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb504, 0x2, 3f, x1, 1444,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666666, 0x2, 3f, x1, 1448,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333333, 0x200, 3f, x1, 1452,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x5, 0x556, 1b, x1, 1456,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555556, 0x8, 3f, x1, 1460,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555555, 0x200, 3f, x1, 1464,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x3, 0x4, 3f, x1, 1468,0) + +inst_385: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb505, 0xa, 1b, x1, 1472,0) + +inst_386: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb503, 0x4, 3f, x1, 1476,0) + +inst_387: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x1, 1480,0) + +inst_388: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333334, 0x0, 3f, x1, 1484,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x6, 0x6, 3f, x1, 1488,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555555, 0x6, 1b, x1, 1492,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555556, 0x8, 3f, x1, 1496,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x4, 0x200, 3f, x1, 1500,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb503, 0x0, 3f, x1, 1504,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1508,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x1, 1512,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333332, 0x40, 3f, x1, 1516,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555554, 0x4, 1b, x1, 1520,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x2, 0x10, 3f, x1, 1524,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb504, 0x8, 3f, x1, 1528,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb504, 0x2, 3f, x1, 1532,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666666, 0x20, 3f, x1, 1536,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333333, 0xa, 1b, x1, 1540,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x5, 0x6, 1b, x1, 1544,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555556, 0x8, 3f, x1, 1548,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555555, 0x200, 3f, x1, 1552,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x3, 0x6, 3f, x1, 1556,0) + +inst_407: +// rs1_val==3 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb505, 0x0, 1b, x1, 1560,0) + +inst_408: +// rs1_val==3 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb503, 0x80, 3f, x1, 1564,0) + +inst_409: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666667, 0x2, 3f, x1, 1568,0) + +inst_410: +// rs1_val==3 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333334, 0x4, 3f, x1, 1572,0) + +inst_411: +// rs1_val==3 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x6, 0x8, 3f, x1, 1576,0) + +inst_412: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555555, 0x10, 3f, x1, 1580,0) + +inst_413: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555556, 0x4, 3f, x1, 1584,0) + +inst_414: +// rs1_val==3 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x4, 0x2, 1b, x1, 1588,0) + +inst_415: +// rs1_val==3 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb503, 0x8, 1b, x1, 1592,0) + +inst_416: +// rs1_val==3 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x0, 0x80, 3f, x1, 1596,0) + +inst_417: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666665, 0x20, 3f, x1, 1600,0) + +inst_418: +// rs1_val==3 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333332, 0x0, 3f, x1, 1604,0) + +inst_419: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555554, 0x80, 3f, x1, 1608,0) + +inst_420: +// rs1_val==3 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x2, 0x8, 3f, x1, 1612,0) + +inst_421: +// rs1_val==3 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb504, 0x400, 3f, x1, 1616,0) + +inst_422: +// rs1_val==3 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb504, 0x100, 3f, x1, 1620,0) + +inst_423: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x1, 1624,0) + +inst_424: +// rs1_val==3 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333333, 0x400, 3f, x1, 1628,0) + +inst_425: +// rs1_val==3 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x5, 0x200, 3f, x1, 1632,0) + +inst_426: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555556, 0x400, 1b, x1, 1636,0) + +inst_427: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555555, 0x400, 1b, x1, 1640,0) + +inst_428: +// rs1_val==3 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x3, 0x4, 1b, x1, 1644,0) + +inst_429: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x0, 0x8, 1b, x1, 1648,0) + +inst_430: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666665, 0xa, 1b, x1, 1652,0) + +inst_431: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333332, 0x200, 3f, x1, 1656,0) + +inst_432: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555554, 0x400, 1b, x1, 1660,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x2, 0x556, 1b, x1, 1664,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb504, 0x40, 3f, x1, 1668,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb504, 0x8, 1b, x1, 1672,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 1b, x1, 1676,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x1, 1680,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x5, 0x0, 3f, x1, 1684,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555556, 0x400, 3f, x1, 1688,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x1, 1692,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x3, 0x10, 3f, x1, 1696,0) + +inst_442: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb505, 0x4, 1b, x1, 1700,0) + +inst_443: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb503, 0x8, 3f, x1, 1704,0) + +inst_444: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666667, 0x4, 3f, x1, 1708,0) + +inst_445: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333334, 0x6, 3f, x1, 1712,0) + +inst_446: +// rs1_val==858993458 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x6, 0x20, 3f, x1, 1716,0) + +inst_447: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555555, 0x2, 3f, x1, 1720,0) + +inst_448: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555556, 0x2, 3f, x1, 1724,0) + +inst_449: +// rs1_val==858993458 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x4, 0x0, 1b, x1, 1728,0) + +inst_450: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb503, 0x6, 3f, x1, 1732,0) + +inst_451: +// rs1_val==858993458 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x0, 0xa, 1b, x1, 1736,0) + +inst_452: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666665, 0x8, 3f, x1, 1740,0) + +inst_453: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x1, 1744,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555554, 0x556, 1b, x1, 1748,0) + +inst_455: +// rs1_val==858993458 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x2, 0x100, 3f, x1, 1752,0) + +inst_456: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb504, 0x8, 3f, x1, 1756,0) + +inst_457: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb504, 0x100, 3f, x1, 1760,0) + +inst_458: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666666, 0x400, 1b, x1, 1764,0) + +inst_459: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333333, 0x400, 1b, x1, 1768,0) + +inst_460: +// rs1_val==858993458 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x1, 1772,0) + +inst_461: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555556, 0x4, 3f, x1, 1776,0) + +inst_462: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555555, 0x6, 1b, x1, 1780,0) + +inst_463: +// rs1_val==858993458 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x3, 0x10, 3f, x1, 1784,0) + +inst_464: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb505, 0x4, 3f, x1, 1788,0) + +inst_465: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb503, 0x8, 1b, x1, 1792,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666667, 0x2, 1b, x1, 1796,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1800,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x6, 0x2, 3f, x1, 1804,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555555, 0x8, 1b, x1, 1808,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1812,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1816,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x1, 1820,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x0, 0x2, 3f, x1, 1824,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666665, 0x40, 3f, x1, 1828,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x1, 1832,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555554, 0x2, 1b, x1, 1836,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2, 0x80, 3f, x1, 1840,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x1, 1844,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb504, 0x20, 3f, x1, 1848,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666666, 0x40, 3f, x1, 1852,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333333, 0x400, 1b, x1, 1856,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x5, 0x400, 1b, x1, 1860,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555556, 0x40, 3f, x1, 1864,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555555, 0x0, 1b, x1, 1868,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x3, 0x556, 1b, x1, 1872,0) + +inst_486: +// rs1_val==2 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb505, 0x400, 3f, x1, 1876,0) + +inst_487: +// rs1_val==2 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb503, 0x80, 3f, x1, 1880,0) + +inst_488: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666667, 0x4, 3f, x1, 1884,0) + +inst_489: +// rs1_val==2 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333334, 0x100, 3f, x1, 1888,0) + +inst_490: +// rs1_val==2 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x6, 0x20, 3f, x1, 1892,0) + +inst_491: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555555, 0x200, 3f, x1, 1896,0) + +inst_492: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555556, 0x80, 3f, x1, 1900,0) + +inst_493: +// rs1_val==2 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x4, 0x80, 3f, x1, 1904,0) + +inst_494: +// rs1_val==2 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb503, 0x8, 1b, x1, 1908,0) + +inst_495: +// rs1_val==2 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x0, 0x2, 3f, x1, 1912,0) + +inst_496: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666665, 0x8, 1b, x1, 1916,0) + +inst_497: +// rs1_val==2 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333332, 0x2, 3f, x1, 1920,0) + +inst_498: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555554, 0x100, 3f, x1, 1924,0) + +inst_499: +// rs1_val==2 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x2, 0x100, 3f, x1, 1928,0) + +inst_500: +// rs1_val==2 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb504, 0x2, 1b, x1, 1932,0) + +inst_501: +// rs1_val==2 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb504, 0x4, 3f, x1, 1936,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666666, 0x4, 3f, x1, 1940,0) + +inst_503: +// rs1_val==2 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x1, 1944,0) + +inst_504: +// rs1_val==2 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x5, 0x6, 1b, x1, 1948,0) + +inst_505: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555556, 0x6, 1b, x1, 1952,0) + +inst_506: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555555, 0x80, 3f, x1, 1956,0) + +inst_507: +// rs1_val==2 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x1, 1960,0) + +inst_508: +// rs1_val==46340 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb505, 0x2, 1b, x1, 1964,0) + +inst_509: +// rs1_val==46340 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb503, 0x100, 3f, x1, 1968,0) + +inst_510: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666667, 0x80, 3f, x1, 1972,0) + +inst_511: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333334, 0x10, 3f, x1, 1976,0) + +inst_512: +// rs1_val==46340 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x6, 0x2, 3f, x1, 1980,0) + +inst_513: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555555, 0x20, 3f, x1, 1984,0) + +inst_514: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x1, 1988,0) + +inst_515: +// rs1_val==46340 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x4, 0x8, 1b, x1, 1992,0) + +inst_516: +// rs1_val==46340 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x1, 1996,0) + +inst_517: +// rs1_val==46340 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2000,0) + +inst_518: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666665, 0x100, 3f, x1, 2004,0) + +inst_519: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x1, 2008,0) + +inst_520: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555554, 0x6, 3f, x1, 2012,0) + +inst_521: +// rs1_val==46340 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x2, 0x100, 3f, x1, 2016,0) + +inst_522: +// rs1_val==46340 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb504, 0x4, 3f, x1, 2020,0) + +inst_523: +// rs1_val==46340 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb504, 0xa, 1b, x1, 2024,0) + +inst_524: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x1, 2028,0) + +inst_525: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333333, 0x8, 3f, x1, 2032,0) + +inst_526: +// rs1_val==46340 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x5, 0x0, 1b, x1, 2036,0) + +inst_527: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555556, 0x6, 1b, x1, 2040,0) + +inst_528: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555555, 0x100, 3f, x1, 2044,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_529: +// rs1_val==46340 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x3, 0x8, 3f, x1, 0,0) + +inst_530: +// rs1_val==-46340 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb505, 0x400, 1b, x1, 4,0) + +inst_531: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb503, 0x6, 3f, x1, 8,0) + +inst_532: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x1, 12,0) + +inst_533: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333334, 0x100, 3f, x1, 16,0) + +inst_534: +// rs1_val==-46340 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x6, 0x400, 1b, x1, 20,0) + +inst_535: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x1, 24,0) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555556, 0x20, 3f, x1, 28,0) + +inst_537: +// rs1_val==-46340 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x4, 0x2, 1b, x1, 32,0) + +inst_538: +// rs1_val==-46340 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 36,0) + +inst_539: +// rs1_val==-46340 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x0, 0x2, 1b, x1, 40,0) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x1, 44,0) + +inst_541: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333332, 0x2, 3f, x1, 48,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555554, 0x6, 3f, x1, 52,0) + +inst_543: +// rs1_val==-46340 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x2, 0x6, 1b, x1, 56,0) + +inst_544: +// rs1_val==-46340 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb504, 0x2, 3f, x1, 60,0) + +inst_545: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb504, 0x556, 1b, x1, 64,0) + +inst_546: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666666, 0x20, 3f, x1, 68,0) + +inst_547: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333333, 0x40, 3f, x1, 72,0) + +inst_548: +// rs1_val==-46340 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x5, 0x2, 3f, x1, 76,0) + +inst_549: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555556, 0x400, 1b, x1, 80,0) + +inst_550: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555555, 0x100, 3f, x1, 84,0) + +inst_551: +// rs1_val==-46340 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 88,0) + +inst_552: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb505, 0x10, 3f, x1, 92,0) + +inst_553: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb503, 0x20, 3f, x1, 96,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666667, 0x2, 1b, x1, 100,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 104,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x6, 0x10, 3f, x1, 108,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555555, 0x4, 1b, x1, 112,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555556, 0x2, 3f, x1, 116,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x1, 120,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb503, 0x6, 3f, x1, 124,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x0, 0x80, 3f, x1, 128,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666665, 0x2, 1b, x1, 132,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x1, 136,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x1, 140,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x2, 0x2, 1b, x1, 144,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb504, 0x0, 1b, x1, 148,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb504, 0x20, 3f, x1, 152,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x1, 156,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333333, 0x200, 3f, x1, 160,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x1, 164,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 168,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x1, 172,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x3, 0x6, 1b, x1, 176,0) + +inst_574: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb505, 0x20, 3f, x1, 180,0) + +inst_575: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb503, 0x6, 1b, x1, 184,0) + +inst_576: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666667, 0x400, 1b, x1, 188,0) + +inst_577: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333334, 0x0, 3f, x1, 192,0) + +inst_578: +// rs1_val==858993459 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 196,0) + +inst_579: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555555, 0x8, 3f, x1, 200,0) + +inst_580: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555556, 0x80, 3f, x1, 204,0) + +inst_581: +// rs1_val==858993459 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x4, 0x200, 3f, x1, 208,0) + +inst_582: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb503, 0x8, 1b, x1, 212,0) + +inst_583: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x8; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x10001, -0x8, 0x400, 1b, x1, 216,0) + +inst_584: +// rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x20000001; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x8001, -0x20000001, 0x20, 3f, x1, 220,0) + +inst_585: +// rs2_val == -67108865, rs1_val == 256 +// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:-0x4000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, -0x4000001, 0x556, 1b, x1, 224,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x7_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S new file mode 100644 index 000000000..43bf2ec72 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S @@ -0,0 +1,3721 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bgeu instruction of the RISC-V E extension for the bgeu covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bgeu) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x5, rs2==x5, rs1_val > 0 and rs2_val > 0, rs2_val == 4294967039, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 +// opcode: bgeu, op1:x5; op2:x5; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x3, x5, x5, 0xdfffffff, 0xdfffffff, 0x400, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x1, rs2==x10, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs1_val == 4261412863 +// opcode: bgeu, op1:x1; op2:x10; op1val:0xfdffffff; op2val:0x7fffffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x3, x1, x10, 0xfdffffff, 0x7fffffff, 0x4, 3f, x2, 4,0) + +inst_2: +// rs1==x0, rs2==x9, rs2_val == 3221225471, +// opcode: bgeu, op1:x0; op2:x9; op1val:0x0; op2val:0xbfffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x3, x0, x9, 0x0, 0xbfffffff, 0xa, 1b, x2, 8,0) + +inst_3: +// rs1==x7, rs2==x11, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 +// opcode: bgeu, op1:x7; op2:x11; op1val:0x13; op2val:0xdfffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x3, x7, x11, 0x13, 0xdfffffff, 0x6, 3f, x2, 12,0) + +inst_4: +// rs1==x6, rs2==x12, rs2_val == 4026531839, rs1_val == 2 +// opcode: bgeu, op1:x6; op2:x12; op1val:0x2; op2val:0xefffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x3, x6, x12, 0x2, 0xefffffff, 0x8, 3f, x2, 16,0) + +inst_5: +// rs1==x9, rs2==x13, rs2_val == 4160749567, rs1_val == 3221225471 +// opcode: bgeu, op1:x9; op2:x13; op1val:0xbfffffff; op2val:0xf7ffffff; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x3, x9, x13, 0xbfffffff, 0xf7ffffff, 0x10, 3f, x2, 20,0) + +inst_6: +// rs1==x11, rs2==x7, rs2_val == 4227858431, +// opcode: bgeu, op1:x11; op2:x7; op1val:0xb505; op2val:0xfbffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x3, x11, x7, 0xb505, 0xfbffffff, 0x2, 3f, x2, 24,0) + +inst_7: +// rs1==x13, rs2==x6, rs2_val == 4261412863, rs1_val == 1 +// opcode: bgeu, op1:x13; op2:x6; op1val:0x1; op2val:0xfdffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x3, x13, x6, 0x1, 0xfdffffff, 0x2, 3f, x2, 28,0) + +inst_8: +// rs1==x12, rs2==x15, rs2_val == 4278190079, +// opcode: bgeu, op1:x12; op2:x15; op1val:0x66666666; op2val:0xfeffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x3, x12, x15, 0x66666666, 0xfeffffff, 0x0, 1b, x2, 32,0) + +inst_9: +// rs1==x10, rs2==x14, rs2_val == 4286578687, +// opcode: bgeu, op1:x10; op2:x14; op1val:0x33333333; op2val:0xff7fffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x3, x10, x14, 0x33333333, 0xff7fffff, 0x6, 3f, x2, 36,0) + +inst_10: +// rs1==x3, rs2==x8, rs2_val == 4290772991, +// opcode: bgeu, op1:x3; op2:x8; op1val:0x12; op2val:0xffbfffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x3, x8, 0x12, 0xffbfffff, 0x4, 1b, x2, 40,0) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_11: +// rs1==x4, rs2==x2, rs2_val == 4292870143, +// opcode: bgeu, op1:x4; op2:x2; op1val:0x6; op2val:0xffdfffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x4, x2, 0x6, 0xffdfffff, 0x6, 1b, x5, 0,0) + +inst_12: +// rs1==x15, rs2==x3, rs2_val == 4293918719, +// opcode: bgeu, op1:x15; op2:x3; op1val:0x5; op2val:0xffefffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x15, x3, 0x5, 0xffefffff, 0x2, 1b, x5, 4,0) + +inst_13: +// rs1==x14, rs2==x1, rs2_val == 4294443007, rs1_val == 4293918719 +// opcode: bgeu, op1:x14; op2:x1; op1val:0xffefffff; op2val:0xfff7ffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x14, x1, 0xffefffff, 0xfff7ffff, 0x80, 3f, x5, 8,0) + +inst_14: +// rs1==x8, rs2==x4, rs2_val == 4294705151, +// opcode: bgeu, op1:x8; op2:x4; op1val:0x3; op2val:0xfffbffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x8, x4, 0x3, 0xfffbffff, 0x4, 1b, x5, 12,0) + +inst_15: +// rs1==x2, rs2==x0, rs2_val == 4294836223, rs1_val == 2147483647 +// opcode: bgeu, op1:x2; op2:x0; op1val:0x7fffffff; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x2, x0, 0x7fffffff, 0x0, 0x2, 1b, x5, 16,0) + +inst_16: +// rs2_val == 4294901759, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffeffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffeffff, 0x4, 3f, x5, 20,0) + +inst_17: +// rs2_val == 4294934527, rs1_val == 4 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff7fff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff7fff, 0x6, 3f, x5, 24,0) + +inst_18: +// rs2_val == 4294950911, rs1_val == 4294443007 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0xffffbfff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfff7ffff, 0xffffbfff, 0x2, 1b, x5, 28,0) + +inst_19: +// rs2_val == 4294959103, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffffdfff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffffdfff, 0x20, 3f, x5, 32,0) + +inst_20: +// rs2_val == 4294963199, rs1_val == 4286578687 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0xffffefff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xff7fffff, 0xffffefff, 0x100, 3f, x5, 36,0) + +inst_21: +// rs2_val == 4294965247, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffff7ff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffff7ff, 0x400, 1b, x5, 40,0) + +inst_22: +// rs2_val == 4294966271, rs1_val == 268435456 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xfffffbff; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000000, 0xfffffbff, 0x8, 1b, x5, 44,0) + +inst_23: +// rs2_val == 4294966783, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffffdff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffffdff, 0x4, 3f, x5, 48,0) + +inst_24: +// rs2_val == 4294967167, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffffff7f; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffffff7f, 0x0, 3f, x5, 52,0) + +inst_25: +// rs2_val == 4294967231, rs1_val == 4294967293 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xffffffbf; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffd, 0xffffffbf, 0x0, 3f, x5, 56,0) + +inst_26: +// rs2_val == 4294967263, rs1_val == 16777216 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0xffffffdf; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0xffffffdf, 0x100, 3f, x5, 60,0) + +inst_27: +// rs2_val == 4294967279, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xffffffef; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xffffffef, 0x80, 3f, x5, 64,0) + +inst_28: +// rs2_val == 4294967287, rs1_val == 67108864 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000000; op2val:0xfffffff7; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000000, 0xfffffff7, 0xa, 1b, x5, 68,0) + +inst_29: +// rs2_val == 4294967291, rs1_val == 32768 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffffb; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000, 0xfffffffb, 0xa, 1b, x5, 72,0) + +inst_30: +// rs2_val == 4294967293, rs1_val == 524288 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000; op2val:0xfffffffd; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000, 0xfffffffd, 0x10, 3f, x5, 76,0) + +inst_31: +// rs2_val == 4294967294, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xdfffffff; op2val:0xfffffffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xdfffffff, 0xfffffffe, 0x6, 1b, x5, 80,0) + +inst_32: +// rs1_val == 4026531839, rs2_val == 1073741824 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xefffffff, 0x40000000, 0x2, 3f, x5, 84,0) + +inst_33: +// rs1_val == 4160749567, rs2_val == 67108864 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xf7ffffff, 0x4000000, 0x2, 3f, x5, 88,0) + +inst_34: +// rs1_val == 4227858431, rs2_val == 32768 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x8000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x8000, 0x4, 3f, x5, 92,0) + +inst_35: +// rs1_val == 4278190079, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xc; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfeffffff, 0xc, 0x4, 3f, x5, 96,0) + +inst_36: +// rs1_val == 4290772991, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0xffffffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffbfffff, 0xffffffff, 0x556, 1b, x5, 100,0) + +inst_37: +// rs1_val == 4292870143, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x8000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffdfffff, 0x8000, 0x10, 3f, x5, 104,0) + +inst_38: +// rs1_val == 4294705151, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfffffeff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffbffff, 0xfffffeff, 0x0, 1b, x5, 108,0) + +inst_39: +// rs1_val == 4294836223, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffdffff, 0x5, 0x0, 1b, x5, 112,0) + +inst_40: +// rs1_val == 4294901759, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0xfffff7ff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffeffff, 0xfffff7ff, 0x20, 3f, x5, 116,0) + +inst_41: +// rs1_val == 4294934527, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0xc; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0xc, 0xa, 1b, x5, 120,0) + +inst_42: +// rs1_val == 4294950911, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0xfffffffd; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffbfff, 0xfffffffd, 0x20, 3f, x5, 124,0) + +inst_43: +// rs1_val == 4294959103, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xfeffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffdfff, 0xfeffffff, 0x100, 3f, x5, 128,0) + +inst_44: +// rs1_val == 4294963199, rs2_val == 16777216 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x1000000, 0x10, 3f, x5, 132,0) + +inst_45: +// rs1_val == 4294965247, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0xfffffbff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0xfffffbff, 0x400, 3f, x5, 136,0) + +inst_46: +// rs1_val == 4294966271, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xefffffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffbff, 0xefffffff, 0x80, 3f, x5, 140,0) + +inst_47: +// rs1_val == 4294966783, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x1000000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffdff, 0x1000000, 0x6, 1b, x5, 144,0) + +inst_48: +// rs1_val == 4294967039, rs2_val == 268435456 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x10000000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffeff, 0x10000000, 0x0, 3f, x5, 148,0) + +inst_49: +// rs1_val == 4294967167, rs2_val == 256 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x100; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x100, 0x6, 3f, x5, 152,0) + +inst_50: +// rs1_val == 4294967231, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0xffffffbf; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffbf, 0xffffffbf, 0x100, 3f, x5, 156,0) + +inst_51: +// rs1_val == 4294967263, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xffffffbf; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0xffffffbf, 0x6, 1b, x5, 160,0) + +inst_52: +// rs1_val == 4294967279, rs2_val == 2048 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x800; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffef, 0x800, 0xa, 1b, x5, 164,0) + +inst_53: +// rs1_val == 4294967287, rs2_val == 134217728 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x8000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffff7, 0x8000000, 0x2, 1b, x5, 168,0) + +inst_54: +// rs1_val == 4294967291, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0xfffffeff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffb, 0xfffffeff, 0x400, 1b, x5, 172,0) + +inst_55: +// rs1_val == 4294967294, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0xe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffe, 0xe, 0x400, 3f, x5, 176,0) + +inst_56: +// rs2_val == 2147483648, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x80000000; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0x80000000, 0x80, 3f, x5, 180,0) + +inst_57: +// rs2_val == 536870912, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x20000000; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x20000000, 0x556, 1b, x5, 184,0) + +inst_58: +// rs2_val == 33554432, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x2000000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x2000000, 0x200, 3f, x5, 188,0) + +inst_59: +// rs2_val == 8388608, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x800000, 0x200, 3f, x5, 192,0) + +inst_60: +// rs2_val == 4194304, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x400000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0x400000, 0x6, 3f, x5, 196,0) + +inst_61: +// rs2_val == 2097152, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x200000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x200000, 0x8, 1b, x5, 200,0) + +inst_62: +// rs2_val == 1048576, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x100000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x100000, 0x0, 3f, x5, 204,0) + +inst_63: +// rs2_val == 524288, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x7; op2val:0x80000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7, 0x80000, 0x10, 3f, x5, 208,0) + +inst_64: +// rs2_val == 262144, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x40000, 0x0, 3f, x5, 212,0) + +inst_65: +// rs2_val == 131072, rs1_val == 65536 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x20000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x20000, 0x200, 3f, x5, 216,0) + +inst_66: +// rs2_val == 65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x10000, 0x40, 3f, x5, 220,0) + +inst_67: +// rs2_val == 16384, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xc; op2val:0x4000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xc, 0x4000, 0x2, 3f, x5, 224,0) + +inst_68: +// rs2_val == 8192, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2000, 0x6, 3f, x5, 228,0) + +inst_69: +// rs2_val == 4096, rs1_val == 32 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20; op2val:0x1000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20, 0x1000, 0x2, 1b, x5, 232,0) + +inst_70: +// rs2_val == 1024, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x400; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x400, 0x6, 1b, x5, 236,0) + +inst_71: +// rs2_val == 512, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x200, 0x4, 3f, x5, 240,0) + +inst_72: +// rs2_val == 128, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x80; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x80, 0x100, 3f, x5, 244,0) + +inst_73: +// rs2_val == 64, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x40; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x40, 0x8, 3f, x5, 248,0) + +inst_74: +// rs2_val == 32, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x20, 0x200, 3f, x5, 252,0) + +inst_75: +// rs2_val == 16, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x10; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x10, 0x6, 3f, x5, 256,0) + +inst_76: +// rs2_val == 8, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0x8; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0x8, 0x2, 1b, x5, 260,0) + +inst_77: +// rs2_val == 4, rs1_val==65536 and rs2_val==4 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x4, 0x2, 1b, x5, 264,0) + +inst_78: +// rs2_val == 2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x2, 0x4, 3f, x5, 268,0) + +inst_79: +// rs2_val == 1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x1; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x1, 0x10, 3f, x5, 272,0) + +inst_80: +// rs1_val == 2147483648, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000000; op2val:0xfffffff7; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000000, 0xfffffff7, 0x2, 1b, x5, 276,0) + +inst_81: +// rs1_val == 1073741824, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000000; op2val:0xb; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000000, 0xb, 0x100, 3f, x5, 280,0) + +inst_82: +// rs1_val == 536870912, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x800000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000000, 0x800000, 0x8, 3f, x5, 284,0) + +inst_83: +// rs1_val == 134217728, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000000; op2val:0xefffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000000, 0xefffffff, 0x100, 3f, x5, 288,0) + +inst_84: +// rs1_val == 33554432, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xb; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000000, 0xb, 0x200, 3f, x5, 292,0) + +inst_85: +// rs1_val == 8388608, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x800000; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800000, 0x4, 0x8, 1b, x5, 296,0) + +inst_86: +// rs1_val == 4194304, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x400000; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400000, 0x1, 0x0, 3f, x5, 300,0) + +inst_87: +// rs1_val == 2097152, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x200000; op2val:0x7fffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200000, 0x7fffffff, 0x400, 1b, x5, 304,0) + +inst_88: +// rs1_val == 1048576, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x100000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100000, 0x33333333, 0x2, 1b, x5, 308,0) + +inst_89: +// rs1_val == 262144, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000, 0x80, 0x8, 3f, x5, 312,0) + +inst_90: +// rs1_val == 131072, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000; op2val:0x20000000; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000, 0x20000000, 0x20, 3f, x5, 316,0) + +inst_91: +// rs1_val == 16384, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000, 0x66666666, 0x6, 3f, x5, 320,0) + +inst_92: +// rs1_val == 8192, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000, 0x66666666, 0x2, 1b, x5, 324,0) + +inst_93: +// rs1_val == 4096, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffeff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000, 0xfffffeff, 0x556, 1b, x5, 328,0) + +inst_94: +// rs1_val == 2048, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x800; op2val:0xfffffffd; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800, 0xfffffffd, 0x80, 3f, x5, 332,0) + +inst_95: +// rs1_val == 1024, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x400; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400, 0x0, 0x6, 3f, x5, 336,0) + +inst_96: +// rs1_val == 512, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x200; op2val:0xefffffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200, 0xefffffff, 0x556, 1b, x5, 340,0) + +inst_97: +// rs1_val == 256, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x100; op2val:0xb505; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100, 0xb505, 0x200, 3f, x5, 344,0) + +inst_98: +// rs1_val == 128, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80, 0x0, 0x4, 3f, x5, 348,0) + +inst_99: +// rs1_val == 64, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffefff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40, 0xffffefff, 0x556, 1b, x5, 352,0) + +inst_100: +// rs1_val == 16, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10, 0xfffffeff, 0x100, 3f, x5, 356,0) + +inst_101: +// rs1_val == 8, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8; op2val:0xffffefff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8, 0xffffefff, 0x6, 3f, x5, 360,0) + +inst_102: +// rs1_val==65536 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x10000, 0x0, 3f, x5, 364,0) + +inst_103: +// rs1_val==65536 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x1, 0x80, 3f, x5, 368,0) + +inst_104: +// rs1_val==65536 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb505, 0x10, 3f, x5, 372,0) + +inst_105: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666667, 0x556, 1b, x5, 376,0) + +inst_106: +// rs1_val==65536 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333334, 0x80, 3f, x5, 380,0) + +inst_107: +// rs1_val==65536 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x6, 0x400, 1b, x5, 384,0) + +inst_108: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaab, 0x100, 3f, x5, 388,0) + +inst_109: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555556, 0x20, 3f, x5, 392,0) + +inst_110: +// rs1_val==65536 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xfffe, 0x4, 3f, x5, 396,0) + +inst_111: +// rs1_val==65536 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x0, 0x100, 3f, x5, 400,0) + +inst_112: +// rs1_val==65536 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb503, 0x8, 1b, x5, 404,0) + +inst_113: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666665, 0x400, 1b, x5, 408,0) + +inst_114: +// rs1_val==65536 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333332, 0x8, 3f, x5, 412,0) + +inst_115: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaa9, 0x200, 3f, x5, 416,0) + +inst_116: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555554, 0x8, 1b, x5, 420,0) + +inst_117: +// rs1_val==65536 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x2, 0x40, 3f, x5, 424,0) + +inst_118: +// rs1_val==65536 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xffff, 0x80, 3f, x5, 428,0) + +inst_119: +// rs1_val==65536 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb504, 0x100, 3f, x5, 432,0) + +inst_120: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666666, 0x4, 3f, x5, 436,0) + +inst_121: +// rs1_val==65536 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x5, 440,0) + +inst_122: +// rs1_val==65536 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x5, 0x4, 1b, x5, 444,0) + +inst_123: +// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaaa, 0x2, 3f, x5, 448,0) + +inst_124: +// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555555, 0x20, 3f, x5, 452,0) + +inst_125: +// rs1_val==65536 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x3, 0x20, 3f, x5, 456,0) + +inst_126: +// rs1_val==1 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x10000, 0x4, 1b, x5, 460,0) + +inst_127: +// rs1_val==1 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x1, 0x100, 3f, x5, 464,0) + +inst_128: +// rs1_val==1 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb505, 0x80, 3f, x5, 468,0) + +inst_129: +// rs1_val==1 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666667, 0x2, 1b, x5, 472,0) + +inst_130: +// rs1_val==1 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333334, 0x4, 3f, x5, 476,0) + +inst_131: +// rs1_val==1 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x6, 0xa, 1b, x5, 480,0) + +inst_132: +// rs1_val==1 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaab, 0x400, 3f, x5, 484,0) + +inst_133: +// rs1_val==1 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555556, 0x400, 3f, x5, 488,0) + +inst_134: +// rs1_val==1 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x4, 0x80, 3f, x5, 492,0) + +inst_135: +// rs1_val==1 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xfffe, 0x400, 1b, x5, 496,0) + +inst_136: +// rs1_val==1 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x0, 0x2, 3f, x5, 500,0) + +inst_137: +// rs1_val==1 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb503, 0x8, 1b, x5, 504,0) + +inst_138: +// rs1_val==1 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666665, 0x556, 1b, x5, 508,0) + +inst_139: +// rs1_val==1 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333332, 0x40, 3f, x5, 512,0) + +inst_140: +// rs1_val==1 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaa9, 0x400, 3f, x5, 516,0) + +inst_141: +// rs1_val==1 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555554, 0x40, 3f, x5, 520,0) + +inst_142: +// rs1_val==1 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x2, 0x100, 3f, x5, 524,0) + +inst_143: +// rs1_val==1 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xffff, 0x20, 3f, x5, 528,0) + +inst_144: +// rs1_val==1 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb504, 0x4, 3f, x5, 532,0) + +inst_145: +// rs1_val==1 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666666, 0x10, 3f, x5, 536,0) + +inst_146: +// rs1_val==1 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333333, 0x8, 1b, x5, 540,0) + +inst_147: +// rs1_val==1 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x5, 0x8, 3f, x5, 544,0) + +inst_148: +// rs1_val==1 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaaa, 0x40, 3f, x5, 548,0) + +inst_149: +// rs1_val==1 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555555, 0x6, 1b, x5, 552,0) + +inst_150: +// rs1_val==1 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x3, 0x10, 3f, x5, 556,0) + +inst_151: +// rs1_val==46341 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x10000, 0x8, 3f, x5, 560,0) + +inst_152: +// rs1_val==46341 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x1, 0x200, 3f, x5, 564,0) + +inst_153: +// rs1_val==46341 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb505, 0x400, 3f, x5, 568,0) + +inst_154: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666667, 0x556, 1b, x5, 572,0) + +inst_155: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333334, 0x10, 3f, x5, 576,0) + +inst_156: +// rs1_val==46341 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x6, 0x20, 3f, x5, 580,0) + +inst_157: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaab, 0x4, 1b, x5, 584,0) + +inst_158: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555556, 0x6, 3f, x5, 588,0) + +inst_159: +// rs1_val==46341 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x4, 0x4, 1b, x5, 592,0) + +inst_160: +// rs1_val==46341 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xfffe, 0x556, 1b, x5, 596,0) + +inst_161: +// rs1_val==46341 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x0, 0x6, 1b, x5, 600,0) + +inst_162: +// rs1_val==46341 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb503, 0xa, 1b, x5, 604,0) + +inst_163: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x5, 608,0) + +inst_164: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333332, 0x200, 3f, x5, 612,0) + +inst_165: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaa9, 0x0, 3f, x5, 616,0) + +inst_166: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555554, 0x2, 1b, x5, 620,0) + +inst_167: +// rs1_val==46341 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x2, 0x80, 3f, x5, 624,0) + +inst_168: +// rs1_val==46341 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xffff, 0x6, 1b, x5, 628,0) + +inst_169: +// rs1_val==46341 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb504, 0x40, 3f, x5, 632,0) + +inst_170: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666666, 0x6, 1b, x5, 636,0) + +inst_171: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333333, 0xa, 1b, x5, 640,0) + +inst_172: +// rs1_val==46341 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x5, 0x20, 3f, x5, 644,0) + +inst_173: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaaa, 0x20, 3f, x5, 648,0) + +inst_174: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x5, 652,0) + +inst_175: +// rs1_val==46341 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x3, 0x40, 3f, x5, 656,0) + +inst_176: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x10000, 0x4, 3f, x5, 660,0) + +inst_177: +// rs1_val==1717986919 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x1, 0x200, 3f, x5, 664,0) + +inst_178: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb505, 0x400, 1b, x5, 668,0) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666667, 0x6, 3f, x5, 672,0) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333334, 0x400, 1b, x5, 676,0) + +inst_181: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x6, 0x6, 3f, x5, 680,0) + +inst_182: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaab, 0x400, 1b, x5, 684,0) + +inst_183: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555556, 0x6, 3f, x5, 688,0) + +inst_184: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x4, 0x8, 1b, x5, 692,0) + +inst_185: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xfffe, 0x2, 3f, x5, 696,0) + +inst_186: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x0, 0x6, 1b, x5, 700,0) + +inst_187: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb503, 0x80, 3f, x5, 704,0) + +inst_188: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666665, 0x8, 1b, x5, 708,0) + +inst_189: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333332, 0x8, 1b, x5, 712,0) + +inst_190: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaa9, 0x20, 3f, x5, 716,0) + +inst_191: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555554, 0x200, 3f, x5, 720,0) + +inst_192: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x2, 0x4, 3f, x5, 724,0) + +inst_193: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xffff, 0x10, 3f, x5, 728,0) + +inst_194: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x5, 732,0) + +inst_195: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666666, 0x8, 1b, x5, 736,0) + +inst_196: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333333, 0x0, 3f, x5, 740,0) + +inst_197: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x5, 0x6, 3f, x5, 744,0) + +inst_198: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaaa, 0x556, 1b, x5, 748,0) + +inst_199: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555555, 0x80, 3f, x5, 752,0) + +inst_200: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x3, 0x4, 3f, x5, 756,0) + +inst_201: +// rs1_val==858993460 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x10000, 0x8, 3f, x5, 760,0) + +inst_202: +// rs1_val==858993460 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x1, 0x8, 3f, x5, 764,0) + +inst_203: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb505, 0x0, 3f, x5, 768,0) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x5, 772,0) + +inst_205: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333334, 0x200, 3f, x5, 776,0) + +inst_206: +// rs1_val==858993460 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x6, 0x4, 3f, x5, 780,0) + +inst_207: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaab, 0x8, 3f, x5, 784,0) + +inst_208: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555556, 0x10, 3f, x5, 788,0) + +inst_209: +// rs1_val==858993460 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x4, 0x40, 3f, x5, 792,0) + +inst_210: +// rs1_val==858993460 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xfffe, 0x10, 3f, x5, 796,0) + +inst_211: +// rs1_val==858993460 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x0, 0x400, 1b, x5, 800,0) + +inst_212: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x5, 804,0) + +inst_213: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666665, 0x6, 1b, x5, 808,0) + +inst_214: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333332, 0x2, 3f, x5, 812,0) + +inst_215: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaa9, 0x8, 3f, x5, 816,0) + +inst_216: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555554, 0x4, 3f, x5, 820,0) + +inst_217: +// rs1_val==858993460 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x2, 0x20, 3f, x5, 824,0) + +inst_218: +// rs1_val==858993460 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xffff, 0x40, 3f, x5, 828,0) + +inst_219: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb504, 0x10, 3f, x5, 832,0) + +inst_220: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666666, 0x4, 3f, x5, 836,0) + +inst_221: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333333, 0x400, 3f, x5, 840,0) + +inst_222: +// rs1_val==858993460 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x5, 0x0, 3f, x5, 844,0) + +inst_223: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaaa, 0x2, 3f, x5, 848,0) + +inst_224: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555555, 0x0, 1b, x5, 852,0) + +inst_225: +// rs1_val==858993460 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x3, 0x2, 1b, x5, 856,0) + +inst_226: +// rs1_val==6 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x10000, 0x4, 3f, x5, 860,0) + +inst_227: +// rs1_val==6 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x1, 0x20, 3f, x5, 864,0) + +inst_228: +// rs1_val==6 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb505, 0x100, 3f, x5, 868,0) + +inst_229: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666667, 0x2, 3f, x5, 872,0) + +inst_230: +// rs1_val==6 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333334, 0x556, 1b, x5, 876,0) + +inst_231: +// rs1_val==6 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x6, 0x4, 3f, x5, 880,0) + +inst_232: +// rs1_val==6 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaab, 0x20, 3f, x5, 884,0) + +inst_233: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555556, 0x4, 3f, x5, 888,0) + +inst_234: +// rs1_val==6 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x4, 0x4, 1b, x5, 892,0) + +inst_235: +// rs1_val==6 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffe, 0x6, 1b, x5, 896,0) + +inst_236: +// rs1_val==6 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x0, 0x556, 1b, x5, 900,0) + +inst_237: +// rs1_val==6 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb503, 0x10, 3f, x5, 904,0) + +inst_238: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666665, 0x8, 3f, x5, 908,0) + +inst_239: +// rs1_val==6 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333332, 0x4, 1b, x5, 912,0) + +inst_240: +// rs1_val==6 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaa9, 0x4, 1b, x5, 916,0) + +inst_241: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555554, 0x200, 3f, x5, 920,0) + +inst_242: +// rs1_val==6 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x2, 0x4, 3f, x5, 924,0) + +inst_243: +// rs1_val==6 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xffff, 0x4, 1b, x5, 928,0) + +inst_244: +// rs1_val==6 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb504, 0x80, 3f, x5, 932,0) + +inst_245: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666666, 0x6, 1b, x5, 936,0) + +inst_246: +// rs1_val==6 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333333, 0x2, 3f, x5, 940,0) + +inst_247: +// rs1_val==6 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x5, 0x40, 3f, x5, 944,0) + +inst_248: +// rs1_val==6 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaaa, 0x80, 3f, x5, 948,0) + +inst_249: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555555, 0x556, 1b, x5, 952,0) + +inst_250: +// rs1_val==6 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x3, 0x6, 1b, x5, 956,0) + +inst_251: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x10000, 0x400, 1b, x5, 960,0) + +inst_252: +// rs1_val==2863311531 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x1, 0xa, 1b, x5, 964,0) + +inst_253: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb505, 0x100, 3f, x5, 968,0) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666667, 0x100, 3f, x5, 972,0) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 1b, x5, 976,0) + +inst_256: +// rs1_val==2863311531 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x5, 980,0) + +inst_257: +// rs1_val==2863311531 and rs2_val==2863311531, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 1b, x5, 984,0) + +inst_258: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555556, 0x8, 3f, x5, 988,0) + +inst_259: +// rs1_val==2863311531 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x4, 0x6, 3f, x5, 992,0) + +inst_260: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xfffe, 0x6, 1b, x5, 996,0) + +inst_261: +// rs1_val==2863311531 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x0, 0x400, 1b, x5, 1000,0) + +inst_262: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb503, 0x8, 1b, x5, 1004,0) + +inst_263: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666665, 0x2, 3f, x5, 1008,0) + +inst_264: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333332, 0x0, 3f, x5, 1012,0) + +inst_265: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x8, 1b, x5, 1016,0) + +inst_266: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555554, 0x40, 3f, x5, 1020,0) + +inst_267: +// rs1_val==2863311531 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x2, 0x2, 1b, x5, 1024,0) + +inst_268: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xffff, 0x200, 3f, x5, 1028,0) + +inst_269: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb504, 0x8, 3f, x5, 1032,0) + +inst_270: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666666, 0x80, 3f, x5, 1036,0) + +inst_271: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333333, 0x6, 1b, x5, 1040,0) + +inst_272: +// rs1_val==2863311531 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x5, 0x2, 3f, x5, 1044,0) + +inst_273: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0xa, 1b, x5, 1048,0) + +inst_274: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555555, 0x40, 3f, x5, 1052,0) + +inst_275: +// rs1_val==2863311531 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x3, 0x6, 1b, x5, 1056,0) + +inst_276: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x10000, 0x4, 1b, x5, 1060,0) + +inst_277: +// rs1_val==1431655766 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x1, 0x0, 1b, x5, 1064,0) + +inst_278: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb505, 0x8, 1b, x5, 1068,0) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666667, 0x4, 1b, x5, 1072,0) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333334, 0x20, 3f, x5, 1076,0) + +inst_281: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x6, 0x6, 3f, x5, 1080,0) + +inst_282: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaab, 0x400, 3f, x5, 1084,0) + +inst_283: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555556, 0x20, 3f, x5, 1088,0) + +inst_284: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x4, 0x4, 3f, x5, 1092,0) + +inst_285: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xfffe, 0x8, 3f, x5, 1096,0) + +inst_286: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x0, 0x400, 3f, x5, 1100,0) + +inst_287: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x5, 1104,0) + +inst_288: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x5, 1108,0) + +inst_289: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333332, 0x556, 1b, x5, 1112,0) + +inst_290: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaa9, 0x6, 3f, x5, 1116,0) + +inst_291: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555554, 0x2, 3f, x5, 1120,0) + +inst_292: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x2, 0x20, 3f, x5, 1124,0) + +inst_293: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xffff, 0x400, 3f, x5, 1128,0) + +inst_294: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb504, 0x80, 3f, x5, 1132,0) + +inst_295: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666666, 0x80, 3f, x5, 1136,0) + +inst_296: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333333, 0x6, 1b, x5, 1140,0) + +inst_297: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x5, 0x80, 3f, x5, 1144,0) + +inst_298: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaaa, 0x8, 3f, x5, 1148,0) + +inst_299: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555555, 0x400, 3f, x5, 1152,0) + +inst_300: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x3, 0x2, 1b, x5, 1156,0) + +inst_301: +// rs1_val==4 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x10000, 0x2, 1b, x5, 1160,0) + +inst_302: +// rs1_val==4 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x1, 0x4, 1b, x5, 1164,0) + +inst_303: +// rs1_val==4 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb505, 0x2, 3f, x5, 1168,0) + +inst_304: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666667, 0x6, 3f, x5, 1172,0) + +inst_305: +// rs1_val==4 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333334, 0x0, 1b, x5, 1176,0) + +inst_306: +// rs1_val==4 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x6, 0x4, 1b, x5, 1180,0) + +inst_307: +// rs1_val==4 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaab, 0x4, 3f, x5, 1184,0) + +inst_308: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555556, 0x4, 3f, x5, 1188,0) + +inst_309: +// rs1_val==4 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x4, 0x0, 1b, x5, 1192,0) + +inst_310: +// rs1_val==4 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffe, 0x200, 3f, x5, 1196,0) + +inst_311: +// rs1_val==4 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x0, 0x2, 1b, x5, 1200,0) + +inst_312: +// rs1_val==4 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb503, 0xa, 1b, x5, 1204,0) + +inst_313: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666665, 0x8, 3f, x5, 1208,0) + +inst_314: +// rs1_val==4 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333332, 0x400, 1b, x5, 1212,0) + +inst_315: +// rs1_val==4 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaa9, 0x200, 3f, x5, 1216,0) + +inst_316: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555554, 0x100, 3f, x5, 1220,0) + +inst_317: +// rs1_val==4 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x2, 0x2, 3f, x5, 1224,0) + +inst_318: +// rs1_val==4 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff, 0x100, 3f, x5, 1228,0) + +inst_319: +// rs1_val==4 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb504, 0xa, 1b, x5, 1232,0) + +inst_320: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666666, 0x10, 3f, x5, 1236,0) + +inst_321: +// rs1_val==4 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333333, 0x80, 3f, x5, 1240,0) + +inst_322: +// rs1_val==4 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x5, 0x2, 1b, x5, 1244,0) + +inst_323: +// rs1_val==4 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaaa, 0x200, 3f, x5, 1248,0) + +inst_324: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555555, 0x10, 3f, x5, 1252,0) + +inst_325: +// rs1_val==4 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x3, 0x20, 3f, x5, 1256,0) + +inst_326: +// rs1_val==65534 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x5, 1260,0) + +inst_327: +// rs1_val==65534 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x1, 0x40, 3f, x5, 1264,0) + +inst_328: +// rs1_val==65534 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb505, 0x0, 3f, x5, 1268,0) + +inst_329: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666667, 0xa, 1b, x5, 1272,0) + +inst_330: +// rs1_val==65534 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x5, 1276,0) + +inst_331: +// rs1_val==65534 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x6, 0x20, 3f, x5, 1280,0) + +inst_332: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 1b, x5, 1284,0) + +inst_333: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555556, 0x10, 3f, x5, 1288,0) + +inst_334: +// rs1_val==65534 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x4, 0x400, 3f, x5, 1292,0) + +inst_335: +// rs1_val==65534 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xfffe, 0x556, 1b, x5, 1296,0) + +inst_336: +// rs1_val==65534 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x0, 0x2, 3f, x5, 1300,0) + +inst_337: +// rs1_val==65534 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb503, 0x0, 1b, x5, 1304,0) + +inst_338: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666665, 0x8, 1b, x5, 1308,0) + +inst_339: +// rs1_val==65534 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333332, 0x40, 3f, x5, 1312,0) + +inst_340: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaa9, 0x2, 1b, x5, 1316,0) + +inst_341: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555554, 0x6, 1b, x5, 1320,0) + +inst_342: +// rs1_val==65534 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x2, 0x2, 1b, x5, 1324,0) + +inst_343: +// rs1_val==65534 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xffff, 0xa, 1b, x5, 1328,0) + +inst_344: +// rs1_val==65534 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb504, 0x2, 3f, x5, 1332,0) + +inst_345: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x5, 1336,0) + +inst_346: +// rs1_val==65534 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333333, 0x2, 1b, x5, 1340,0) + +inst_347: +// rs1_val==65534 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x5, 0x400, 1b, x5, 1344,0) + +inst_348: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaaa, 0xa, 1b, x5, 1348,0) + +inst_349: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555555, 0x20, 3f, x5, 1352,0) + +inst_350: +// rs1_val==65534 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x3, 0x6, 1b, x5, 1356,0) + +inst_351: +// rs1_val==0 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x10000, 0x10, 3f, x5, 1360,0) + +inst_352: +// rs1_val==0 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x1, 0x6, 1b, x5, 1364,0) + +inst_353: +// rs1_val==0 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb505, 0x6, 3f, x5, 1368,0) + +inst_354: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666667, 0x400, 1b, x5, 1372,0) + +inst_355: +// rs1_val==0 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333334, 0x8, 1b, x5, 1376,0) + +inst_356: +// rs1_val==0 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x6, 0x80, 3f, x5, 1380,0) + +inst_357: +// rs1_val==0 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaab, 0x100, 3f, x5, 1384,0) + +inst_358: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555556, 0x0, 1b, x5, 1388,0) + +inst_359: +// rs1_val==0 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x4, 0x4, 3f, x5, 1392,0) + +inst_360: +// rs1_val==0 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xfffe, 0x200, 3f, x5, 1396,0) + +inst_361: +// rs1_val==0 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x0, 0x2, 1b, x5, 1400,0) + +inst_362: +// rs1_val==0 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb503, 0x400, 1b, x5, 1404,0) + +inst_363: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666665, 0x80, 3f, x5, 1408,0) + +inst_364: +// rs1_val==0 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333332, 0x200, 3f, x5, 1412,0) + +inst_365: +// rs1_val==0 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaa9, 0x6, 1b, x5, 1416,0) + +inst_366: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555554, 0xa, 1b, x5, 1420,0) + +inst_367: +// rs1_val==0 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x2, 0x4, 1b, x5, 1424,0) + +inst_368: +// rs1_val==0 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xffff, 0x556, 1b, x5, 1428,0) + +inst_369: +// rs1_val==0 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb504, 0x80, 3f, x5, 1432,0) + +inst_370: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666666, 0x2, 3f, x5, 1436,0) + +inst_371: +// rs1_val==0 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333333, 0x400, 3f, x5, 1440,0) + +inst_372: +// rs1_val==0 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x5, 0x4, 1b, x5, 1444,0) + +inst_373: +// rs1_val==0 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaaa, 0xa, 1b, x5, 1448,0) + +inst_374: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555555, 0x0, 1b, x5, 1452,0) + +inst_375: +// rs1_val==0 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x3, 0x10, 3f, x5, 1456,0) + +inst_376: +// rs1_val==46339 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x10000, 0x2, 1b, x5, 1460,0) + +inst_377: +// rs1_val==46339 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x1, 0x2, 3f, x5, 1464,0) + +inst_378: +// rs1_val==46339 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb505, 0x0, 3f, x5, 1468,0) + +inst_379: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666667, 0x0, 3f, x5, 1472,0) + +inst_380: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x5, 1476,0) + +inst_381: +// rs1_val==46339 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x6, 0x200, 3f, x5, 1480,0) + +inst_382: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaab, 0x4, 1b, x5, 1484,0) + +inst_383: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x5, 1488,0) + +inst_384: +// rs1_val==46339 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x4, 0x8, 3f, x5, 1492,0) + +inst_385: +// rs1_val==46339 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xfffe, 0x100, 3f, x5, 1496,0) + +inst_386: +// rs1_val==46339 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x0, 0x4, 1b, x5, 1500,0) + +inst_387: +// rs1_val==46339 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb503, 0x4, 1b, x5, 1504,0) + +inst_388: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666665, 0x4, 1b, x5, 1508,0) + +inst_389: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333332, 0x2, 1b, x5, 1512,0) + +inst_390: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaa9, 0x40, 3f, x5, 1516,0) + +inst_391: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555554, 0x400, 1b, x5, 1520,0) + +inst_392: +// rs1_val==46339 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x2, 0x10, 3f, x5, 1524,0) + +inst_393: +// rs1_val==46339 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xffff, 0x4, 1b, x5, 1528,0) + +inst_394: +// rs1_val==46339 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb504, 0x10, 3f, x5, 1532,0) + +inst_395: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x5, 1536,0) + +inst_396: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x5, 1540,0) + +inst_397: +// rs1_val==46339 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x5, 0xa, 1b, x5, 1544,0) + +inst_398: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaaa, 0x556, 1b, x5, 1548,0) + +inst_399: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555555, 0x0, 3f, x5, 1552,0) + +inst_400: +// rs1_val==46339 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x3, 0x40, 3f, x5, 1556,0) + +inst_401: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x10000, 0x6, 1b, x5, 1560,0) + +inst_402: +// rs1_val==1717986917 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x1, 0xa, 1b, x5, 1564,0) + +inst_403: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb505, 0x0, 3f, x5, 1568,0) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666667, 0x6, 3f, x5, 1572,0) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x5, 1576,0) + +inst_406: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x6, 0x0, 1b, x5, 1580,0) + +inst_407: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaab, 0x8, 3f, x5, 1584,0) + +inst_408: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555556, 0x200, 3f, x5, 1588,0) + +inst_409: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x4, 0x6, 1b, x5, 1592,0) + +inst_410: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xfffe, 0x200, 3f, x5, 1596,0) + +inst_411: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x0, 0x400, 1b, x5, 1600,0) + +inst_412: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x5, 1604,0) + +inst_413: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666665, 0x20, 3f, x5, 1608,0) + +inst_414: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333332, 0x0, 3f, x5, 1612,0) + +inst_415: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaa9, 0x4, 1b, x5, 1616,0) + +inst_416: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x5, 1620,0) + +inst_417: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x2, 0x40, 3f, x5, 1624,0) + +inst_418: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffff, 0x6, 3f, x5, 1628,0) + +inst_419: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb504, 0x80, 3f, x5, 1632,0) + +inst_420: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x5, 1636,0) + +inst_421: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333333, 0x10, 3f, x5, 1640,0) + +inst_422: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x5, 0x0, 1b, x5, 1644,0) + +inst_423: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaaa, 0x556, 1b, x5, 1648,0) + +inst_424: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555555, 0x200, 3f, x5, 1652,0) + +inst_425: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x3, 0x8, 1b, x5, 1656,0) + +inst_426: +// rs1_val==858993458 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x10000, 0x100, 3f, x5, 1660,0) + +inst_427: +// rs1_val==858993458 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x1, 0x8, 1b, x5, 1664,0) + +inst_428: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb505, 0x2, 1b, x5, 1668,0) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x5, 1672,0) + +inst_430: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333334, 0x10, 3f, x5, 1676,0) + +inst_431: +// rs1_val==858993458 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x6, 0xa, 1b, x5, 1680,0) + +inst_432: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaab, 0x100, 3f, x5, 1684,0) + +inst_433: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x5, 1688,0) + +inst_434: +// rs1_val==858993458 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x4, 0x100, 3f, x5, 1692,0) + +inst_435: +// rs1_val==858993458 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffe, 0x2, 3f, x5, 1696,0) + +inst_436: +// rs1_val==858993458 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x0, 0x80, 3f, x5, 1700,0) + +inst_437: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb503, 0x556, 1b, x5, 1704,0) + +inst_438: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666665, 0x400, 1b, x5, 1708,0) + +inst_439: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333332, 0x10, 3f, x5, 1712,0) + +inst_440: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaa9, 0x6, 1b, x5, 1716,0) + +inst_441: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x5, 1720,0) + +inst_442: +// rs1_val==858993458 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x2, 0x10, 3f, x5, 1724,0) + +inst_443: +// rs1_val==858993458 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xffff, 0x6, 3f, x5, 1728,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb504, 0x6, 1b, x5, 1732,0) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666666, 0x100, 3f, x5, 1736,0) + +inst_446: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333333, 0x2, 1b, x5, 1740,0) + +inst_447: +// rs1_val==858993458 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x5, 0x2, 1b, x5, 1744,0) + +inst_448: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaaa, 0x80, 3f, x5, 1748,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555555, 0x80, 3f, x5, 1752,0) + +inst_450: +// rs1_val==858993458 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x3, 0x200, 3f, x5, 1756,0) + +inst_451: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x10000, 0x20, 3f, x5, 1760,0) + +inst_452: +// rs1_val==2863311529 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x1, 0x556, 1b, x5, 1764,0) + +inst_453: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb505, 0x40, 3f, x5, 1768,0) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666667, 0x40, 3f, x5, 1772,0) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333334, 0x400, 3f, x5, 1776,0) + +inst_456: +// rs1_val==2863311529 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x6, 0x6, 3f, x5, 1780,0) + +inst_457: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x80, 3f, x5, 1784,0) + +inst_458: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555556, 0x4, 3f, x5, 1788,0) + +inst_459: +// rs1_val==2863311529 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x4, 0x6, 1b, x5, 1792,0) + +inst_460: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xfffe, 0x200, 3f, x5, 1796,0) + +inst_461: +// rs1_val==2863311529 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x0, 0x4, 1b, x5, 1800,0) + +inst_462: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb503, 0x80, 3f, x5, 1804,0) + +inst_463: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666665, 0x400, 3f, x5, 1808,0) + +inst_464: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333332, 0x4, 3f, x5, 1812,0) + +inst_465: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, 1b, x5, 1816,0) + +inst_466: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 1b, x5, 1820,0) + +inst_467: +// rs1_val==2863311529 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x2, 0x6, 3f, x5, 1824,0) + +inst_468: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xffff, 0x200, 3f, x5, 1828,0) + +inst_469: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb504, 0x400, 1b, x5, 1832,0) + +inst_470: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666666, 0x100, 3f, x5, 1836,0) + +inst_471: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333333, 0x400, 1b, x5, 1840,0) + +inst_472: +// rs1_val==2863311529 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x5, 0x2, 3f, x5, 1844,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x4, 0x10, 3f, x5, 1848,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x5, 1852,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x0, 0x200, 3f, x5, 1856,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x5, 1860,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666665, 0x8, 1b, x5, 1864,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333332, 0x80, 3f, x5, 1868,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaa9, 0x100, 3f, x5, 1872,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x5, 1876,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x2, 0x80, 3f, x5, 1880,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xffff, 0x20, 3f, x5, 1884,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb504, 0x556, 1b, x5, 1888,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666666, 0x6, 1b, x5, 1892,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333333, 0x556, 1b, x5, 1896,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x5, 0x20, 3f, x5, 1900,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaaa, 0x20, 3f, x5, 1904,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555555, 0x100, 3f, x5, 1908,0) + +inst_489: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x3, 0x556, 1b, x5, 1912,0) + +inst_490: +// rs1_val==2 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x10000, 0x4, 3f, x5, 1916,0) + +inst_491: +// rs1_val==2 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x1, 0x40, 3f, x5, 1920,0) + +inst_492: +// rs1_val==2 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb505, 0x2, 3f, x5, 1924,0) + +inst_493: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666667, 0x2, 1b, x5, 1928,0) + +inst_494: +// rs1_val==2 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333334, 0x8, 3f, x5, 1932,0) + +inst_495: +// rs1_val==2 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x6, 0x8, 1b, x5, 1936,0) + +inst_496: +// rs1_val==2 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaab, 0x4, 1b, x5, 1940,0) + +inst_497: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555556, 0x100, 3f, x5, 1944,0) + +inst_498: +// rs1_val==2 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x4, 0x556, 1b, x5, 1948,0) + +inst_499: +// rs1_val==2 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xfffe, 0x200, 3f, x5, 1952,0) + +inst_500: +// rs1_val==2 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x0, 0x400, 3f, x5, 1956,0) + +inst_501: +// rs1_val==2 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb503, 0x0, 3f, x5, 1960,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666665, 0x4, 3f, x5, 1964,0) + +inst_503: +// rs1_val==2 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333332, 0x20, 3f, x5, 1968,0) + +inst_504: +// rs1_val==2 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaa9, 0x0, 1b, x5, 1972,0) + +inst_505: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555554, 0x200, 3f, x5, 1976,0) + +inst_506: +// rs1_val==2 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2, 0x400, 3f, x5, 1980,0) + +inst_507: +// rs1_val==2 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xffff, 0x556, 1b, x5, 1984,0) + +inst_508: +// rs1_val==2 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb504, 0x8, 3f, x5, 1988,0) + +inst_509: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666666, 0x40, 3f, x5, 1992,0) + +inst_510: +// rs1_val==2 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333333, 0xa, 1b, x5, 1996,0) + +inst_511: +// rs1_val==2 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x5, 0x10, 3f, x5, 2000,0) + +inst_512: +// rs1_val==2 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaaa, 0x400, 1b, x5, 2004,0) + +inst_513: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555555, 0xa, 1b, x5, 2008,0) + +inst_514: +// rs1_val==2 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x3, 0x6, 3f, x5, 2012,0) + +inst_515: +// rs1_val==65535 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x10000, 0x556, 1b, x5, 2016,0) + +inst_516: +// rs1_val==65535 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x1, 0x4, 1b, x5, 2020,0) + +inst_517: +// rs1_val==65535 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb505, 0x8, 3f, x5, 2024,0) + +inst_518: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666667, 0x4, 1b, x5, 2028,0) + +inst_519: +// rs1_val==65535 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333334, 0x20, 3f, x5, 2032,0) + +inst_520: +// rs1_val==65535 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x6, 0x4, 1b, x5, 2036,0) + +inst_521: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaab, 0x100, 3f, x5, 2040,0) + +inst_522: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555556, 0x20, 3f, x5, 2044,0) +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_523: +// rs1_val==65535 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x4, 0x100, 3f, x5, 0,0) + +inst_524: +// rs1_val==65535 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xfffe, 0x6, 1b, x5, 4,0) + +inst_525: +// rs1_val==65535 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x0, 0x556, 1b, x5, 8,0) + +inst_526: +// rs1_val==65535 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb503, 0x100, 3f, x5, 12,0) + +inst_527: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666665, 0x2, 1b, x5, 16,0) + +inst_528: +// rs1_val==65535 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x5, 20,0) + +inst_529: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaa9, 0x200, 3f, x5, 24,0) + +inst_530: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555554, 0x20, 3f, x5, 28,0) + +inst_531: +// rs1_val==65535 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x2, 0x6, 1b, x5, 32,0) + +inst_532: +// rs1_val==65535 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xffff, 0x0, 1b, x5, 36,0) + +inst_533: +// rs1_val==65535 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb504, 0x200, 3f, x5, 40,0) + +inst_534: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x5, 44,0) + +inst_535: +// rs1_val==65535 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333333, 0xa, 1b, x5, 48,0) + +inst_536: +// rs1_val==65535 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x5, 0x80, 3f, x5, 52,0) + +inst_537: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaaa, 0x40, 3f, x5, 56,0) + +inst_538: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555555, 0x2, 3f, x5, 60,0) + +inst_539: +// rs1_val==65535 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x3, 0x8, 3f, x5, 64,0) + +inst_540: +// rs1_val==46340 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x10000, 0x6, 1b, x5, 68,0) + +inst_541: +// rs1_val==46340 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x1, 0x2, 1b, x5, 72,0) + +inst_542: +// rs1_val==46340 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb505, 0x40, 3f, x5, 76,0) + +inst_543: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x5, 80,0) + +inst_544: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333334, 0x400, 3f, x5, 84,0) + +inst_545: +// rs1_val==46340 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x6, 0x2, 1b, x5, 88,0) + +inst_546: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaab, 0x556, 1b, x5, 92,0) + +inst_547: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x5, 96,0) + +inst_548: +// rs1_val==46340 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x4, 0x8, 1b, x5, 100,0) + +inst_549: +// rs1_val==46340 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xfffe, 0x80, 3f, x5, 104,0) + +inst_550: +// rs1_val==46340 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x0, 0x2, 3f, x5, 108,0) + +inst_551: +// rs1_val==46340 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb503, 0xa, 1b, x5, 112,0) + +inst_552: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666665, 0x8, 3f, x5, 116,0) + +inst_553: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333332, 0x4, 1b, x5, 120,0) + +inst_554: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaa9, 0x200, 3f, x5, 124,0) + +inst_555: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555554, 0x100, 3f, x5, 128,0) + +inst_556: +// rs1_val==46340 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x2, 0x200, 3f, x5, 132,0) + +inst_557: +// rs1_val==46340 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xffff, 0x100, 3f, x5, 136,0) + +inst_558: +// rs1_val==46340 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb504, 0x400, 1b, x5, 140,0) + +inst_559: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x5, 144,0) + +inst_560: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333333, 0x200, 3f, x5, 148,0) + +inst_561: +// rs1_val==46340 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x5, 0x556, 1b, x5, 152,0) + +inst_562: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x5, 156,0) + +inst_563: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x5, 160,0) + +inst_564: +// rs1_val==46340 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x3, 0x8, 3f, x5, 164,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x10000, 0x10, 3f, x5, 168,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x1, 0x200, 3f, x5, 172,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb505, 0x4, 1b, x5, 176,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x5, 180,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333334, 0x2, 1b, x5, 184,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x6, 0x8, 1b, x5, 188,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaab, 0x6, 3f, x5, 192,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x5, 196,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x4, 0x40, 3f, x5, 200,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xfffe, 0x200, 3f, x5, 204,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x0, 0x0, 1b, x5, 208,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb503, 0x0, 1b, x5, 212,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x5, 216,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333332, 0x2, 3f, x5, 220,0) + +inst_579: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaa9, 0x10, 3f, x5, 224,0) + +inst_580: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555554, 0x556, 1b, x5, 228,0) + +inst_581: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x2, 0x400, 1b, x5, 232,0) + +inst_582: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xffff, 0x200, 3f, x5, 236,0) + +inst_583: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb504, 0x2, 1b, x5, 240,0) + +inst_584: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666666, 0x200, 3f, x5, 244,0) + +inst_585: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333333, 0x10, 3f, x5, 248,0) + +inst_586: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x5, 0x400, 3f, x5, 252,0) + +inst_587: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaaa, 0x4, 1b, x5, 256,0) + +inst_588: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555555, 0x2, 3f, x5, 260,0) + +inst_589: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x3, 0x8, 1b, x5, 264,0) + +inst_590: +// rs1_val==858993459 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x10000, 0x2, 1b, x5, 268,0) + +inst_591: +// rs1_val==858993459 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x1, 0x0, 1b, x5, 272,0) + +inst_592: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb505, 0x2, 3f, x5, 276,0) + +inst_593: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666667, 0x6, 3f, x5, 280,0) + +inst_594: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333334, 0x400, 1b, x5, 284,0) + +inst_595: +// rs1_val==858993459 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x6, 0x0, 3f, x5, 288,0) + +inst_596: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaab, 0x10, 3f, x5, 292,0) + +inst_597: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555556, 0x200, 3f, x5, 296,0) + +inst_598: +// rs1_val==858993459 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x4, 0x8, 1b, x5, 300,0) + +inst_599: +// rs1_val==858993459 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xfffe, 0xa, 1b, x5, 304,0) + +inst_600: +// rs1_val==858993459 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x0, 0x0, 1b, x5, 308,0) + +inst_601: +// rs1_val==2863311529 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x3, 0x6, 1b, x5, 312,0) + +inst_602: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x5, 316,0) + +inst_603: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666665, 0x100, 3f, x5, 320,0) + +inst_604: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x5, 324,0) + +inst_605: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaa9, 0x100, 3f, x5, 328,0) + +inst_606: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555554, 0x8, 1b, x5, 332,0) + +inst_607: +// rs1_val==858993459 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x2, 0x80, 3f, x5, 336,0) + +inst_608: +// rs1_val==858993459 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xffff, 0x556, 1b, x5, 340,0) + +inst_609: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb504, 0x8, 1b, x5, 344,0) + +inst_610: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666666, 0x0, 3f, x5, 348,0) + +inst_611: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333333, 0x2, 1b, x5, 352,0) + +inst_612: +// rs1_val==858993459 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x5, 0x80, 3f, x5, 356,0) + +inst_613: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaaa, 0x6, 1b, x5, 360,0) + +inst_614: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x5, 364,0) + +inst_615: +// rs1_val==858993459 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x3, 0x0, 3f, x5, 368,0) + +inst_616: +// rs1_val==5 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x10000, 0x100, 3f, x5, 372,0) + +inst_617: +// rs1_val==5 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x1, 0x6, 3f, x5, 376,0) + +inst_618: +// rs1_val==5 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb505, 0xa, 1b, x5, 380,0) + +inst_619: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666667, 0x400, 1b, x5, 384,0) + +inst_620: +// rs1_val==5 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333334, 0x8, 3f, x5, 388,0) + +inst_621: +// rs1_val==5 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x6, 0x2, 1b, x5, 392,0) + +inst_622: +// rs1_val==5 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaab, 0x100, 3f, x5, 396,0) + +inst_623: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555556, 0x4, 3f, x5, 400,0) + +inst_624: +// rs1_val==5 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x4, 0x0, 3f, x5, 404,0) + +inst_625: +// rs1_val==5 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xfffe, 0x400, 3f, x5, 408,0) + +inst_626: +// rs1_val==5 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x0, 0x200, 3f, x5, 412,0) + +inst_627: +// rs1_val==5 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb503, 0x556, 1b, x5, 416,0) + +inst_628: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666665, 0x6, 1b, x5, 420,0) + +inst_629: +// rs1_val==5 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333332, 0x556, 1b, x5, 424,0) + +inst_630: +// rs1_val==5 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaa9, 0x6, 3f, x5, 428,0) + +inst_631: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555554, 0x556, 1b, x5, 432,0) + +inst_632: +// rs1_val==5 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x2, 0x6, 3f, x5, 436,0) + +inst_633: +// rs1_val==5 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xffff, 0xa, 1b, x5, 440,0) + +inst_634: +// rs1_val==5 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb504, 0x556, 1b, x5, 444,0) + +inst_635: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666666, 0x2, 3f, x5, 448,0) + +inst_636: +// rs1_val==5 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333333, 0x6, 3f, x5, 452,0) + +inst_637: +// rs1_val==5 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x5, 0x6, 1b, x5, 456,0) + +inst_638: +// rs1_val==5 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaaa, 0xa, 1b, x5, 460,0) + +inst_639: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555555, 0x0, 1b, x5, 464,0) + +inst_640: +// rs1_val==5 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x3, 0x0, 3f, x5, 468,0) + +inst_641: +// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x10000, 0x6, 1b, x5, 472,0) + +inst_642: +// rs1_val==2863311530 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x1, 0x556, 1b, x5, 476,0) + +inst_643: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb505, 0x10, 3f, x5, 480,0) + +inst_644: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666667, 0x0, 1b, x5, 484,0) + +inst_645: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333334, 0x4, 1b, x5, 488,0) + +inst_646: +// rs1_val==2863311530 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x6, 0x20, 3f, x5, 492,0) + +inst_647: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x0, 3f, x5, 496,0) + +inst_648: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555556, 0x8, 3f, x5, 500,0) + +inst_649: +// rs1_val==2863311530 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x4, 0x80, 3f, x5, 504,0) + +inst_650: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xfffe, 0x20, 3f, x5, 508,0) + +inst_651: +// rs1_val==2863311530 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x0, 0x6, 3f, x5, 512,0) + +inst_652: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb503, 0x400, 1b, x5, 516,0) + +inst_653: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666665, 0x20, 3f, x5, 520,0) + +inst_654: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333332, 0x0, 3f, x5, 524,0) + +inst_655: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x40, 3f, x5, 528,0) + +inst_656: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555554, 0x40, 3f, x5, 532,0) + +inst_657: +// rs1_val==2863311530 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x2, 0x0, 1b, x5, 536,0) + +inst_658: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xffff, 0x40, 3f, x5, 540,0) + +inst_659: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb504, 0x0, 1b, x5, 544,0) + +inst_660: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666666, 0x400, 3f, x5, 548,0) + +inst_661: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333333, 0x100, 3f, x5, 552,0) + +inst_662: +// rs1_val==2863311530 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x5, 0x100, 3f, x5, 556,0) + +inst_663: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x6, 3f, x5, 560,0) + +inst_664: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555555, 0x400, 1b, x5, 564,0) + +inst_665: +// rs1_val==2863311530 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x3, 0x20, 3f, x5, 568,0) + +inst_666: +// rs1_val==1431655765 and rs2_val==65536, rs1_val == 1431655765 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x10000, 0x400, 3f, x5, 572,0) + +inst_667: +// rs1_val==1431655765 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x1, 0x8, 1b, x5, 576,0) + +inst_668: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb505, 0x10, 3f, x5, 580,0) + +inst_669: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x5, 584,0) + +inst_670: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x5, 588,0) + +inst_671: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x6, 0x80, 3f, x5, 592,0) + +inst_672: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaab, 0x8, 3f, x5, 596,0) + +inst_673: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555556, 0x200, 3f, x5, 600,0) + +inst_674: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x4, 0x556, 1b, x5, 604,0) + +inst_675: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xfffe, 0x4, 3f, x5, 608,0) + +inst_676: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x0, 0x200, 3f, x5, 612,0) + +inst_677: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb503, 0x8, 3f, x5, 616,0) + +inst_678: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666665, 0xa, 1b, x5, 620,0) + +inst_679: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333332, 0x4, 1b, x5, 624,0) + +inst_680: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaa9, 0x100, 3f, x5, 628,0) + +inst_681: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555554, 0x100, 3f, x5, 632,0) + +inst_682: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x2, 0x8, 1b, x5, 636,0) + +inst_683: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xffff, 0x0, 1b, x5, 640,0) + +inst_684: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb504, 0x20, 3f, x5, 644,0) + +inst_685: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x5, 648,0) + +inst_686: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x5, 652,0) + +inst_687: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x5, 0xa, 1b, x5, 656,0) + +inst_688: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaaa, 0x8, 3f, x5, 660,0) + +inst_689: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x5, 664,0) + +inst_690: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x3, 0x8, 3f, x5, 668,0) + +inst_691: +// rs1_val==3 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x10000, 0x400, 1b, x5, 672,0) + +inst_692: +// rs1_val==3 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x1, 0x400, 1b, x5, 676,0) + +inst_693: +// rs1_val==3 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb505, 0x8, 3f, x5, 680,0) + +inst_694: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666667, 0x0, 3f, x5, 684,0) + +inst_695: +// rs1_val==3 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333334, 0x8, 1b, x5, 688,0) + +inst_696: +// rs1_val==3 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x6, 0x0, 3f, x5, 692,0) + +inst_697: +// rs1_val==3 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaab, 0x400, 3f, x5, 696,0) + +inst_698: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555556, 0x400, 3f, x5, 700,0) + +inst_699: +// rs1_val==3 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x4, 0x8, 1b, x5, 704,0) + +inst_700: +// rs1_val==3 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xfffe, 0x8, 3f, x5, 708,0) + +inst_701: +// rs1_val==3 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x0, 0xa, 1b, x5, 712,0) + +inst_702: +// rs1_val==3 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb503, 0x40, 3f, x5, 716,0) + +inst_703: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666665, 0x200, 3f, x5, 720,0) + +inst_704: +// rs1_val==3 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333332, 0x200, 3f, x5, 724,0) + +inst_705: +// rs1_val==3 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaa9, 0x4, 1b, x5, 728,0) + +inst_706: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555554, 0x2, 3f, x5, 732,0) + +inst_707: +// rs1_val==3 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x2, 0x400, 1b, x5, 736,0) + +inst_708: +// rs1_val==3 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xffff, 0x6, 3f, x5, 740,0) + +inst_709: +// rs1_val==3 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb504, 0x2, 3f, x5, 744,0) + +inst_710: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666666, 0x8, 1b, x5, 748,0) + +inst_711: +// rs1_val==3 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333333, 0x2, 1b, x5, 752,0) + +inst_712: +// rs1_val==3 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x5, 0x4, 3f, x5, 756,0) + +inst_713: +// rs1_val==3 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaaa, 0x0, 1b, x5, 760,0) + +inst_714: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555555, 0x0, 3f, x5, 764,0) + +inst_715: +// rs1_val==3 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x3, 0x200, 3f, x5, 768,0) + +inst_716: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x200, 3f, x5, 772,0) + +inst_717: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555555, 0x20, 3f, x5, 776,0) + +inst_718: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x10000, 0x4, 1b, x5, 780,0) + +inst_719: +// rs1_val==1431655764 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x1, 0x6, 3f, x5, 784,0) + +inst_720: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb505, 0x2, 3f, x5, 788,0) + +inst_721: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666667, 0x4, 1b, x5, 792,0) + +inst_722: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x5, 796,0) + +inst_723: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x6, 0xa, 1b, x5, 800,0) + +inst_724: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaab, 0x6, 1b, x5, 804,0) + +inst_725: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x5, 808,0) + +inst_726: +// rs2_val == 3221225471, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xbfffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xbfffffff, 0xa, 1b, x5, 812,0) + +inst_727: +// rs2_val == 4294836223, rs1_val == 2147483647 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffdffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7fffffff, 0xfffdffff, 0x2, 1b, x5, 816,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 11*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 205*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S new file mode 100644 index 000000000..a23350a44 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S @@ -0,0 +1,3011 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the blt instruction of the RISC-V E extension for the blt covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",blt) + +RVTEST_SIGBASE( x6,signature_x6_1) + +inst_0: +// rs1 == rs2, rs1==x7, rs2==x7, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs2_val == -65, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x7; op2:x7; op1val:-0x10001; op2val:-0x10001; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x4, x7, x7, -0x10001, -0x10001, 0x80, 3f, x6, 0,0) + +inst_1: +// rs1 != rs2, rs1==x9, rs2==x15, rs2_val == 2147483647, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x9; op2:x15; op1val:0x4; op2val:0x7fffffff; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x4, x9, x15, 0x4, 0x7fffffff, 0x0, 3f, x6, 4,0) + +inst_2: +// rs1==x12, rs2==x3, rs2_val == -1073741825, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x12; op2:x3; op1val:0x4; op2val:-0x40000001; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x4, x12, x3, 0x4, -0x40000001, 0x400, 3f, x6, 8,0) + +inst_3: +// rs1==x2, rs2==x5, rs2_val == -536870913, +// opcode: blt, op1:x2; op2:x5; op1val:0x0; op2val:-0x20000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x4, x2, x5, 0x0, -0x20000001, 0x6, 1b, x6, 12,0) + +inst_4: +// rs1==x11, rs2==x0, rs2_val == -268435457, rs1_val == 1048576 +// opcode: blt, op1:x11; op2:x0; op1val:0x100000; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x4, x11, x0, 0x100000, 0x0, 0x200, 3f, x6, 16,0) + +inst_5: +// rs1==x3, rs2==x13, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -9 +// opcode: blt, op1:x3; op2:x13; op1val:-0x9; op2val:-0x8000001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x4, x3, x13, -0x9, -0x8000001, 0x4, 1b, x6, 20,0) + +inst_6: +// rs1==x5, rs2==x12, rs2_val == -67108865, +// opcode: blt, op1:x5; op2:x12; op1val:-0x1; op2val:-0x4000001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x4, x5, x12, -0x1, -0x4000001, 0x2, 1b, x6, 24,0) + +inst_7: +// rs1==x1, rs2==x14, rs2_val == -33554433, +// opcode: blt, op1:x1; op2:x14; op1val:-0x10001; op2val:-0x2000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x4, x1, x14, -0x10001, -0x2000001, 0x6, 1b, x6, 28,0) + +inst_8: +// rs1==x13, rs2==x4, rs2_val == -16777217, rs1_val == 1024 +// opcode: blt, op1:x13; op2:x4; op1val:0x400; op2val:-0x1000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x5, x13, x4, 0x400, -0x1000001, 0x6, 3f, x6, 32,0) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_9: +// rs1==x14, rs2==x8, rs2_val == -8388609, rs1_val == -2049 +// opcode: blt, op1:x14; op2:x8; op1val:-0x801; op2val:-0x800001; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x5, x14, x8, -0x801, -0x800001, 0x8, 3f, x3, 0,0) + +inst_10: +// rs1==x8, rs2==x10, rs2_val == -4194305, +// opcode: blt, op1:x8; op2:x10; op1val:-0x1; op2val:-0x400001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x8, x10, -0x1, -0x400001, 0x2, 1b, x3, 4,0) + +inst_11: +// rs1==x0, rs2==x2, rs2_val == -2097153, +// opcode: blt, op1:x0; op2:x2; op1val:0x0; op2val:-0x200001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x0, x2, 0x0, -0x200001, 0x2, 3f, x3, 8,0) + +inst_12: +// rs1==x4, rs2==x1, rs2_val == -1048577, rs1_val == 67108864 +// opcode: blt, op1:x4; op2:x1; op1val:0x4000000; op2val:-0x100001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x4, x1, 0x4000000, -0x100001, 0x2, 1b, x3, 12,0) + +inst_13: +// rs1==x10, rs2==x6, rs2_val == -524289, rs1_val == -8388609 +// opcode: blt, op1:x10; op2:x6; op1val:-0x800001; op2val:-0x80001; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x5, x10, x6, -0x800001, -0x80001, 0x556, 1b, x3, 16,0) + +inst_14: +// rs1==x15, rs2==x11, rs2_val == -262145, +// opcode: blt, op1:x15; op2:x11; op1val:-0xb503; op2val:-0x40001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x5, x15, x11, -0xb503, -0x40001, 0x200, 3f, x3, 20,0) + +inst_15: +// rs1==x6, rs2==x9, rs2_val == -131073, rs1_val == -1025 +// opcode: blt, op1:x6; op2:x9; op1val:-0x401; op2val:-0x20001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x5, x6, x9, -0x401, -0x20001, 0x4, 3f, x3, 24,0) + +inst_16: +// rs2_val == -65537, rs1_val == 2048 +// opcode: blt, op1:x10; op2:x11; op1val:0x800; op2val:-0x10001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x800, -0x10001, 0x0, 3f, x3, 28,0) + +inst_17: +// rs2_val == -32769, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x8001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x8001, 0x0, 1b, x3, 32,0) + +inst_18: +// rs2_val == -16385, rs1_val == -5 +// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:-0x4001; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, -0x4001, 0x40, 3f, x3, 36,0) + +inst_19: +// rs2_val == -8193, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x2001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x2001, 0x4, 1b, x3, 40,0) + +inst_20: +// rs2_val == -4097, +// opcode: blt, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x1001; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000000, -0x1001, 0x80, 3f, x3, 44,0) + +inst_21: +// rs2_val == -2049, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x801; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x801, 0x6, 3f, x3, 48,0) + +inst_22: +// rs2_val == -1025, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1048577 +// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, -0x401, 0x8, 1b, x3, 52,0) + +inst_23: +// rs2_val == -513, rs1_val == -67108865 +// opcode: blt, op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x201; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x4000001, -0x201, 0x0, 1b, x3, 56,0) + +inst_24: +// rs2_val == -257, rs1_val == -134217729 +// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, -0x101, 0x6, 1b, x3, 60,0) + +inst_25: +// rs2_val == -129, rs1_val == 32 +// opcode: blt, op1:x10; op2:x11; op1val:0x20; op2val:-0x81; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20, -0x81, 0x0, 1b, x3, 64,0) + +inst_26: +// rs2_val == -33, rs1_val == 2 +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x21; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x21, 0x20, 3f, x3, 68,0) + +inst_27: +// rs2_val == -17, +// opcode: blt, op1:x10; op2:x11; op1val:-0x6; op2val:-0x11; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x6, -0x11, 0x100, 3f, x3, 72,0) + +inst_28: +// rs2_val == -9, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x9; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x9, 0x400, 3f, x3, 76,0) + +inst_29: +// rs2_val == -5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x5, 0x6, 3f, x3, 80,0) + +inst_30: +// rs2_val == -3, rs1_val == -268435457 +// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, -0x3, 0x400, 3f, x3, 84,0) + +inst_31: +// rs2_val == -2, rs1_val == -129 +// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:-0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, -0x2, 0x400, 1b, x3, 88,0) + +inst_32: +// rs1_val == 2147483647, rs2_val == 8 +// opcode: blt, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x8; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x7fffffff, 0x8, 0x80, 3f, x3, 92,0) + +inst_33: +// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 134217728 +// opcode: blt, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x8000000; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000001, 0x8000000, 0x40, 3f, x3, 96,0) + +inst_34: +// rs1_val == -536870913, +// opcode: blt, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x20000001, -0x201, 0x4, 3f, x3, 100,0) + +inst_35: +// rs1_val == -33554433, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2000001, -0x55555555, 0x4, 1b, x3, 104,0) + +inst_36: +// rs1_val == -16777217, +// opcode: blt, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x800001; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x1000001, -0x800001, 0x556, 1b, x3, 108,0) + +inst_37: +// rs1_val == -4194305, rs2_val == 1048576 +// opcode: blt, op1:x10; op2:x11; op1val:-0x400001; op2val:0x100000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x400001, 0x100000, 0x6, 3f, x3, 112,0) + +inst_38: +// rs1_val == -2097153, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x8000000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x8000000, 0x0, 3f, x3, 116,0) + +inst_39: +// rs1_val == -524289, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, -0x200001, 0x2, 3f, x3, 120,0) + +inst_40: +// rs1_val == -262145, rs2_val == 524288 +// opcode: blt, op1:x10; op2:x11; op1val:-0x40001; op2val:0x80000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40001, 0x80000, 0x2, 3f, x3, 124,0) + +inst_41: +// rs1_val == -131073, rs2_val == 131072 +// opcode: blt, op1:x10; op2:x11; op1val:-0x20001; op2val:0x20000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x20001, 0x20000, 0x400, 3f, x3, 128,0) + +inst_42: +// rs1_val == -32769, +// opcode: blt, op1:x10; op2:x11; op1val:-0x8001; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8001, -0xb503, 0x4, 1b, x3, 132,0) + +inst_43: +// rs1_val == -16385, +// opcode: blt, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x40001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x4001, -0x40001, 0x2, 3f, x3, 136,0) + +inst_44: +// rs1_val == -8193, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x40000001; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2001, -0x40000001, 0x20, 3f, x3, 140,0) + +inst_45: +// rs1_val == -4097, rs2_val == 256 +// opcode: blt, op1:x10; op2:x11; op1val:-0x1001; op2val:0x100; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x1001, 0x100, 0x4, 3f, x3, 144,0) + +inst_46: +// rs1_val == -513, rs2_val == 1431655765 +// opcode: blt, op1:x10; op2:x11; op1val:-0x201; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x201, 0x55555555, 0x8, 1b, x3, 148,0) + +inst_47: +// rs1_val == -257, +// opcode: blt, op1:x10; op2:x11; op1val:-0x101; op2val:-0x801; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x101, -0x801, 0x2, 3f, x3, 152,0) + +inst_48: +// rs1_val == -65, rs2_val == 2 +// opcode: blt, op1:x10; op2:x11; op1val:-0x41; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x41, 0x2, 0x400, 1b, x3, 156,0) + +inst_49: +// rs1_val == -33, +// opcode: blt, op1:x10; op2:x11; op1val:-0x21; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x21, 0x55555554, 0x80, 3f, x3, 160,0) + +inst_50: +// rs1_val == -17, +// opcode: blt, op1:x10; op2:x11; op1val:-0x11; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x11, 0x66666667, 0x10, 3f, x3, 164,0) + +inst_51: +// rs1_val == -3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x5, 0x100, 3f, x3, 168,0) + +inst_52: +// rs1_val == -2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2; op2val:-0x2001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2, -0x2001, 0x0, 3f, x3, 172,0) + +inst_53: +// rs2_val == -2147483648, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x80000000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x80000000, 0x8, 1b, x3, 176,0) + +inst_54: +// rs2_val == 1073741824, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x40000000, 0x2, 3f, x3, 180,0) + +inst_55: +// rs2_val == 536870912, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20000000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x20000000, 0x2, 1b, x3, 184,0) + +inst_56: +// rs2_val == 268435456, +// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, 0x10000000, 0x6, 3f, x3, 188,0) + +inst_57: +// rs2_val == 67108864, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x4000000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x4000000, 0x400, 3f, x3, 192,0) + +inst_58: +// rs2_val == 33554432, +// opcode: blt, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x9, 0x2000000, 0xa, 1b, x3, 196,0) + +inst_59: +// rs2_val == 16777216, rs1_val == 2097152 +// opcode: blt, op1:x10; op2:x11; op1val:0x200000; op2val:0x1000000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x200000, 0x1000000, 0x100, 3f, x3, 200,0) + +inst_60: +// rs2_val == 8388608, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x800000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x800000, 0x100, 3f, x3, 204,0) + +inst_61: +// rs2_val == 4194304, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x400000; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x400000, 0x20, 3f, x3, 208,0) + +inst_62: +// rs2_val == 2097152, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200000, 0xa, 1b, x3, 212,0) + +inst_63: +// rs2_val == 262144, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x40000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x40000, 0x100, 3f, x3, 216,0) + +inst_64: +// rs2_val == 65536, rs1_val == 8192 +// opcode: blt, op1:x10; op2:x11; op1val:0x2000; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000, 0x10000, 0x6, 3f, x3, 220,0) + +inst_65: +// rs2_val == 32768, +// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x8000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, 0x8000, 0x2, 3f, x3, 224,0) + +inst_66: +// rs2_val == 16384, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4000, 0x400, 3f, x3, 228,0) + +inst_67: +// rs2_val == 8192, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2000; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2000, 0x10, 3f, x3, 232,0) + +inst_68: +// rs2_val == 4096, +// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:0x1000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, 0x1000, 0x6, 3f, x3, 236,0) + +inst_69: +// rs2_val == 2048, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x800; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x800, 0x2, 3f, x3, 240,0) + +inst_70: +// rs2_val == 1024, +// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x400; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, 0x400, 0x556, 1b, x3, 244,0) + +inst_71: +// rs2_val == 512, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200, 0x80, 3f, x3, 248,0) + +inst_72: +// rs2_val == 128, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x80; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x80, 0x40, 3f, x3, 252,0) + +inst_73: +// rs2_val == 64, +// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:0x40; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, 0x40, 0x10, 3f, x3, 256,0) + +inst_74: +// rs2_val == 32, +// opcode: blt, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x20; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3fffffff, 0x20, 0x0, 1b, x3, 260,0) + +inst_75: +// rs2_val == 16, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x10; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x10, 0x100, 3f, x3, 264,0) + +inst_76: +// rs2_val == 4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, 0x4, 0x4, 1b, x3, 268,0) + +inst_77: +// rs2_val == 1, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x1, 0x4, 1b, x3, 272,0) + +inst_78: +// rs1_val == -2147483648, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80000000, -0x2, 0x6, 1b, x3, 276,0) + +inst_79: +// rs1_val == 1073741824, rs2_val == -1431655766 +// opcode: blt, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000000, -0x55555556, 0x20, 3f, x3, 280,0) + +inst_80: +// rs1_val == 536870912, +// opcode: blt, op1:x10; op2:x11; op1val:0x20000000; op2val:0x4000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000000, 0x4000, 0x0, 1b, x3, 284,0) + +inst_81: +// rs1_val == 268435456, +// opcode: blt, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x41; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000000, -0x41, 0xa, 1b, x3, 288,0) + +inst_82: +// rs1_val == 134217728, +// opcode: blt, op1:x10; op2:x11; op1val:0x8000000; op2val:0x8000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000000, 0x8000, 0x0, 3f, x3, 292,0) + +inst_83: +// rs1_val == 33554432, +// opcode: blt, op1:x10; op2:x11; op1val:0x2000000; op2val:0x8000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000000, 0x8000000, 0xa, 1b, x3, 296,0) + +inst_84: +// rs1_val == 16777216, +// opcode: blt, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000000, 0x40000, 0x8, 3f, x3, 300,0) + +inst_85: +// rs1_val == 8388608, +// opcode: blt, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x800000, 0x40000, 0x8, 1b, x3, 304,0) + +inst_86: +// rs1_val == 4194304, +// opcode: blt, op1:x10; op2:x11; op1val:0x400000; op2val:-0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x400000, -0x5, 0x556, 1b, x3, 308,0) + +inst_87: +// rs1_val == 524288, +// opcode: blt, op1:x10; op2:x11; op1val:0x80000; op2val:-0x80000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x80000, -0x80000000, 0xa, 1b, x3, 312,0) + +inst_88: +// rs1_val == 262144, +// opcode: blt, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000, 0x1, 0xa, 1b, x3, 316,0) + +inst_89: +// rs1_val == 131072, +// opcode: blt, op1:x10; op2:x11; op1val:0x20000; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000, 0x33333332, 0x2, 3f, x3, 320,0) + +inst_90: +// rs1_val == 65536, +// opcode: blt, op1:x10; op2:x11; op1val:0x10000; op2val:0x2000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000, 0x2000, 0x100, 3f, x3, 324,0) + +inst_91: +// rs1_val == 32768, +// opcode: blt, op1:x10; op2:x11; op1val:0x8000; op2val:0x4000; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000, 0x4000, 0x40, 3f, x3, 328,0) + +inst_92: +// rs1_val == 16384, +// opcode: blt, op1:x10; op2:x11; op1val:0x4000; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4000, -0x81, 0x4, 3f, x3, 332,0) + +inst_93: +// rs1_val == 4096, +// opcode: blt, op1:x10; op2:x11; op1val:0x1000; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000, 0x2000000, 0xa, 1b, x3, 336,0) + +inst_94: +// rs1_val == 512, +// opcode: blt, op1:x10; op2:x11; op1val:0x200; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x200, -0x201, 0x4, 1b, x3, 340,0) + +inst_95: +// rs1_val == 256, +// opcode: blt, op1:x10; op2:x11; op1val:0x100; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x100, 0x6, 0x556, 1b, x3, 344,0) + +inst_96: +// rs1_val == 128, +// opcode: blt, op1:x10; op2:x11; op1val:0x80; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x80, -0xb503, 0x400, 1b, x3, 348,0) + +inst_97: +// rs1_val == 64, +// opcode: blt, op1:x10; op2:x11; op1val:0x40; op2val:0x10; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40, 0x10, 0x20, 3f, x3, 352,0) + +inst_98: +// rs1_val == 16, +// opcode: blt, op1:x10; op2:x11; op1val:0x10; op2val:-0x4001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10, -0x4001, 0x200, 3f, x3, 356,0) + +inst_99: +// rs1_val == 8, +// opcode: blt, op1:x10; op2:x11; op1val:0x8; op2val:0x40000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8, 0x40000, 0x2, 3f, x3, 360,0) + +inst_100: +// rs1_val == 1, +// opcode: blt, op1:x10; op2:x11; op1val:0x1; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1, 0x2000000, 0xa, 1b, x3, 364,0) + +inst_101: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb505, 0x0, 1b, x3, 368,0) + +inst_102: +// rs1_val==46341 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb503, 0x200, 3f, x3, 372,0) + +inst_103: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666667, 0x8, 3f, x3, 376,0) + +inst_104: +// rs1_val==46341 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x3, 380,0) + +inst_105: +// rs1_val==46341 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x6, 0x6, 1b, x3, 384,0) + +inst_106: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555555, 0x80, 3f, x3, 388,0) + +inst_107: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555556, 0x0, 3f, x3, 392,0) + +inst_108: +// rs1_val==46341 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x4, 0xa, 1b, x3, 396,0) + +inst_109: +// rs1_val==46341 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb503, 0x0, 1b, x3, 400,0) + +inst_110: +// rs1_val==46341 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x0, 0x4, 3f, x3, 404,0) + +inst_111: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666665, 0x20, 3f, x3, 408,0) + +inst_112: +// rs1_val==46341 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333332, 0x400, 1b, x3, 412,0) + +inst_113: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x3, 416,0) + +inst_114: +// rs1_val==46341 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x2, 0x8, 3f, x3, 420,0) + +inst_115: +// rs1_val==46341 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb504, 0x80, 3f, x3, 424,0) + +inst_116: +// rs1_val==46341 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb504, 0x6, 1b, x3, 428,0) + +inst_117: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666666, 0x40, 3f, x3, 432,0) + +inst_118: +// rs1_val==46341 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333333, 0x8, 1b, x3, 436,0) + +inst_119: +// rs1_val==46341 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x5, 0x4, 1b, x3, 440,0) + +inst_120: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555556, 0x2, 3f, x3, 444,0) + +inst_121: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x3, 448,0) + +inst_122: +// rs1_val==46341 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x3, 0x400, 1b, x3, 452,0) + +inst_123: +// rs1_val==-46339 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb505, 0x20, 3f, x3, 456,0) + +inst_124: +// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb503, 0x4, 3f, x3, 460,0) + +inst_125: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666667, 0x556, 1b, x3, 464,0) + +inst_126: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333334, 0x8, 3f, x3, 468,0) + +inst_127: +// rs1_val==-46339 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x6, 0x556, 1b, x3, 472,0) + +inst_128: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555555, 0x2, 1b, x3, 476,0) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x3, 480,0) + +inst_130: +// rs1_val==-46339 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x4, 0x20, 3f, x3, 484,0) + +inst_131: +// rs1_val==-46339 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb503, 0x80, 3f, x3, 488,0) + +inst_132: +// rs1_val==-46339 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x0, 0x8, 1b, x3, 492,0) + +inst_133: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666665, 0x20, 3f, x3, 496,0) + +inst_134: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333332, 0x0, 3f, x3, 500,0) + +inst_135: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555554, 0x200, 3f, x3, 504,0) + +inst_136: +// rs1_val==-46339 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x2, 0xa, 1b, x3, 508,0) + +inst_137: +// rs1_val==-46339 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb504, 0x2, 3f, x3, 512,0) + +inst_138: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb504, 0x8, 1b, x3, 516,0) + +inst_139: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666666, 0x400, 1b, x3, 520,0) + +inst_140: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333333, 0xa, 1b, x3, 524,0) + +inst_141: +// rs1_val==-46339 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x5, 0x0, 1b, x3, 528,0) + +inst_142: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555556, 0x100, 3f, x3, 532,0) + +inst_143: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555555, 0x4, 1b, x3, 536,0) + +inst_144: +// rs1_val==-46339 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x3, 0xa, 1b, x3, 540,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb505, 0x0, 3f, x3, 544,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb503, 0x8, 3f, x3, 548,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666667, 0x556, 1b, x3, 552,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x3, 556,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x6, 0xa, 1b, x3, 560,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555555, 0x40, 3f, x3, 564,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x3, 568,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x4, 0x0, 3f, x3, 572,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb503, 0x4, 3f, x3, 576,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x0, 0x400, 3f, x3, 580,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666665, 0x4, 1b, x3, 584,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333332, 0x2, 1b, x3, 588,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555554, 0x40, 3f, x3, 592,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x2, 0x10, 3f, x3, 596,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb504, 0x400, 3f, x3, 600,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb504, 0x6, 1b, x3, 604,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x3, 608,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333333, 0x4, 3f, x3, 612,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x5, 0x6, 3f, x3, 616,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555556, 0x80, 3f, x3, 620,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 1b, x3, 624,0) + +inst_166: +// rs1_val==1717986919 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x3, 0x0, 3f, x3, 628,0) + +inst_167: +// rs1_val==858993460 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x3, 632,0) + +inst_168: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb503, 0x4, 3f, x3, 636,0) + +inst_169: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x3, 640,0) + +inst_170: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333334, 0x20, 3f, x3, 644,0) + +inst_171: +// rs1_val==858993460 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x6, 0x0, 1b, x3, 648,0) + +inst_172: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555555, 0x400, 3f, x3, 652,0) + +inst_173: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555556, 0x6, 3f, x3, 656,0) + +inst_174: +// rs1_val==858993460 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x4, 0x8, 1b, x3, 660,0) + +inst_175: +// rs1_val==858993460 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb503, 0x2, 3f, x3, 664,0) + +inst_176: +// rs1_val==858993460 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x0, 0x6, 3f, x3, 668,0) + +inst_177: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666665, 0x0, 1b, x3, 672,0) + +inst_178: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333332, 0x2, 1b, x3, 676,0) + +inst_179: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555554, 0x6, 3f, x3, 680,0) + +inst_180: +// rs1_val==858993460 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x2, 0x200, 3f, x3, 684,0) + +inst_181: +// rs1_val==858993460 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb504, 0x4, 3f, x3, 688,0) + +inst_182: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb504, 0x20, 3f, x3, 692,0) + +inst_183: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666666, 0x2, 3f, x3, 696,0) + +inst_184: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333333, 0x4, 1b, x3, 700,0) + +inst_185: +// rs1_val==858993460 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x5, 0x6, 1b, x3, 704,0) + +inst_186: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555556, 0x4, 1b, x3, 708,0) + +inst_187: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555555, 0x80, 3f, x3, 712,0) + +inst_188: +// rs1_val==858993460 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x3, 0x40, 3f, x3, 716,0) + +inst_189: +// rs1_val==6 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb505, 0x556, 1b, x3, 720,0) + +inst_190: +// rs1_val==6 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb503, 0x80, 3f, x3, 724,0) + +inst_191: +// rs1_val==6 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x3, 728,0) + +inst_192: +// rs1_val==6 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333334, 0x400, 3f, x3, 732,0) + +inst_193: +// rs1_val==6 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x6, 0x200, 3f, x3, 736,0) + +inst_194: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555555, 0x0, 1b, x3, 740,0) + +inst_195: +// rs1_val==6 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555556, 0x40, 3f, x3, 744,0) + +inst_196: +// rs1_val==6 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x4, 0x4, 3f, x3, 748,0) + +inst_197: +// rs1_val==6 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb503, 0x2, 3f, x3, 752,0) + +inst_198: +// rs1_val==6 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x0, 0x6, 1b, x3, 756,0) + +inst_199: +// rs1_val==6 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666665, 0xa, 1b, x3, 760,0) + +inst_200: +// rs1_val==6 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x3, 764,0) + +inst_201: +// rs1_val==6 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555554, 0x20, 3f, x3, 768,0) + +inst_202: +// rs1_val==6 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x2, 0x0, 3f, x3, 772,0) + +inst_203: +// rs1_val==6 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x3, 776,0) + +inst_204: +// rs1_val==6 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb504, 0x200, 3f, x3, 780,0) + +inst_205: +// rs1_val==6 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666666, 0x40, 3f, x3, 784,0) + +inst_206: +// rs1_val==6 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333333, 0xa, 1b, x3, 788,0) + +inst_207: +// rs1_val==6 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x5, 0x200, 3f, x3, 792,0) + +inst_208: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555556, 0x0, 3f, x3, 796,0) + +inst_209: +// rs1_val==6 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555555, 0x2, 1b, x3, 800,0) + +inst_210: +// rs1_val==6 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x3, 0x40, 3f, x3, 804,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x3, 808,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb503, 0x2, 1b, x3, 812,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666667, 0x6, 1b, x3, 816,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333334, 0x556, 1b, x3, 820,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x6, 0x10, 3f, x3, 824,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555555, 0x20, 3f, x3, 828,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555556, 0x40, 3f, x3, 832,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x4, 0x8, 1b, x3, 836,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb503, 0x0, 1b, x3, 840,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x0, 0x0, 1b, x3, 844,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666665, 0x20, 3f, x3, 848,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333332, 0x556, 1b, x3, 852,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555554, 0x40, 3f, x3, 856,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x2, 0x400, 1b, x3, 860,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb504, 0x556, 1b, x3, 864,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb504, 0x10, 3f, x3, 868,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666666, 0x6, 3f, x3, 872,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333333, 0x400, 3f, x3, 876,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x5, 0x80, 3f, x3, 880,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555556, 0x4, 3f, x3, 884,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555555, 0x20, 3f, x3, 888,0) + +inst_232: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x3, 0x4, 1b, x3, 892,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb505, 0x80, 3f, x3, 896,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb503, 0x0, 3f, x3, 900,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666667, 0x10, 3f, x3, 904,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333334, 0x40, 3f, x3, 908,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x6, 0x556, 1b, x3, 912,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555555, 0x10, 3f, x3, 916,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x3, 920,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x4, 0x6, 3f, x3, 924,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb503, 0x20, 3f, x3, 928,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x0, 0x556, 1b, x3, 932,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x3, 936,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x3, 940,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555554, 0x8, 3f, x3, 944,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x2, 0xa, 1b, x3, 948,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x3, 952,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb504, 0x40, 3f, x3, 956,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 3f, x3, 960,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x3, 964,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x5, 0x556, 1b, x3, 968,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555556, 0x2, 3f, x3, 972,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555555, 0x100, 3f, x3, 976,0) + +inst_254: +// rs1_val==1431655766 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x3, 980,0) + +inst_255: +// rs1_val==4 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb505, 0x8, 1b, x3, 984,0) + +inst_256: +// rs1_val==4 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb503, 0x0, 1b, x3, 988,0) + +inst_257: +// rs1_val==4 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666667, 0x20, 3f, x3, 992,0) + +inst_258: +// rs1_val==4 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x3, 996,0) + +inst_259: +// rs1_val==4 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x6, 0x2, 1b, x3, 1000,0) + +inst_260: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555555, 0x100, 3f, x3, 1004,0) + +inst_261: +// rs1_val==4 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555556, 0x4, 3f, x3, 1008,0) + +inst_262: +// rs1_val==4 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x4, 0x400, 1b, x3, 1012,0) + +inst_263: +// rs1_val==4 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb503, 0x0, 3f, x3, 1016,0) + +inst_264: +// rs1_val==4 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x0, 0x2, 1b, x3, 1020,0) + +inst_265: +// rs1_val==4 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666665, 0x4, 3f, x3, 1024,0) + +inst_266: +// rs1_val==4 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333332, 0x100, 3f, x3, 1028,0) + +inst_267: +// rs1_val==4 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555554, 0x2, 1b, x3, 1032,0) + +inst_268: +// rs1_val==4 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x2, 0x6, 1b, x3, 1036,0) + +inst_269: +// rs1_val==4 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb504, 0x20, 3f, x3, 1040,0) + +inst_270: +// rs1_val==4 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb504, 0x400, 1b, x3, 1044,0) + +inst_271: +// rs1_val==4 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666666, 0x10, 3f, x3, 1048,0) + +inst_272: +// rs1_val==4 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333333, 0x2, 3f, x3, 1052,0) + +inst_273: +// rs1_val==4 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x3, 1056,0) + +inst_274: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555556, 0x2, 3f, x3, 1060,0) + +inst_275: +// rs1_val==4 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555555, 0x8, 1b, x3, 1064,0) + +inst_276: +// rs1_val==4 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x3, 0x556, 1b, x3, 1068,0) + +inst_277: +// rs1_val==46339 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb505, 0x2, 3f, x3, 1072,0) + +inst_278: +// rs1_val==46339 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb503, 0x6, 3f, x3, 1076,0) + +inst_279: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x3, 1080,0) + +inst_280: +// rs1_val==46339 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333334, 0x400, 3f, x3, 1084,0) + +inst_281: +// rs1_val==46339 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x3, 1088,0) + +inst_282: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555555, 0x20, 3f, x3, 1092,0) + +inst_283: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x3, 1096,0) + +inst_284: +// rs1_val==46339 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x4, 0x100, 3f, x3, 1100,0) + +inst_285: +// rs1_val==46339 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb503, 0x400, 3f, x3, 1104,0) + +inst_286: +// rs1_val==46339 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x0, 0x200, 3f, x3, 1108,0) + +inst_287: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666665, 0x4, 3f, x3, 1112,0) + +inst_288: +// rs1_val==46339 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333332, 0x4, 1b, x3, 1116,0) + +inst_289: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555554, 0x40, 3f, x3, 1120,0) + +inst_290: +// rs1_val==46339 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x2, 0x80, 3f, x3, 1124,0) + +inst_291: +// rs1_val==46339 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb504, 0x8, 3f, x3, 1128,0) + +inst_292: +// rs1_val==46339 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb504, 0x10, 3f, x3, 1132,0) + +inst_293: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x3, 1136,0) + +inst_294: +// rs1_val==46339 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333333, 0x200, 3f, x3, 1140,0) + +inst_295: +// rs1_val==46339 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x5, 0x200, 3f, x3, 1144,0) + +inst_296: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555556, 0x2, 1b, x3, 1148,0) + +inst_297: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x3, 1152,0) + +inst_298: +// rs1_val==46339 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x3, 0x2, 3f, x3, 1156,0) + +inst_299: +// rs1_val==0 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb505, 0x0, 3f, x3, 1160,0) + +inst_300: +// rs1_val==0 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb503, 0x4, 1b, x3, 1164,0) + +inst_301: +// rs1_val==0 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666667, 0x6, 1b, x3, 1168,0) + +inst_302: +// rs1_val==0 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333334, 0x80, 3f, x3, 1172,0) + +inst_303: +// rs1_val==0 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x6, 0x0, 1b, x3, 1176,0) + +inst_304: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555555, 0x0, 1b, x3, 1180,0) + +inst_305: +// rs1_val==0 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555556, 0x8, 1b, x3, 1184,0) + +inst_306: +// rs1_val==0 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x4, 0x80, 3f, x3, 1188,0) + +inst_307: +// rs1_val==0 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb503, 0x8, 3f, x3, 1192,0) + +inst_308: +// rs1_val==0 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x0, 0x4, 1b, x3, 1196,0) + +inst_309: +// rs1_val==0 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666665, 0x10, 3f, x3, 1200,0) + +inst_310: +// rs1_val==0 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333332, 0x0, 3f, x3, 1204,0) + +inst_311: +// rs1_val==0 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555554, 0x40, 3f, x3, 1208,0) + +inst_312: +// rs1_val==0 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x2, 0x20, 3f, x3, 1212,0) + +inst_313: +// rs1_val==0 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb504, 0x100, 3f, x3, 1216,0) + +inst_314: +// rs1_val==0 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb504, 0x556, 1b, x3, 1220,0) + +inst_315: +// rs1_val==0 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666666, 0x8, 1b, x3, 1224,0) + +inst_316: +// rs1_val==0 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333333, 0x4, 3f, x3, 1228,0) + +inst_317: +// rs1_val==0 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x5, 0x20, 3f, x3, 1232,0) + +inst_318: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x3, 1236,0) + +inst_319: +// rs1_val==0 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555555, 0x4, 1b, x3, 1240,0) + +inst_320: +// rs1_val==0 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x3, 0x400, 3f, x3, 1244,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb505, 0x100, 3f, x3, 1248,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb503, 0x400, 1b, x3, 1252,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666667, 0x2, 3f, x3, 1256,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333334, 0x400, 3f, x3, 1260,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x6, 0x10, 3f, x3, 1264,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 1b, x3, 1268,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555556, 0xa, 1b, x3, 1272,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x4, 0x4, 3f, x3, 1276,0) + +inst_329: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x3, 1280,0) + +inst_330: +// rs1_val==1717986917 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x0, 0x2, 3f, x3, 1284,0) + +inst_331: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x3, 1288,0) + +inst_332: +// rs1_val==858993459 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x0, 0x0, 3f, x3, 1292,0) + +inst_333: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x3, 1296,0) + +inst_334: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x3, 1300,0) + +inst_335: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555554, 0x400, 3f, x3, 1304,0) + +inst_336: +// rs1_val==858993459 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x2, 0x10, 3f, x3, 1308,0) + +inst_337: +// rs1_val==858993459 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x3, 1312,0) + +inst_338: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb504, 0x80, 3f, x3, 1316,0) + +inst_339: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x3, 1320,0) + +inst_340: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x3, 1324,0) + +inst_341: +// rs1_val==858993459 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x5, 0x6, 1b, x3, 1328,0) + +inst_342: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x3, 1332,0) + +inst_343: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x3, 1336,0) + +inst_344: +// rs1_val==858993459 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x3, 0x400, 3f, x3, 1340,0) + +inst_345: +// rs1_val==5 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb505, 0x4, 1b, x3, 1344,0) + +inst_346: +// rs1_val==5 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb503, 0x2, 1b, x3, 1348,0) + +inst_347: +// rs1_val==5 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666667, 0x4, 3f, x3, 1352,0) + +inst_348: +// rs1_val==5 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333334, 0x4, 1b, x3, 1356,0) + +inst_349: +// rs1_val==5 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x6, 0x2, 1b, x3, 1360,0) + +inst_350: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555555, 0x400, 3f, x3, 1364,0) + +inst_351: +// rs1_val==5 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555556, 0x6, 1b, x3, 1368,0) + +inst_352: +// rs1_val==5 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x4, 0x6, 3f, x3, 1372,0) + +inst_353: +// rs1_val==5 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x3, 1376,0) + +inst_354: +// rs1_val==5 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x0, 0x400, 3f, x3, 1380,0) + +inst_355: +// rs1_val==5 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666665, 0x400, 3f, x3, 1384,0) + +inst_356: +// rs1_val==5 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333332, 0x0, 3f, x3, 1388,0) + +inst_357: +// rs1_val==5 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555554, 0x2, 1b, x3, 1392,0) + +inst_358: +// rs1_val==5 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x2, 0x20, 3f, x3, 1396,0) + +inst_359: +// rs1_val==5 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb504, 0x40, 3f, x3, 1400,0) + +inst_360: +// rs1_val==5 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb504, 0x4, 1b, x3, 1404,0) + +inst_361: +// rs1_val==5 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666666, 0x0, 3f, x3, 1408,0) + +inst_362: +// rs1_val==5 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333333, 0x556, 1b, x3, 1412,0) + +inst_363: +// rs1_val==5 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x5, 0x200, 3f, x3, 1416,0) + +inst_364: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555556, 0x400, 3f, x3, 1420,0) + +inst_365: +// rs1_val==5 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555555, 0x400, 1b, x3, 1424,0) + +inst_366: +// rs1_val==5 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x3, 0x4, 3f, x3, 1428,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x3, 1432,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb503, 0x8, 1b, x3, 1436,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666667, 0x6, 1b, x3, 1440,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333334, 0xa, 1b, x3, 1444,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x6, 0x4, 3f, x3, 1448,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555555, 0x200, 3f, x3, 1452,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555556, 0x80, 3f, x3, 1456,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x3, 1460,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb503, 0x0, 1b, x3, 1464,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x0, 0xa, 1b, x3, 1468,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666665, 0x556, 1b, x3, 1472,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333332, 0x20, 3f, x3, 1476,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555554, 0x8, 1b, x3, 1480,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x2, 0x6, 3f, x3, 1484,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x3, 1488,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb504, 0x556, 1b, x3, 1492,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666666, 0x556, 1b, x3, 1496,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333333, 0x20, 3f, x3, 1500,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x5, 0x0, 3f, x3, 1504,0) + +inst_386: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555556, 0x6, 3f, x3, 1508,0) + +inst_387: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555555, 0x2, 1b, x3, 1512,0) + +inst_388: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x3, 0xa, 1b, x3, 1516,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb505, 0x4, 3f, x3, 1520,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x3, 1524,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x3, 1528,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x3, 1532,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x6, 0x4, 1b, x3, 1536,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555555, 0x4, 1b, x3, 1540,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555556, 0x8, 1b, x3, 1544,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x4, 0x10, 3f, x3, 1548,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb503, 0x400, 1b, x3, 1552,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x0, 0x556, 1b, x3, 1556,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666665, 0x80, 3f, x3, 1560,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333332, 0x10, 3f, x3, 1564,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555554, 0x200, 3f, x3, 1568,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x2, 0x0, 3f, x3, 1572,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb504, 0x10, 3f, x3, 1576,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb504, 0x8, 3f, x3, 1580,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666666, 0x200, 3f, x3, 1584,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333333, 0x400, 3f, x3, 1588,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x5, 0x8, 1b, x3, 1592,0) + +inst_408: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555556, 0x200, 3f, x3, 1596,0) + +inst_409: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555555, 0x4, 3f, x3, 1600,0) + +inst_410: +// rs1_val==1431655765 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x3, 0x400, 3f, x3, 1604,0) + +inst_411: +// rs1_val==3 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb505, 0x6, 3f, x3, 1608,0) + +inst_412: +// rs1_val==3 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb503, 0xa, 1b, x3, 1612,0) + +inst_413: +// rs1_val==3 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666667, 0x20, 3f, x3, 1616,0) + +inst_414: +// rs1_val==3 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333334, 0x8, 1b, x3, 1620,0) + +inst_415: +// rs1_val==3 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x6, 0x100, 3f, x3, 1624,0) + +inst_416: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x3, 1628,0) + +inst_417: +// rs1_val==3 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555556, 0x10, 3f, x3, 1632,0) + +inst_418: +// rs1_val==3 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x4, 0x8, 3f, x3, 1636,0) + +inst_419: +// rs1_val==3 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb503, 0x4, 3f, x3, 1640,0) + +inst_420: +// rs1_val==3 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x0, 0x2, 1b, x3, 1644,0) + +inst_421: +// rs1_val==3 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666665, 0x400, 1b, x3, 1648,0) + +inst_422: +// rs1_val==3 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333332, 0x200, 3f, x3, 1652,0) + +inst_423: +// rs1_val==3 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555554, 0x0, 3f, x3, 1656,0) + +inst_424: +// rs1_val==3 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2, 0x200, 3f, x3, 1660,0) + +inst_425: +// rs1_val==3 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb504, 0x556, 1b, x3, 1664,0) + +inst_426: +// rs1_val==3 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb504, 0x40, 3f, x3, 1668,0) + +inst_427: +// rs1_val==3 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666666, 0x4, 1b, x3, 1672,0) + +inst_428: +// rs1_val==3 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333333, 0x0, 1b, x3, 1676,0) + +inst_429: +// rs1_val==3 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x5, 0x4, 3f, x3, 1680,0) + +inst_430: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555556, 0xa, 1b, x3, 1684,0) + +inst_431: +// rs1_val==3 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555555, 0x556, 1b, x3, 1688,0) + +inst_432: +// rs1_val==3 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x3, 0x6, 3f, x3, 1692,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333332, 0x0, 1b, x3, 1696,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555554, 0x8, 3f, x3, 1700,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x2, 0x8, 1b, x3, 1704,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb504, 0x20, 3f, x3, 1708,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x3, 1712,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666666, 0x400, 1b, x3, 1716,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333333, 0x8, 1b, x3, 1720,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x5, 0x8, 1b, x3, 1724,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555556, 0x20, 3f, x3, 1728,0) + +inst_442: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 1b, x3, 1732,0) + +inst_443: +// rs1_val==1717986917 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x3, 0x0, 3f, x3, 1736,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb505, 0x8, 1b, x3, 1740,0) + +inst_445: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb503, 0x20, 3f, x3, 1744,0) + +inst_446: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666667, 0x400, 1b, x3, 1748,0) + +inst_447: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333334, 0x0, 1b, x3, 1752,0) + +inst_448: +// rs1_val==858993458 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x3, 1756,0) + +inst_449: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x3, 1760,0) + +inst_450: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x3, 1764,0) + +inst_451: +// rs1_val==858993458 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x4, 0x4, 1b, x3, 1768,0) + +inst_452: +// rs1_val==858993458 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb503, 0x0, 1b, x3, 1772,0) + +inst_453: +// rs1_val==858993458 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x0, 0x8, 3f, x3, 1776,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666665, 0x400, 3f, x3, 1780,0) + +inst_455: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333332, 0x8, 3f, x3, 1784,0) + +inst_456: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x3, 1788,0) + +inst_457: +// rs1_val==858993458 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x2, 0x4, 1b, x3, 1792,0) + +inst_458: +// rs1_val==858993458 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x3, 1796,0) + +inst_459: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb504, 0x10, 3f, x3, 1800,0) + +inst_460: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666666, 0x200, 3f, x3, 1804,0) + +inst_461: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333333, 0x6, 3f, x3, 1808,0) + +inst_462: +// rs1_val==858993458 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x5, 0x400, 1b, x3, 1812,0) + +inst_463: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555556, 0xa, 1b, x3, 1816,0) + +inst_464: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555555, 0x6, 3f, x3, 1820,0) + +inst_465: +// rs1_val==858993458 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x3, 1824,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb505, 0x40, 3f, x3, 1828,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb503, 0x40, 3f, x3, 1832,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666667, 0x200, 3f, x3, 1836,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x3, 1840,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x6, 0x400, 1b, x3, 1844,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x3, 1848,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555556, 0x40, 3f, x3, 1852,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x4, 0x0, 3f, x3, 1856,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb503, 0x0, 1b, x3, 1860,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x0, 0x10, 3f, x3, 1864,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666665, 0x20, 3f, x3, 1868,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x3, 1872,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555554, 0x400, 1b, x3, 1876,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x2, 0x200, 3f, x3, 1880,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x3, 1884,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb504, 0x0, 3f, x3, 1888,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666666, 0x4, 1b, x3, 1892,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 3f, x3, 1896,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x5, 0x100, 3f, x3, 1900,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555556, 0x0, 1b, x3, 1904,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x3, 1908,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x3, 0x20, 3f, x3, 1912,0) + +inst_488: +// rs1_val==2 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb505, 0x2, 3f, x3, 1916,0) + +inst_489: +// rs1_val==2 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb503, 0x2, 3f, x3, 1920,0) + +inst_490: +// rs1_val==2 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666667, 0x4, 1b, x3, 1924,0) + +inst_491: +// rs1_val==2 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333334, 0x10, 3f, x3, 1928,0) + +inst_492: +// rs1_val==2 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x6, 0xa, 1b, x3, 1932,0) + +inst_493: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555555, 0x4, 3f, x3, 1936,0) + +inst_494: +// rs1_val==2 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555556, 0x2, 1b, x3, 1940,0) + +inst_495: +// rs1_val==2 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x4, 0x8, 1b, x3, 1944,0) + +inst_496: +// rs1_val==2 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb503, 0x4, 1b, x3, 1948,0) + +inst_497: +// rs1_val==2 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x0, 0x6, 1b, x3, 1952,0) + +inst_498: +// rs1_val==2 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666665, 0x40, 3f, x3, 1956,0) + +inst_499: +// rs1_val==2 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333332, 0x400, 3f, x3, 1960,0) + +inst_500: +// rs1_val==2 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555554, 0x40, 3f, x3, 1964,0) + +inst_501: +// rs1_val==2 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x2, 0x2, 3f, x3, 1968,0) + +inst_502: +// rs1_val==2 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb504, 0x4, 1b, x3, 1972,0) + +inst_503: +// rs1_val==2 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb504, 0x8, 3f, x3, 1976,0) + +inst_504: +// rs1_val==2 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666666, 0x200, 3f, x3, 1980,0) + +inst_505: +// rs1_val==2 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333333, 0x0, 3f, x3, 1984,0) + +inst_506: +// rs1_val==2 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x5, 0x8, 1b, x3, 1988,0) + +inst_507: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555556, 0x40, 3f, x3, 1992,0) + +inst_508: +// rs1_val==2 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555555, 0x2, 3f, x3, 1996,0) + +inst_509: +// rs1_val==2 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x3, 0x100, 3f, x3, 2000,0) + +inst_510: +// rs1_val==46340 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb505, 0x4, 3f, x3, 2004,0) + +inst_511: +// rs1_val==46340 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb503, 0x100, 3f, x3, 2008,0) + +inst_512: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x3, 2012,0) + +inst_513: +// rs1_val==46340 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333334, 0x6, 1b, x3, 2016,0) + +inst_514: +// rs1_val==46340 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x6, 0x2, 1b, x3, 2020,0) + +inst_515: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555555, 0x6, 3f, x3, 2024,0) + +inst_516: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555556, 0x8, 1b, x3, 2028,0) + +inst_517: +// rs1_val==46340 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x3, 2032,0) + +inst_518: +// rs1_val==46340 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb503, 0x6, 1b, x3, 2036,0) + +inst_519: +// rs1_val==46340 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x0, 0x40, 3f, x3, 2040,0) + +inst_520: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666665, 0x4, 3f, x3, 2044,0) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_521: +// rs1_val==46340 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333332, 0xa, 1b, x3, 0,0) + +inst_522: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555554, 0x556, 1b, x3, 4,0) + +inst_523: +// rs1_val==46340 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x2, 0x8, 1b, x3, 8,0) + +inst_524: +// rs1_val==46340 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb504, 0xa, 1b, x3, 12,0) + +inst_525: +// rs1_val==46340 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb504, 0x0, 1b, x3, 16,0) + +inst_526: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666666, 0x6, 1b, x3, 20,0) + +inst_527: +// rs1_val==46340 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333333, 0xa, 1b, x3, 24,0) + +inst_528: +// rs1_val==46340 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x5, 0x80, 3f, x3, 28,0) + +inst_529: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555556, 0x80, 3f, x3, 32,0) + +inst_530: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555555, 0x6, 3f, x3, 36,0) + +inst_531: +// rs1_val==46340 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x3, 0x80, 3f, x3, 40,0) + +inst_532: +// rs1_val==-46340 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb505, 0x0, 1b, x3, 44,0) + +inst_533: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb503, 0x10, 3f, x3, 48,0) + +inst_534: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666667, 0x8, 3f, x3, 52,0) + +inst_535: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333334, 0xa, 1b, x3, 56,0) + +inst_536: +// rs1_val==-46340 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x6, 0xa, 1b, x3, 60,0) + +inst_537: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x3, 64,0) + +inst_538: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555556, 0x400, 3f, x3, 68,0) + +inst_539: +// rs1_val==-46340 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x4, 0x80, 3f, x3, 72,0) + +inst_540: +// rs1_val==-46340 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb503, 0x8, 3f, x3, 76,0) + +inst_541: +// rs1_val==-46340 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x3, 80,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x3, 84,0) + +inst_543: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333332, 0x400, 1b, x3, 88,0) + +inst_544: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555554, 0x2, 3f, x3, 92,0) + +inst_545: +// rs1_val==-46340 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x2, 0x100, 3f, x3, 96,0) + +inst_546: +// rs1_val==-46340 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb504, 0x2, 1b, x3, 100,0) + +inst_547: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb504, 0x200, 3f, x3, 104,0) + +inst_548: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666666, 0x4, 3f, x3, 108,0) + +inst_549: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333333, 0x556, 1b, x3, 112,0) + +inst_550: +// rs1_val==-46340 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x5, 0x40, 3f, x3, 116,0) + +inst_551: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555556, 0x0, 1b, x3, 120,0) + +inst_552: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555555, 0x10, 3f, x3, 124,0) + +inst_553: +// rs1_val==-46340 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x3, 128,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x3, 132,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb503, 0xa, 1b, x3, 136,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666667, 0x400, 1b, x3, 140,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333334, 0x200, 3f, x3, 144,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x6, 0x0, 1b, x3, 148,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555555, 0x40, 3f, x3, 152,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x3, 156,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4, 0x400, 3f, x3, 160,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb503, 0x80, 3f, x3, 164,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x3, 168,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666665, 0x4, 1b, x3, 172,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333332, 0x10, 3f, x3, 176,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x3, 180,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x2, 0x2, 3f, x3, 184,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb504, 0x400, 1b, x3, 188,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb504, 0x400, 1b, x3, 192,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666666, 0x6, 3f, x3, 196,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333333, 0x8, 3f, x3, 200,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x5, 0x556, 1b, x3, 204,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555556, 0x8, 1b, x3, 208,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555555, 0x0, 1b, x3, 212,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x3, 0x8, 1b, x3, 216,0) + +inst_576: +// rs1_val==858993459 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb505, 0x10, 3f, x3, 220,0) + +inst_577: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb503, 0x80, 3f, x3, 224,0) + +inst_578: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666667, 0x556, 1b, x3, 228,0) + +inst_579: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333334, 0x2, 1b, x3, 232,0) + +inst_580: +// rs1_val==858993459 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x6, 0x2, 1b, x3, 236,0) + +inst_581: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555555, 0x400, 1b, x3, 240,0) + +inst_582: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x3, 244,0) + +inst_583: +// rs1_val==858993459 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x4, 0x20, 3f, x3, 248,0) + +inst_584: +// rs1_val==858993459 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb503, 0x80, 3f, x3, 252,0) + +inst_585: +// rs2_val == -268435457, rs1_val == 1048576 +// opcode: blt, op1:x10; op2:x11; op1val:0x100000; op2val:-0x10000001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x100000, -0x10000001, 0x200, 3f, x3, 256,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x6_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x6_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 65*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S new file mode 100644 index 000000000..e6e5b69ac --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S @@ -0,0 +1,3716 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bltu instruction of the RISC-V E extension for the bltu covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bltu) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 == rs2, rs1==x4, rs2==x4, rs1_val > 0 and rs2_val > 0, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs2_val == 512 +// opcode: bltu, op1:x4; op2:x4; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x4, x4, 0xdfffffff, 0xdfffffff, 0x6, 3f, x1, 0,0) + +inst_1: +// rs1 != rs2, rs1==x5, rs2==x6, rs2_val == 2147483647, rs1_val == 268435456, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 +// opcode: bltu, op1:x5; op2:x6; op1val:0x10000000; op2val:0x7fffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x5, x6, 0x10000000, 0x7fffffff, 0x6, 3f, x1, 4,0) + +inst_2: +// rs1==x15, rs2==x8, rs2_val == 3221225471, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 +// opcode: bltu, op1:x15; op2:x8; op1val:0xdfffffff; op2val:0xbfffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x7, x15, x8, 0xdfffffff, 0xbfffffff, 0x8, 1b, x1, 8,0) + +inst_3: +// rs1==x13, rs2==x15, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 +// opcode: bltu, op1:x13; op2:x15; op1val:0x3; op2val:0xdfffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x7, x13, x15, 0x3, 0xdfffffff, 0x0, 1b, x1, 12,0) + +inst_4: +// rs1==x2, rs2==x14, rs2_val == 4026531839, rs1_val == 134217728 +// opcode: bltu, op1:x2; op2:x14; op1val:0x8000000; op2val:0xefffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x2, x14, 0x8000000, 0xefffffff, 0x6, 1b, x1, 16,0) + +inst_5: +// rs1==x9, rs2==x11, rs2_val == 4160749567, +// opcode: bltu, op1:x9; op2:x11; op1val:0x66666665; op2val:0xf7ffffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x7, x9, x11, 0x66666665, 0xf7ffffff, 0x4, 1b, x1, 20,0) + +inst_6: +// rs1==x3, rs2==x2, rs2_val == 4227858431, +// opcode: bltu, op1:x3; op2:x2; op1val:0xffffffff; op2val:0xfbffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x7, x3, x2, 0xffffffff, 0xfbffffff, 0x0, 1b, x1, 24,0) + +inst_7: +// rs1==x7, rs2==x5, rs2_val == 4261412863, rs1_val == 2 +// opcode: bltu, op1:x7; op2:x5; op1val:0x2; op2val:0xfdffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x4, x7, x5, 0x2, 0xfdffffff, 0x6, 3f, x1, 28,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x6, rs2==x12, rs2_val == 4278190079, +// opcode: bltu, op1:x6; op2:x12; op1val:0x66666665; op2val:0xfeffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x4, x6, x12, 0x66666665, 0xfeffffff, 0x8, 3f, x2, 0,0) + +inst_9: +// rs1==x1, rs2==x9, rs2_val == 4286578687, rs1_val == 4294967167 +// opcode: bltu, op1:x1; op2:x9; op1val:0xffffff7f; op2val:0xff7fffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x4, x1, x9, 0xffffff7f, 0xff7fffff, 0x4, 3f, x2, 4,0) + +inst_10: +// rs1==x12, rs2==x10, rs2_val == 4290772991, rs1_val == 2147483648 +// opcode: bltu, op1:x12; op2:x10; op1val:0x80000000; op2val:0xffbfffff; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x4, x12, x10, 0x80000000, 0xffbfffff, 0x20, 3f, x2, 8,0) + +inst_11: +// rs1==x14, rs2==x13, rs2_val == 4292870143, +// opcode: bltu, op1:x14; op2:x13; op1val:0x0; op2val:0xffdfffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x4, x14, x13, 0x0, 0xffdfffff, 0x0, 3f, x2, 12,0) + +inst_12: +// rs1==x10, rs2==x7, rs2_val == 4293918719, +// opcode: bltu, op1:x10; op2:x7; op1val:0xffffff7f; op2val:0xffefffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x4, x10, x7, 0xffffff7f, 0xffefffff, 0x100, 3f, x2, 16,0) + +inst_13: +// rs1==x0, rs2==x3, rs2_val == 4294443007, rs1_val == 4290772991 +// opcode: bltu, op1:x0; op2:x3; op1val:0x0; op2val:0xfff7ffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x4, x0, x3, 0x0, 0xfff7ffff, 0x6, 1b, x2, 20,0) + +inst_14: +// rs1==x11, rs2==x0, rs2_val == 4294705151, +// opcode: bltu, op1:x11; op2:x0; op1val:0x9; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x4, x11, x0, 0x9, 0x0, 0x40, 3f, x2, 24,0) + +inst_15: +// rs1==x8, rs2==x1, rs2_val == 4294836223, rs1_val == 4294836223 +// opcode: bltu, op1:x8; op2:x1; op1val:0xfffdffff; op2val:0xfffdffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x8, x1, 0xfffdffff, 0xfffdffff, 0x0, 3f, x2, 28,0) + +inst_16: +// rs2_val == 4294901759, rs1_val == 2147483647 +// opcode: bltu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffeffff; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7fffffff, 0xfffeffff, 0x556, 1b, x2, 32,0) + +inst_17: +// rs2_val == 4294934527, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff7fff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff7fff, 0x8, 3f, x2, 36,0) + +inst_18: +// rs2_val == 4294950911, rs1_val == 4026531839 +// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0xffffbfff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0xffffbfff, 0x80, 3f, x2, 40,0) + +inst_19: +// rs2_val == 4294959103, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0, rs1_val == 4294959103 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xffffdfff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0xffffdfff, 0x8, 1b, x2, 44,0) + +inst_20: +// rs2_val == 4294963199, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xffffefff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000000, 0xffffefff, 0x0, 1b, x2, 48,0) + +inst_21: +// rs2_val == 4294965247, rs1_val == 8192 +// opcode: bltu, op1:x10; op2:x11; op1val:0x2000; op2val:0xfffff7ff; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000, 0xfffff7ff, 0xa, 1b, x2, 52,0) + +inst_22: +// rs2_val == 4294966271, rs1_val == 1 +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffffbff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffffbff, 0x8, 1b, x2, 56,0) + +inst_23: +// rs2_val == 4294966783, +// opcode: bltu, op1:x10; op2:x11; op1val:0x12; op2val:0xfffffdff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x12, 0xfffffdff, 0x10, 3f, x2, 60,0) + +inst_24: +// rs2_val == 4294967039, rs1_val == 16 +// opcode: bltu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10, 0xfffffeff, 0x4, 3f, x2, 64,0) + +inst_25: +// rs2_val == 4294967167, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffffff7f; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffffff7f, 0x40, 3f, x2, 68,0) + +inst_26: +// rs2_val == 4294967231, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffffffbf; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffffffbf, 0x6, 1b, x2, 72,0) + +inst_27: +// rs2_val == 4294967263, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffffffdf; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffffffdf, 0x8, 3f, x2, 76,0) + +inst_28: +// rs2_val == 4294967279, rs1_val == 64 +// opcode: bltu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffffef; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40, 0xffffffef, 0x6, 3f, x2, 80,0) + +inst_29: +// rs2_val == 4294967287, +// opcode: bltu, op1:x10; op2:x11; op1val:0xe; op2val:0xfffffff7; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xe, 0xfffffff7, 0x40, 3f, x2, 84,0) + +inst_30: +// rs2_val == 4294967291, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffffffb; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffffffb, 0x2, 3f, x2, 88,0) + +inst_31: +// rs2_val == 4294967293, rs1_val == 131072 +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0xfffffffd; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0xfffffffd, 0x80, 3f, x2, 92,0) + +inst_32: +// rs2_val == 4294967294, +// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0xfffffffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0xfffffffe, 0x2, 1b, x2, 96,0) + +inst_33: +// rs1_val == 3221225471, rs2_val == 8192 +// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x2000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x2000, 0x4, 3f, x2, 100,0) + +inst_34: +// rs1_val == 4160749567, rs2_val == 67108864 +// opcode: bltu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf7ffffff, 0x4000000, 0x4, 1b, x2, 104,0) + +inst_35: +// rs1_val == 4227858431, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfbffffff, 0x55555556, 0x6, 1b, x2, 108,0) + +inst_36: +// rs1_val == 4261412863, rs2_val == 1431655765 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfdffffff; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfdffffff, 0x55555555, 0x40, 3f, x2, 112,0) + +inst_37: +// rs1_val == 4278190079, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfeffffff, 0xffff, 0x8, 3f, x2, 116,0) + +inst_38: +// rs1_val == 4286578687, +// opcode: bltu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0x200; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xff7fffff, 0x200, 0x4, 3f, x2, 120,0) + +inst_39: +// rs1_val == 4292870143, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffdfffff, 0x9, 0x2, 1b, x2, 124,0) + +inst_40: +// rs1_val == 4293918719, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x7fffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x7fffffff, 0xa, 1b, x2, 128,0) + +inst_41: +// rs1_val == 4294443007, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x55555555, 0x0, 3f, x2, 132,0) + +inst_42: +// rs1_val == 4294705151, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfff7ffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffbffff, 0xfff7ffff, 0x40, 3f, x2, 136,0) + +inst_43: +// rs1_val == 4294901759, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffeffff, 0x5, 0x4, 3f, x2, 140,0) + +inst_44: +// rs1_val == 4294934527, rs2_val == 256 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x100; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff7fff, 0x100, 0x10, 3f, x2, 144,0) + +inst_45: +// rs1_val == 4294950911, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffbfff, 0x33333334, 0x40, 3f, x2, 148,0) + +inst_46: +// rs1_val == 4294963199, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x55555556, 0x0, 1b, x2, 152,0) + +inst_47: +// rs1_val == 4294965247, rs2_val == 8 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x8; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffff7ff, 0x8, 0x556, 1b, x2, 156,0) + +inst_48: +// rs1_val == 4294966271, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xfffdffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffbff, 0xfffdffff, 0x100, 3f, x2, 160,0) + +inst_49: +// rs1_val == 4294966783, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x8; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffdff, 0x8, 0x4, 3f, x2, 164,0) + +inst_50: +// rs1_val == 4294967039, rs2_val == 131072 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x20000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffeff, 0x20000, 0x6, 3f, x2, 168,0) + +inst_51: +// rs1_val == 4294967231, rs2_val == 64 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0x40; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffbf, 0x40, 0x0, 3f, x2, 172,0) + +inst_52: +// rs1_val == 4294967263, rs2_val == 2863311530 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xaaaaaaaa; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffdf, 0xaaaaaaaa, 0x100, 3f, x2, 176,0) + +inst_53: +// rs1_val == 4294967279, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x11; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffef, 0x11, 0x556, 1b, x2, 180,0) + +inst_54: +// rs1_val == 4294967287, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffff7, 0x33333332, 0x0, 1b, x2, 184,0) + +inst_55: +// rs1_val == 4294967291, rs2_val == 2 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffb, 0x2, 0x100, 3f, x2, 188,0) + +inst_56: +// rs1_val == 4294967293, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffd, 0xfffe, 0x100, 3f, x2, 192,0) + +inst_57: +// rs1_val == 4294967294, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffe, 0x66666667, 0x200, 3f, x2, 196,0) + +inst_58: +// rs2_val == 2147483648, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x80000000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x80000000, 0x6, 3f, x2, 200,0) + +inst_59: +// rs2_val == 1073741824, +// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x40000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x40000000, 0x4, 3f, x2, 204,0) + +inst_60: +// rs2_val == 536870912, rs1_val == 4 +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x20000000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x20000000, 0x0, 3f, x2, 208,0) + +inst_61: +// rs2_val == 268435456, +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0x10000000; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0x10000000, 0x80, 3f, x2, 212,0) + +inst_62: +// rs2_val == 134217728, +// opcode: bltu, op1:x10; op2:x11; op1val:0x11; op2val:0x8000000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x11, 0x8000000, 0x8, 1b, x2, 216,0) + +inst_63: +// rs2_val == 33554432, rs1_val == 536870912 +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x2000000; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000000, 0x2000000, 0x556, 1b, x2, 220,0) + +inst_64: +// rs2_val == 16777216, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x1000000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffdffff, 0x1000000, 0x400, 1b, x2, 224,0) + +inst_65: +// rs2_val == 8388608, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x800000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x800000, 0x0, 3f, x2, 228,0) + +inst_66: +// rs2_val == 4194304, +// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x400000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0x400000, 0x4, 1b, x2, 232,0) + +inst_67: +// rs2_val == 2097152, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x200000; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x200000, 0x20, 3f, x2, 236,0) + +inst_68: +// rs2_val == 1048576, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x100000; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x100000, 0x556, 1b, x2, 240,0) + +inst_69: +// rs2_val == 524288, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x80000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x80000, 0x6, 3f, x2, 244,0) + +inst_70: +// rs2_val == 262144, +// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0x40000; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0x40000, 0x80, 3f, x2, 248,0) + +inst_71: +// rs2_val == 65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x10000, 0x4, 1b, x2, 252,0) + +inst_72: +// rs2_val == 32768, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x8000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x8000, 0x4, 3f, x2, 256,0) + +inst_73: +// rs2_val == 16384, +// opcode: bltu, op1:x10; op2:x11; op1val:0xf; op2val:0x4000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf, 0x4000, 0x4, 1b, x2, 260,0) + +inst_74: +// rs2_val == 4096, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x1000, 0x400, 1b, x2, 264,0) + +inst_75: +// rs2_val == 2048, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x800; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x800, 0x20, 3f, x2, 268,0) + +inst_76: +// rs2_val == 1024, rs1_val == 1431655765 +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x400, 0x6, 1b, x2, 272,0) + +inst_77: +// rs2_val == 128, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x80, 0x8, 3f, x2, 276,0) + +inst_78: +// rs2_val == 32, rs1_val == 4194304 +// opcode: bltu, op1:x10; op2:x11; op1val:0x400000; op2val:0x20; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400000, 0x20, 0x2, 3f, x2, 280,0) + +inst_79: +// rs2_val == 16, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0x10; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffbfffff, 0x10, 0x4, 1b, x2, 284,0) + +inst_80: +// rs2_val == 4, rs1_val == 67108864 +// opcode: bltu, op1:x10; op2:x11; op1val:0x4000000; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000000, 0x4, 0x556, 1b, x2, 288,0) + +inst_81: +// rs2_val == 1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x1, 0x0, 3f, x2, 292,0) + +inst_82: +// rs1_val == 1073741824, +// opcode: bltu, op1:x10; op2:x11; op1val:0x40000000; op2val:0x2000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000000, 0x2000000, 0x4, 1b, x2, 296,0) + +inst_83: +// rs1_val == 33554432, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000000, 0xaaaaaaab, 0x6, 1b, x2, 300,0) + +inst_84: +// rs1_val == 16777216, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000000, 0x40000000, 0x40, 3f, x2, 304,0) + +inst_85: +// rs1_val == 8388608, +// opcode: bltu, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800000, 0x40000, 0x40, 3f, x2, 308,0) + +inst_86: +// rs1_val == 2097152, +// opcode: bltu, op1:x10; op2:x11; op1val:0x200000; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200000, 0x55555556, 0xa, 1b, x2, 312,0) + +inst_87: +// rs1_val == 1048576, +// opcode: bltu, op1:x10; op2:x11; op1val:0x100000; op2val:0x80000000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100000, 0x80000000, 0x10, 3f, x2, 316,0) + +inst_88: +// rs1_val == 524288, +// opcode: bltu, op1:x10; op2:x11; op1val:0x80000; op2val:0xb; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80000, 0xb, 0x40, 3f, x2, 320,0) + +inst_89: +// rs1_val == 262144, +// opcode: bltu, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000, 0x1, 0x400, 1b, x2, 324,0) + +inst_90: +// rs1_val == 65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffff7ff; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffff7ff, 0x556, 1b, x2, 328,0) + +inst_91: +// rs1_val == 32768, +// opcode: bltu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffdff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8000, 0xfffffdff, 0x80, 3f, x2, 332,0) + +inst_92: +// rs1_val == 16384, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4000; op2val:0xfffffffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000, 0xfffffffe, 0x0, 3f, x2, 336,0) + +inst_93: +// rs1_val == 4096, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffffb; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000, 0xfffffffb, 0x0, 3f, x2, 340,0) + +inst_94: +// rs1_val == 2048, +// opcode: bltu, op1:x10; op2:x11; op1val:0x800; op2val:0x4000000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800, 0x4000000, 0x6, 1b, x2, 344,0) + +inst_95: +// rs1_val == 1024, +// opcode: bltu, op1:x10; op2:x11; op1val:0x400; op2val:0x13; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400, 0x13, 0x0, 3f, x2, 348,0) + +inst_96: +// rs1_val == 512, +// opcode: bltu, op1:x10; op2:x11; op1val:0x200; op2val:0x20; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200, 0x20, 0x8, 3f, x2, 352,0) + +inst_97: +// rs1_val == 256, +// opcode: bltu, op1:x10; op2:x11; op1val:0x100; op2val:0xfffff7ff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100, 0xfffff7ff, 0x6, 3f, x2, 356,0) + +inst_98: +// rs1_val == 128, +// opcode: bltu, op1:x10; op2:x11; op1val:0x80; op2val:0x7; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80, 0x7, 0x10, 3f, x2, 360,0) + +inst_99: +// rs1_val == 32, +// opcode: bltu, op1:x10; op2:x11; op1val:0x20; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20, 0x10000, 0x400, 1b, x2, 364,0) + +inst_100: +// rs1_val == 8, +// opcode: bltu, op1:x10; op2:x11; op1val:0x8; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8, 0x10000, 0x100, 3f, x2, 368,0) + +inst_101: +// rs1_val==65536 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x10000, 0x2, 1b, x2, 372,0) + +inst_102: +// rs1_val==65536 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x1, 0x6, 1b, x2, 376,0) + +inst_103: +// rs1_val==65536 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb505, 0x556, 1b, x2, 380,0) + +inst_104: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666667, 0x2, 1b, x2, 384,0) + +inst_105: +// rs1_val==65536 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333334, 0x4, 3f, x2, 388,0) + +inst_106: +// rs1_val==65536 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x6, 0x4, 3f, x2, 392,0) + +inst_107: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaab, 0x20, 3f, x2, 396,0) + +inst_108: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555556, 0x100, 3f, x2, 400,0) + +inst_109: +// rs1_val==65536 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x4, 0x20, 3f, x2, 404,0) + +inst_110: +// rs1_val==65536 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffe, 0x20, 3f, x2, 408,0) + +inst_111: +// rs1_val==65536 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x0, 0x0, 1b, x2, 412,0) + +inst_112: +// rs1_val==65536 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb503, 0x0, 1b, x2, 416,0) + +inst_113: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666665, 0x2, 1b, x2, 420,0) + +inst_114: +// rs1_val==65536 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333332, 0x556, 1b, x2, 424,0) + +inst_115: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaa9, 0x400, 3f, x2, 428,0) + +inst_116: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555554, 0x80, 3f, x2, 432,0) + +inst_117: +// rs1_val==65536 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x2, 0x556, 1b, x2, 436,0) + +inst_118: +// rs1_val==65536 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xffff, 0x0, 3f, x2, 440,0) + +inst_119: +// rs1_val==65536 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb504, 0x10, 3f, x2, 444,0) + +inst_120: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666666, 0x400, 1b, x2, 448,0) + +inst_121: +// rs1_val==65536 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x2, 452,0) + +inst_122: +// rs1_val==65536 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x5, 0x400, 1b, x2, 456,0) + +inst_123: +// rs1_val==65536 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaaa, 0x0, 1b, x2, 460,0) + +inst_124: +// rs1_val==65536 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555555, 0x2, 1b, x2, 464,0) + +inst_125: +// rs1_val==65536 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x3, 0x80, 3f, x2, 468,0) + +inst_126: +// rs1_val==1 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x10000, 0x6, 1b, x2, 472,0) + +inst_127: +// rs1_val==1 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x1, 0x0, 1b, x2, 476,0) + +inst_128: +// rs1_val==1 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb505, 0x2, 1b, x2, 480,0) + +inst_129: +// rs1_val==1 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666667, 0x200, 3f, x2, 484,0) + +inst_130: +// rs1_val==1 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333334, 0x4, 3f, x2, 488,0) + +inst_131: +// rs1_val==1 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x6, 0x20, 3f, x2, 492,0) + +inst_132: +// rs1_val==1 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaab, 0x80, 3f, x2, 496,0) + +inst_133: +// rs1_val==1 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555556, 0x400, 3f, x2, 500,0) + +inst_134: +// rs1_val==1 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x4, 0x4, 1b, x2, 504,0) + +inst_135: +// rs1_val==1 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffe, 0x40, 3f, x2, 508,0) + +inst_136: +// rs1_val==1 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x0, 0x0, 3f, x2, 512,0) + +inst_137: +// rs1_val==1 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb503, 0x10, 3f, x2, 516,0) + +inst_138: +// rs1_val==1 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666665, 0x400, 3f, x2, 520,0) + +inst_139: +// rs1_val==1 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333332, 0x8, 3f, x2, 524,0) + +inst_140: +// rs1_val==1 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaa9, 0x10, 3f, x2, 528,0) + +inst_141: +// rs1_val==1 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555554, 0x4, 1b, x2, 532,0) + +inst_142: +// rs1_val==1 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x2, 0x200, 3f, x2, 536,0) + +inst_143: +// rs1_val==1 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xffff, 0x400, 3f, x2, 540,0) + +inst_144: +// rs1_val==1 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb504, 0x6, 1b, x2, 544,0) + +inst_145: +// rs1_val==1 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666666, 0x556, 1b, x2, 548,0) + +inst_146: +// rs1_val==1 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333333, 0x0, 1b, x2, 552,0) + +inst_147: +// rs1_val==1 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x5, 0x200, 3f, x2, 556,0) + +inst_148: +// rs1_val==1 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaaa, 0x8, 1b, x2, 560,0) + +inst_149: +// rs1_val==1 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555555, 0x556, 1b, x2, 564,0) + +inst_150: +// rs1_val==1 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x3, 0x80, 3f, x2, 568,0) + +inst_151: +// rs1_val==46341 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x10000, 0x0, 3f, x2, 572,0) + +inst_152: +// rs1_val==46341 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x1, 0x2, 3f, x2, 576,0) + +inst_153: +// rs1_val==46341 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb505, 0x4, 1b, x2, 580,0) + +inst_154: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666667, 0x6, 3f, x2, 584,0) + +inst_155: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333334, 0x100, 3f, x2, 588,0) + +inst_156: +// rs1_val==46341 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x6, 0x80, 3f, x2, 592,0) + +inst_157: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaab, 0x100, 3f, x2, 596,0) + +inst_158: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555556, 0x556, 1b, x2, 600,0) + +inst_159: +// rs1_val==46341 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x4, 0x8, 1b, x2, 604,0) + +inst_160: +// rs1_val==46341 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xfffe, 0x8, 3f, x2, 608,0) + +inst_161: +// rs1_val==46341 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x0, 0x0, 3f, x2, 612,0) + +inst_162: +// rs1_val==46341 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb503, 0x20, 3f, x2, 616,0) + +inst_163: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666665, 0x10, 3f, x2, 620,0) + +inst_164: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333332, 0x20, 3f, x2, 624,0) + +inst_165: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaa9, 0xa, 1b, x2, 628,0) + +inst_166: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555554, 0x10, 3f, x2, 632,0) + +inst_167: +// rs1_val==46341 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x2, 0x8, 1b, x2, 636,0) + +inst_168: +// rs1_val==46341 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xffff, 0x6, 1b, x2, 640,0) + +inst_169: +// rs1_val==46341 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb504, 0x2, 1b, x2, 644,0) + +inst_170: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x2, 648,0) + +inst_171: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333333, 0x4, 3f, x2, 652,0) + +inst_172: +// rs1_val==46341 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x5, 0x2, 3f, x2, 656,0) + +inst_173: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaaa, 0x556, 1b, x2, 660,0) + +inst_174: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555555, 0x100, 3f, x2, 664,0) + +inst_175: +// rs1_val==46341 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x3, 0x6, 3f, x2, 668,0) + +inst_176: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x10000, 0x10, 3f, x2, 672,0) + +inst_177: +// rs1_val==1717986919 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x1, 0x4, 3f, x2, 676,0) + +inst_178: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb505, 0x556, 1b, x2, 680,0) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986919, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666667, 0x80, 3f, x2, 684,0) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x2, 688,0) + +inst_181: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x6, 0x0, 3f, x2, 692,0) + +inst_182: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaab, 0x6, 3f, x2, 696,0) + +inst_183: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555556, 0x556, 1b, x2, 700,0) + +inst_184: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x4, 0x4, 1b, x2, 704,0) + +inst_185: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xfffe, 0x20, 3f, x2, 708,0) + +inst_186: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x0, 0x0, 3f, x2, 712,0) + +inst_187: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb503, 0x6, 3f, x2, 716,0) + +inst_188: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666665, 0x400, 1b, x2, 720,0) + +inst_189: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x2, 724,0) + +inst_190: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaa9, 0x2, 1b, x2, 728,0) + +inst_191: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555554, 0x0, 1b, x2, 732,0) + +inst_192: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x2, 0xa, 1b, x2, 736,0) + +inst_193: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xffff, 0x40, 3f, x2, 740,0) + +inst_194: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb504, 0x8, 3f, x2, 744,0) + +inst_195: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x2, 748,0) + +inst_196: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333333, 0x40, 3f, x2, 752,0) + +inst_197: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x5, 0x40, 3f, x2, 756,0) + +inst_198: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaaa, 0x8, 3f, x2, 760,0) + +inst_199: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555555, 0x4, 1b, x2, 764,0) + +inst_200: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x3, 0x8, 3f, x2, 768,0) + +inst_201: +// rs1_val==858993460 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x10000, 0x2, 1b, x2, 772,0) + +inst_202: +// rs1_val==858993460 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x1, 0x4, 3f, x2, 776,0) + +inst_203: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb505, 0x4, 1b, x2, 780,0) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666667, 0x10, 3f, x2, 784,0) + +inst_205: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x2, 788,0) + +inst_206: +// rs1_val==858993460 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x6, 0x6, 1b, x2, 792,0) + +inst_207: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaab, 0x6, 1b, x2, 796,0) + +inst_208: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555556, 0x4, 1b, x2, 800,0) + +inst_209: +// rs1_val==858993460 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x4, 0x400, 3f, x2, 804,0) + +inst_210: +// rs1_val==858993460 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xfffe, 0x4, 1b, x2, 808,0) + +inst_211: +// rs1_val==858993460 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x0, 0x2, 1b, x2, 812,0) + +inst_212: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb503, 0x80, 3f, x2, 816,0) + +inst_213: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666665, 0x20, 3f, x2, 820,0) + +inst_214: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333332, 0xa, 1b, x2, 824,0) + +inst_215: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaa9, 0x4, 3f, x2, 828,0) + +inst_216: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555554, 0x4, 1b, x2, 832,0) + +inst_217: +// rs1_val==858993460 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x2, 0x2, 1b, x2, 836,0) + +inst_218: +// rs1_val==858993460 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffff, 0x0, 1b, x2, 840,0) + +inst_219: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 844,0) + +inst_220: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666666, 0x200, 3f, x2, 848,0) + +inst_221: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333333, 0x10, 3f, x2, 852,0) + +inst_222: +// rs1_val==858993460 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x5, 0x100, 3f, x2, 856,0) + +inst_223: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaaa, 0x6, 1b, x2, 860,0) + +inst_224: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555555, 0x6, 3f, x2, 864,0) + +inst_225: +// rs1_val==858993460 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x3, 0x400, 1b, x2, 868,0) + +inst_226: +// rs1_val==6 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x10000, 0x40, 3f, x2, 872,0) + +inst_227: +// rs1_val==6 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x1, 0x0, 3f, x2, 876,0) + +inst_228: +// rs1_val==6 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb505, 0xa, 1b, x2, 880,0) + +inst_229: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666667, 0x4, 1b, x2, 884,0) + +inst_230: +// rs1_val==6 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333334, 0x10, 3f, x2, 888,0) + +inst_231: +// rs1_val==6 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x6, 0x100, 3f, x2, 892,0) + +inst_232: +// rs1_val==6 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaab, 0x10, 3f, x2, 896,0) + +inst_233: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555556, 0x4, 1b, x2, 900,0) + +inst_234: +// rs1_val==6 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x4, 0x6, 3f, x2, 904,0) + +inst_235: +// rs1_val==6 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xfffe, 0x2, 3f, x2, 908,0) + +inst_236: +// rs1_val==6 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x0, 0x6, 3f, x2, 912,0) + +inst_237: +// rs1_val==6 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb503, 0x8, 3f, x2, 916,0) + +inst_238: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666665, 0x4, 3f, x2, 920,0) + +inst_239: +// rs1_val==6 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333332, 0x10, 3f, x2, 924,0) + +inst_240: +// rs1_val==6 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaa9, 0x400, 3f, x2, 928,0) + +inst_241: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555554, 0x0, 3f, x2, 932,0) + +inst_242: +// rs1_val==6 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x2, 0x0, 3f, x2, 936,0) + +inst_243: +// rs1_val==6 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xffff, 0x2, 1b, x2, 940,0) + +inst_244: +// rs1_val==6 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb504, 0x10, 3f, x2, 944,0) + +inst_245: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666666, 0x0, 3f, x2, 948,0) + +inst_246: +// rs1_val==6 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333333, 0x8, 1b, x2, 952,0) + +inst_247: +// rs1_val==6 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x5, 0x6, 1b, x2, 956,0) + +inst_248: +// rs1_val==6 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaaa, 0x40, 3f, x2, 960,0) + +inst_249: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x2, 964,0) + +inst_250: +// rs1_val==6 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x3, 0x400, 3f, x2, 968,0) + +inst_251: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x10000, 0x0, 3f, x2, 972,0) + +inst_252: +// rs1_val==2863311531 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x1, 0x0, 1b, x2, 976,0) + +inst_253: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb505, 0x8, 3f, x2, 980,0) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666667, 0x8, 3f, x2, 984,0) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 3f, x2, 988,0) + +inst_256: +// rs1_val==2863311531 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x2, 992,0) + +inst_257: +// rs1_val==2863311531 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 3f, x2, 996,0) + +inst_258: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555556, 0xa, 1b, x2, 1000,0) + +inst_259: +// rs1_val==2863311531 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x4, 0x0, 1b, x2, 1004,0) + +inst_260: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xfffe, 0x100, 3f, x2, 1008,0) + +inst_261: +// rs1_val==2863311531 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x0, 0x2, 3f, x2, 1012,0) + +inst_262: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb503, 0x6, 3f, x2, 1016,0) + +inst_263: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666665, 0x556, 1b, x2, 1020,0) + +inst_264: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333332, 0x4, 1b, x2, 1024,0) + +inst_265: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x6, 3f, x2, 1028,0) + +inst_266: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555554, 0x8, 3f, x2, 1032,0) + +inst_267: +// rs1_val==2863311531 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x2, 0x6, 3f, x2, 1036,0) + +inst_268: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffff, 0x100, 3f, x2, 1040,0) + +inst_269: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb504, 0x0, 1b, x2, 1044,0) + +inst_270: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666666, 0x20, 3f, x2, 1048,0) + +inst_271: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333333, 0x4, 3f, x2, 1052,0) + +inst_272: +// rs1_val==2863311531 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x5, 0x100, 3f, x2, 1056,0) + +inst_273: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0x2, 1b, x2, 1060,0) + +inst_274: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555555, 0x80, 3f, x2, 1064,0) + +inst_275: +// rs1_val==2863311531 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x3, 0x4, 3f, x2, 1068,0) + +inst_276: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x10000, 0x4, 3f, x2, 1072,0) + +inst_277: +// rs1_val==1431655766 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x1, 0xa, 1b, x2, 1076,0) + +inst_278: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x2, 1080,0) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x2, 1084,0) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x2, 1088,0) + +inst_281: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x6, 0x6, 1b, x2, 1092,0) + +inst_282: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaab, 0x6, 1b, x2, 1096,0) + +inst_283: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555556, 0x556, 1b, x2, 1100,0) + +inst_284: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x4, 0x6, 3f, x2, 1104,0) + +inst_285: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xfffe, 0x0, 1b, x2, 1108,0) + +inst_286: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x0, 0x6, 1b, x2, 1112,0) + +inst_287: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x2, 1116,0) + +inst_288: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666665, 0xa, 1b, x2, 1120,0) + +inst_289: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333332, 0x20, 3f, x2, 1124,0) + +inst_290: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaa9, 0x8, 3f, x2, 1128,0) + +inst_291: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555554, 0x6, 3f, x2, 1132,0) + +inst_292: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x2, 0x100, 3f, x2, 1136,0) + +inst_293: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xffff, 0x6, 1b, x2, 1140,0) + +inst_294: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x2, 1144,0) + +inst_295: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666666, 0x0, 3f, x2, 1148,0) + +inst_296: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333333, 0x2, 1b, x2, 1152,0) + +inst_297: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x5, 0x4, 3f, x2, 1156,0) + +inst_298: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaaa, 0x0, 3f, x2, 1160,0) + +inst_299: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555555, 0x8, 3f, x2, 1164,0) + +inst_300: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x3, 0x0, 3f, x2, 1168,0) + +inst_301: +// rs1_val==4 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x10000, 0x6, 1b, x2, 1172,0) + +inst_302: +// rs1_val==4 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x1, 0x6, 1b, x2, 1176,0) + +inst_303: +// rs1_val==4 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb505, 0x8, 3f, x2, 1180,0) + +inst_304: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666667, 0x0, 1b, x2, 1184,0) + +inst_305: +// rs1_val==4 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x2, 1188,0) + +inst_306: +// rs1_val==4 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x6, 0x200, 3f, x2, 1192,0) + +inst_307: +// rs1_val==4 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaab, 0x400, 1b, x2, 1196,0) + +inst_308: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555556, 0x0, 1b, x2, 1200,0) + +inst_309: +// rs1_val==4 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x4, 0x40, 3f, x2, 1204,0) + +inst_310: +// rs1_val==4 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xfffe, 0x6, 1b, x2, 1208,0) + +inst_311: +// rs1_val==4 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x0, 0x8, 1b, x2, 1212,0) + +inst_312: +// rs1_val==4 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb503, 0x8, 1b, x2, 1216,0) + +inst_313: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666665, 0x556, 1b, x2, 1220,0) + +inst_314: +// rs1_val==4 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333332, 0x8, 1b, x2, 1224,0) + +inst_315: +// rs1_val==4 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaa9, 0x0, 1b, x2, 1228,0) + +inst_316: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555554, 0x6, 1b, x2, 1232,0) + +inst_317: +// rs1_val==4 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x2, 0x100, 3f, x2, 1236,0) + +inst_318: +// rs1_val==4 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xffff, 0x6, 3f, x2, 1240,0) + +inst_319: +// rs1_val==4 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb504, 0x400, 3f, x2, 1244,0) + +inst_320: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666666, 0x100, 3f, x2, 1248,0) + +inst_321: +// rs1_val==4 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333333, 0x8, 1b, x2, 1252,0) + +inst_322: +// rs1_val==4 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x5, 0x10, 3f, x2, 1256,0) + +inst_323: +// rs1_val==4 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaaa, 0x400, 1b, x2, 1260,0) + +inst_324: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555555, 0xa, 1b, x2, 1264,0) + +inst_325: +// rs1_val==4 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x3, 0x2, 3f, x2, 1268,0) + +inst_326: +// rs1_val==65534 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x2, 1272,0) + +inst_327: +// rs1_val==65534 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x1, 0x6, 1b, x2, 1276,0) + +inst_328: +// rs1_val==65534 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb505, 0x2, 1b, x2, 1280,0) + +inst_329: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666667, 0x4, 1b, x2, 1284,0) + +inst_330: +// rs1_val==65534 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x2, 1288,0) + +inst_331: +// rs1_val==65534 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x6, 0x200, 3f, x2, 1292,0) + +inst_332: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 3f, x2, 1296,0) + +inst_333: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555556, 0x0, 3f, x2, 1300,0) + +inst_334: +// rs1_val==65534 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x4, 0x8, 1b, x2, 1304,0) + +inst_335: +// rs1_val==65534 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xfffe, 0x400, 3f, x2, 1308,0) + +inst_336: +// rs1_val==65534 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x0, 0x80, 3f, x2, 1312,0) + +inst_337: +// rs1_val==65534 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb503, 0x40, 3f, x2, 1316,0) + +inst_338: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666665, 0x6, 3f, x2, 1320,0) + +inst_339: +// rs1_val==65534 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333332, 0x100, 3f, x2, 1324,0) + +inst_340: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaa9, 0xa, 1b, x2, 1328,0) + +inst_341: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555554, 0x0, 1b, x2, 1332,0) + +inst_342: +// rs1_val==65534 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x2, 0x40, 3f, x2, 1336,0) + +inst_343: +// rs1_val==65534 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xffff, 0x6, 3f, x2, 1340,0) + +inst_344: +// rs1_val==65534 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb504, 0x6, 1b, x2, 1344,0) + +inst_345: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x2, 1348,0) + +inst_346: +// rs1_val==65534 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333333, 0x6, 3f, x2, 1352,0) + +inst_347: +// rs1_val==65534 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x5, 0x4, 3f, x2, 1356,0) + +inst_348: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaaa, 0x8, 3f, x2, 1360,0) + +inst_349: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555555, 0x0, 3f, x2, 1364,0) + +inst_350: +// rs1_val==65534 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x3, 0x0, 1b, x2, 1368,0) + +inst_351: +// rs1_val==0 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x10000, 0x8, 3f, x2, 1372,0) + +inst_352: +// rs1_val==0 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x1, 0x100, 3f, x2, 1376,0) + +inst_353: +// rs1_val==0 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb505, 0x40, 3f, x2, 1380,0) + +inst_354: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666667, 0x400, 3f, x2, 1384,0) + +inst_355: +// rs1_val==0 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333334, 0x10, 3f, x2, 1388,0) + +inst_356: +// rs1_val==0 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x6, 0x20, 3f, x2, 1392,0) + +inst_357: +// rs1_val==0 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaab, 0x2, 3f, x2, 1396,0) + +inst_358: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555556, 0x8, 1b, x2, 1400,0) + +inst_359: +// rs1_val==0 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x4, 0x400, 3f, x2, 1404,0) + +inst_360: +// rs1_val==0 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xfffe, 0x400, 3f, x2, 1408,0) + +inst_361: +// rs1_val==0 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x0, 0x0, 3f, x2, 1412,0) + +inst_362: +// rs1_val==0 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb503, 0x400, 3f, x2, 1416,0) + +inst_363: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666665, 0x20, 3f, x2, 1420,0) + +inst_364: +// rs1_val==0 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333332, 0x2, 1b, x2, 1424,0) + +inst_365: +// rs1_val==0 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaa9, 0x80, 3f, x2, 1428,0) + +inst_366: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555554, 0xa, 1b, x2, 1432,0) + +inst_367: +// rs1_val==0 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x2, 0x20, 3f, x2, 1436,0) + +inst_368: +// rs1_val==0 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xffff, 0x2, 3f, x2, 1440,0) + +inst_369: +// rs1_val==0 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb504, 0x8, 1b, x2, 1444,0) + +inst_370: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666666, 0x8, 1b, x2, 1448,0) + +inst_371: +// rs1_val==0 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333333, 0x400, 3f, x2, 1452,0) + +inst_372: +// rs1_val==0 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x5, 0x8, 3f, x2, 1456,0) + +inst_373: +// rs1_val==0 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaaa, 0x400, 1b, x2, 1460,0) + +inst_374: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555555, 0x2, 1b, x2, 1464,0) + +inst_375: +// rs1_val==0 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x3, 0x20, 3f, x2, 1468,0) + +inst_376: +// rs1_val==46339 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x10000, 0x200, 3f, x2, 1472,0) + +inst_377: +// rs1_val==46339 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x1, 0x8, 3f, x2, 1476,0) + +inst_378: +// rs1_val==46339 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb505, 0x400, 3f, x2, 1480,0) + +inst_379: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666667, 0x20, 3f, x2, 1484,0) + +inst_380: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333334, 0x2, 1b, x2, 1488,0) + +inst_381: +// rs1_val==46339 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x6, 0x20, 3f, x2, 1492,0) + +inst_382: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaab, 0x8, 3f, x2, 1496,0) + +inst_383: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1500,0) + +inst_384: +// rs1_val==46339 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x4, 0x0, 1b, x2, 1504,0) + +inst_385: +// rs1_val==46339 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xfffe, 0x556, 1b, x2, 1508,0) + +inst_386: +// rs1_val==46339 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x0, 0x6, 3f, x2, 1512,0) + +inst_387: +// rs1_val==46339 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb503, 0x20, 3f, x2, 1516,0) + +inst_388: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666665, 0x400, 1b, x2, 1520,0) + +inst_389: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333332, 0x100, 3f, x2, 1524,0) + +inst_390: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaa9, 0x400, 1b, x2, 1528,0) + +inst_391: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x2, 1532,0) + +inst_392: +// rs1_val==46339 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1536,0) + +inst_393: +// rs1_val==46339 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff, 0x4, 1b, x2, 1540,0) + +inst_394: +// rs1_val==46339 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb504, 0x8, 3f, x2, 1544,0) + +inst_395: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666666, 0x8, 1b, x2, 1548,0) + +inst_396: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1552,0) + +inst_397: +// rs1_val==46339 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x5, 0x8, 1b, x2, 1556,0) + +inst_398: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaaa, 0x200, 3f, x2, 1560,0) + +inst_399: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555555, 0x80, 3f, x2, 1564,0) + +inst_400: +// rs1_val==46339 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x2, 1568,0) + +inst_401: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x10000, 0x10, 3f, x2, 1572,0) + +inst_402: +// rs1_val==1717986917 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x1, 0x8, 3f, x2, 1576,0) + +inst_403: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb505, 0x80, 3f, x2, 1580,0) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666667, 0x4, 3f, x2, 1584,0) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1588,0) + +inst_406: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x6, 0x10, 3f, x2, 1592,0) + +inst_407: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaab, 0x556, 1b, x2, 1596,0) + +inst_408: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555556, 0x2, 3f, x2, 1600,0) + +inst_409: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1604,0) + +inst_410: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffe, 0x4, 1b, x2, 1608,0) + +inst_411: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x0, 0x6, 1b, x2, 1612,0) + +inst_412: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb503, 0x10, 3f, x2, 1616,0) + +inst_413: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x2, 1620,0) + +inst_414: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333332, 0x8, 1b, x2, 1624,0) + +inst_415: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaa9, 0x0, 1b, x2, 1628,0) + +inst_416: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x2, 1632,0) + +inst_417: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x2, 0x400, 3f, x2, 1636,0) + +inst_418: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xffff, 0x0, 3f, x2, 1640,0) + +inst_419: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb504, 0x10, 3f, x2, 1644,0) + +inst_420: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 3f, x2, 1648,0) + +inst_421: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333333, 0x400, 3f, x2, 1652,0) + +inst_422: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x5, 0x6, 3f, x2, 1656,0) + +inst_423: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaaa, 0x4, 3f, x2, 1660,0) + +inst_424: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x2, 1664,0) + +inst_425: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x3, 0x40, 3f, x2, 1668,0) + +inst_426: +// rs1_val==858993458 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x10000, 0x200, 3f, x2, 1672,0) + +inst_427: +// rs1_val==858993458 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x1, 0x40, 3f, x2, 1676,0) + +inst_428: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb505, 0x40, 3f, x2, 1680,0) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666667, 0x80, 3f, x2, 1684,0) + +inst_430: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1688,0) + +inst_431: +// rs1_val==858993458 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x6, 0x4, 1b, x2, 1692,0) + +inst_432: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaab, 0xa, 1b, x2, 1696,0) + +inst_433: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555556, 0x10, 3f, x2, 1700,0) + +inst_434: +// rs1_val==858993458 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x4, 0x2, 1b, x2, 1704,0) + +inst_435: +// rs1_val==858993458 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xfffe, 0x100, 3f, x2, 1708,0) + +inst_436: +// rs1_val==858993458 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x0, 0x556, 1b, x2, 1712,0) + +inst_437: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb503, 0x2, 1b, x2, 1716,0) + +inst_438: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1720,0) + +inst_439: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1724,0) + +inst_440: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaa9, 0x200, 3f, x2, 1728,0) + +inst_441: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x2, 1732,0) + +inst_442: +// rs1_val==858993458 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x2, 0x4, 3f, x2, 1736,0) + +inst_443: +// rs1_val==858993458 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xffff, 0x10, 3f, x2, 1740,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb504, 0x4, 3f, x2, 1744,0) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666666, 0x4, 1b, x2, 1748,0) + +inst_446: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1752,0) + +inst_447: +// rs1_val==858993458 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x2, 1756,0) + +inst_448: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaaa, 0x4, 1b, x2, 1760,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555555, 0x100, 3f, x2, 1764,0) + +inst_450: +// rs1_val==858993458 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x3, 0x80, 3f, x2, 1768,0) + +inst_451: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x10000, 0x100, 3f, x2, 1772,0) + +inst_452: +// rs1_val==2863311529 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x1, 0x6, 3f, x2, 1776,0) + +inst_453: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb505, 0x2, 3f, x2, 1780,0) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666667, 0x4, 3f, x2, 1784,0) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333334, 0x4, 1b, x2, 1788,0) + +inst_456: +// rs1_val==2863311529 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x6, 0x200, 3f, x2, 1792,0) + +inst_457: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x8, 3f, x2, 1796,0) + +inst_458: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555556, 0x556, 1b, x2, 1800,0) + +inst_459: +// rs1_val==2863311529 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x4, 0x8, 3f, x2, 1804,0) + +inst_460: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xfffe, 0x4, 3f, x2, 1808,0) + +inst_461: +// rs1_val==2863311529 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x0, 0x40, 3f, x2, 1812,0) + +inst_462: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb503, 0x400, 1b, x2, 1816,0) + +inst_463: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666665, 0x8, 1b, x2, 1820,0) + +inst_464: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333332, 0x0, 1b, x2, 1824,0) + +inst_465: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x400, 1b, x2, 1828,0) + +inst_466: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 3f, x2, 1832,0) + +inst_467: +// rs1_val==2863311529 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x2, 0x8, 1b, x2, 1836,0) + +inst_468: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xffff, 0x10, 3f, x2, 1840,0) + +inst_469: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb504, 0x8, 3f, x2, 1844,0) + +inst_470: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666666, 0x2, 1b, x2, 1848,0) + +inst_471: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333333, 0x80, 3f, x2, 1852,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x4, 0x4, 3f, x2, 1856,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x2, 1860,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x0, 0x40, 3f, x2, 1864,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb503, 0x6, 1b, x2, 1868,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666665, 0x8, 3f, x2, 1872,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1876,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaa9, 0x80, 3f, x2, 1880,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x2, 1884,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x2, 0x0, 3f, x2, 1888,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xffff, 0x100, 3f, x2, 1892,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb504, 0x0, 1b, x2, 1896,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666666, 0x8, 1b, x2, 1900,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333333, 0x6, 3f, x2, 1904,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x5, 0x4, 1b, x2, 1908,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaaa, 0x400, 3f, x2, 1912,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x2, 1916,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x3, 0x20, 3f, x2, 1920,0) + +inst_489: +// rs1_val==2 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x10000, 0x2, 3f, x2, 1924,0) + +inst_490: +// rs1_val==2 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x1, 0x6, 3f, x2, 1928,0) + +inst_491: +// rs1_val==2 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb505, 0x10, 3f, x2, 1932,0) + +inst_492: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666667, 0x400, 3f, x2, 1936,0) + +inst_493: +// rs1_val==2 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333334, 0x400, 1b, x2, 1940,0) + +inst_494: +// rs1_val==2 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x6, 0x6, 3f, x2, 1944,0) + +inst_495: +// rs1_val==2 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaab, 0x6, 3f, x2, 1948,0) + +inst_496: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555556, 0x10, 3f, x2, 1952,0) + +inst_497: +// rs1_val==2 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x4, 0x8, 3f, x2, 1956,0) + +inst_498: +// rs1_val==2 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xfffe, 0x0, 3f, x2, 1960,0) + +inst_499: +// rs1_val==2 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x0, 0x400, 1b, x2, 1964,0) + +inst_500: +// rs1_val==2 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb503, 0x0, 1b, x2, 1968,0) + +inst_501: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666665, 0x4, 3f, x2, 1972,0) + +inst_502: +// rs1_val==2 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333332, 0x400, 3f, x2, 1976,0) + +inst_503: +// rs1_val==2 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaa9, 0x2, 1b, x2, 1980,0) + +inst_504: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555554, 0x2, 1b, x2, 1984,0) + +inst_505: +// rs1_val==2 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x2, 0x4, 1b, x2, 1988,0) + +inst_506: +// rs1_val==2 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xffff, 0x80, 3f, x2, 1992,0) + +inst_507: +// rs1_val==2 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb504, 0x8, 3f, x2, 1996,0) + +inst_508: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666666, 0x40, 3f, x2, 2000,0) + +inst_509: +// rs1_val==2 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x2, 2004,0) + +inst_510: +// rs1_val==2 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x5, 0x40, 3f, x2, 2008,0) + +inst_511: +// rs1_val==2 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaaa, 0x200, 3f, x2, 2012,0) + +inst_512: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555555, 0x2, 3f, x2, 2016,0) + +inst_513: +// rs1_val==2 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x2, 2020,0) + +inst_514: +// rs1_val==65535 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x10000, 0x400, 1b, x2, 2024,0) + +inst_515: +// rs1_val==65535 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x1, 0x400, 1b, x2, 2028,0) + +inst_516: +// rs1_val==65535 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb505, 0x80, 3f, x2, 2032,0) + +inst_517: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666667, 0x556, 1b, x2, 2036,0) + +inst_518: +// rs1_val==65535 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333334, 0x200, 3f, x2, 2040,0) + +inst_519: +// rs1_val==65535 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x6, 0x4, 1b, x2, 2044,0) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaab, 0x20, 3f, x2, 0,0) + +inst_521: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555556, 0x100, 3f, x2, 4,0) + +inst_522: +// rs1_val==65535 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x4, 0x6, 1b, x2, 8,0) + +inst_523: +// rs1_val==65535 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xfffe, 0x6, 3f, x2, 12,0) + +inst_524: +// rs1_val==65535 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x0, 0x40, 3f, x2, 16,0) + +inst_525: +// rs1_val==65535 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb503, 0x0, 3f, x2, 20,0) + +inst_526: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666665, 0x200, 3f, x2, 24,0) + +inst_527: +// rs1_val==65535 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x2, 28,0) + +inst_528: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaa9, 0xa, 1b, x2, 32,0) + +inst_529: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555554, 0x200, 3f, x2, 36,0) + +inst_530: +// rs1_val==65535 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x2, 0x0, 3f, x2, 40,0) + +inst_531: +// rs1_val==65535 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xffff, 0x20, 3f, x2, 44,0) + +inst_532: +// rs1_val==65535 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb504, 0x8, 3f, x2, 48,0) + +inst_533: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x2, 52,0) + +inst_534: +// rs1_val==65535 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333333, 0x40, 3f, x2, 56,0) + +inst_535: +// rs1_val==65535 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x5, 0x2, 1b, x2, 60,0) + +inst_536: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaaa, 0x4, 1b, x2, 64,0) + +inst_537: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555555, 0x0, 1b, x2, 68,0) + +inst_538: +// rs1_val==65535 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x3, 0x400, 3f, x2, 72,0) + +inst_539: +// rs1_val==46340 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x10000, 0x8, 1b, x2, 76,0) + +inst_540: +// rs1_val==46340 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x1, 0x100, 3f, x2, 80,0) + +inst_541: +// rs1_val==46340 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb505, 0x8, 1b, x2, 84,0) + +inst_542: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666667, 0x2, 1b, x2, 88,0) + +inst_543: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333334, 0x6, 3f, x2, 92,0) + +inst_544: +// rs1_val==46340 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x6, 0x6, 1b, x2, 96,0) + +inst_545: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaab, 0x80, 3f, x2, 100,0) + +inst_546: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x2, 104,0) + +inst_547: +// rs1_val==46340 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x4, 0x400, 3f, x2, 108,0) + +inst_548: +// rs1_val==46340 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xfffe, 0x8, 3f, x2, 112,0) + +inst_549: +// rs1_val==46340 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x2, 116,0) + +inst_550: +// rs1_val==46340 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x2, 120,0) + +inst_551: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666665, 0xa, 1b, x2, 124,0) + +inst_552: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x2, 128,0) + +inst_553: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaa9, 0x4, 1b, x2, 132,0) + +inst_554: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555554, 0x10, 3f, x2, 136,0) + +inst_555: +// rs1_val==46340 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x2, 0x10, 3f, x2, 140,0) + +inst_556: +// rs1_val==46340 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xffff, 0x2, 1b, x2, 144,0) + +inst_557: +// rs1_val==46340 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb504, 0x2, 3f, x2, 148,0) + +inst_558: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x2, 152,0) + +inst_559: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333333, 0x4, 1b, x2, 156,0) + +inst_560: +// rs1_val==46340 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x5, 0x6, 1b, x2, 160,0) + +inst_561: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x2, 164,0) + +inst_562: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x2, 168,0) + +inst_563: +// rs1_val==46340 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x3, 0x0, 3f, x2, 172,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x10000, 0x100, 3f, x2, 176,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x1, 0x80, 3f, x2, 180,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb505, 0x0, 1b, x2, 184,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666667, 0x40, 3f, x2, 188,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333334, 0x6, 1b, x2, 192,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x6, 0x4, 1b, x2, 196,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaab, 0x4, 1b, x2, 200,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555556, 0x20, 3f, x2, 204,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x2, 208,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xfffe, 0x40, 3f, x2, 212,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x0, 0x10, 3f, x2, 216,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb503, 0x40, 3f, x2, 220,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666665, 0x8, 1b, x2, 224,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x2, 228,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaa9, 0x100, 3f, x2, 232,0) + +inst_579: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555554, 0x10, 3f, x2, 236,0) + +inst_580: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x2, 0x40, 3f, x2, 240,0) + +inst_581: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffff, 0x4, 1b, x2, 244,0) + +inst_582: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb504, 0x80, 3f, x2, 248,0) + +inst_583: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666666, 0x4, 3f, x2, 252,0) + +inst_584: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333333, 0x2, 3f, x2, 256,0) + +inst_585: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x2, 260,0) + +inst_586: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaaa, 0x6, 3f, x2, 264,0) + +inst_587: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x2, 268,0) + +inst_588: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x3, 0x4, 3f, x2, 272,0) + +inst_589: +// rs1_val==858993459 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x10000, 0x0, 1b, x2, 276,0) + +inst_590: +// rs1_val==858993459 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x1, 0x2, 3f, x2, 280,0) + +inst_591: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb505, 0xa, 1b, x2, 284,0) + +inst_592: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666667, 0x40, 3f, x2, 288,0) + +inst_593: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333334, 0x400, 3f, x2, 292,0) + +inst_594: +// rs1_val==858993459 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x6, 0x6, 3f, x2, 296,0) + +inst_595: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaab, 0xa, 1b, x2, 300,0) + +inst_596: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555556, 0x8, 3f, x2, 304,0) + +inst_597: +// rs1_val==858993459 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x4, 0x10, 3f, x2, 308,0) + +inst_598: +// rs1_val==858993459 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xfffe, 0x200, 3f, x2, 312,0) + +inst_599: +// rs1_val==858993459 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x0, 0x20, 3f, x2, 316,0) + +inst_600: +// rs1_val==2863311529 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x3, 0x2, 1b, x2, 320,0) + +inst_601: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb503, 0x40, 3f, x2, 324,0) + +inst_602: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666665, 0x6, 1b, x2, 328,0) + +inst_603: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333332, 0x2, 1b, x2, 332,0) + +inst_604: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaa9, 0x2, 3f, x2, 336,0) + +inst_605: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555554, 0x400, 1b, x2, 340,0) + +inst_606: +// rs1_val==858993459 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x2, 0x80, 3f, x2, 344,0) + +inst_607: +// rs1_val==858993459 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xffff, 0x2, 3f, x2, 348,0) + +inst_608: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb504, 0x8, 3f, x2, 352,0) + +inst_609: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666666, 0x0, 1b, x2, 356,0) + +inst_610: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333333, 0x100, 3f, x2, 360,0) + +inst_611: +// rs1_val==858993459 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x5, 0x4, 1b, x2, 364,0) + +inst_612: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaaa, 0x80, 3f, x2, 368,0) + +inst_613: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555555, 0x4, 3f, x2, 372,0) + +inst_614: +// rs1_val==858993459 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x3, 0x400, 1b, x2, 376,0) + +inst_615: +// rs1_val==5 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x10000, 0x400, 1b, x2, 380,0) + +inst_616: +// rs1_val==5 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x1, 0x8, 1b, x2, 384,0) + +inst_617: +// rs1_val==5 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb505, 0x2, 1b, x2, 388,0) + +inst_618: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666667, 0x400, 1b, x2, 392,0) + +inst_619: +// rs1_val==5 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333334, 0x10, 3f, x2, 396,0) + +inst_620: +// rs1_val==5 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x6, 0x40, 3f, x2, 400,0) + +inst_621: +// rs1_val==5 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaab, 0x6, 1b, x2, 404,0) + +inst_622: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555556, 0xa, 1b, x2, 408,0) + +inst_623: +// rs1_val==5 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x2, 412,0) + +inst_624: +// rs1_val==5 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xfffe, 0x10, 3f, x2, 416,0) + +inst_625: +// rs1_val==5 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x0, 0x0, 1b, x2, 420,0) + +inst_626: +// rs1_val==5 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb503, 0x400, 3f, x2, 424,0) + +inst_627: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666665, 0x20, 3f, x2, 428,0) + +inst_628: +// rs1_val==5 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333332, 0x10, 3f, x2, 432,0) + +inst_629: +// rs1_val==5 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaa9, 0x80, 3f, x2, 436,0) + +inst_630: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555554, 0x200, 3f, x2, 440,0) + +inst_631: +// rs1_val==5 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x2, 0x100, 3f, x2, 444,0) + +inst_632: +// rs1_val==5 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xffff, 0x0, 3f, x2, 448,0) + +inst_633: +// rs1_val==5 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb504, 0x40, 3f, x2, 452,0) + +inst_634: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x2, 456,0) + +inst_635: +// rs1_val==5 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333333, 0x8, 3f, x2, 460,0) + +inst_636: +// rs1_val==5 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x2, 464,0) + +inst_637: +// rs1_val==5 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaaa, 0x4, 3f, x2, 468,0) + +inst_638: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555555, 0x80, 3f, x2, 472,0) + +inst_639: +// rs1_val==5 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x3, 0x0, 1b, x2, 476,0) + +inst_640: +// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x10000, 0x20, 3f, x2, 480,0) + +inst_641: +// rs1_val==2863311530 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x1, 0x8, 3f, x2, 484,0) + +inst_642: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb505, 0x0, 1b, x2, 488,0) + +inst_643: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666667, 0x2, 1b, x2, 492,0) + +inst_644: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333334, 0x400, 1b, x2, 496,0) + +inst_645: +// rs1_val==2863311530 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x6, 0x200, 3f, x2, 500,0) + +inst_646: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x4, 3f, x2, 504,0) + +inst_647: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555556, 0x556, 1b, x2, 508,0) + +inst_648: +// rs1_val==2863311530 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x4, 0x0, 1b, x2, 512,0) + +inst_649: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xfffe, 0x40, 3f, x2, 516,0) + +inst_650: +// rs1_val==2863311530 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x0, 0x4, 3f, x2, 520,0) + +inst_651: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb503, 0x0, 3f, x2, 524,0) + +inst_652: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666665, 0x200, 3f, x2, 528,0) + +inst_653: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333332, 0x20, 3f, x2, 532,0) + +inst_654: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x2, 1b, x2, 536,0) + +inst_655: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555554, 0x10, 3f, x2, 540,0) + +inst_656: +// rs1_val==2863311530 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x2, 0x2, 1b, x2, 544,0) + +inst_657: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xffff, 0x100, 3f, x2, 548,0) + +inst_658: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb504, 0x200, 3f, x2, 552,0) + +inst_659: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666666, 0x10, 3f, x2, 556,0) + +inst_660: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333333, 0xa, 1b, x2, 560,0) + +inst_661: +// rs1_val==2863311530 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x5, 0x200, 3f, x2, 564,0) + +inst_662: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x4, 1b, x2, 568,0) + +inst_663: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555555, 0x4, 3f, x2, 572,0) + +inst_664: +// rs1_val==2863311530 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x3, 0x200, 3f, x2, 576,0) + +inst_665: +// rs1_val==1431655765 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x10000, 0x4, 1b, x2, 580,0) + +inst_666: +// rs1_val==1431655765 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x1, 0x4, 3f, x2, 584,0) + +inst_667: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb505, 0x2, 1b, x2, 588,0) + +inst_668: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666667, 0xa, 1b, x2, 592,0) + +inst_669: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333334, 0x8, 3f, x2, 596,0) + +inst_670: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 600,0) + +inst_671: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaab, 0x4, 3f, x2, 604,0) + +inst_672: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x2, 608,0) + +inst_673: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x4, 0x6, 1b, x2, 612,0) + +inst_674: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xfffe, 0x2, 3f, x2, 616,0) + +inst_675: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x0, 0x20, 3f, x2, 620,0) + +inst_676: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb503, 0xa, 1b, x2, 624,0) + +inst_677: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x2, 628,0) + +inst_678: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x2, 632,0) + +inst_679: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaa9, 0x20, 3f, x2, 636,0) + +inst_680: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555554, 0xa, 1b, x2, 640,0) + +inst_681: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x2, 0x0, 1b, x2, 644,0) + +inst_682: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xffff, 0x2, 3f, x2, 648,0) + +inst_683: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb504, 0x2, 3f, x2, 652,0) + +inst_684: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 656,0) + +inst_685: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333333, 0x2, 3f, x2, 660,0) + +inst_686: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x5, 0x100, 3f, x2, 664,0) + +inst_687: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaaa, 0x556, 1b, x2, 668,0) + +inst_688: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555555, 0x400, 3f, x2, 672,0) + +inst_689: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x3, 0x400, 1b, x2, 676,0) + +inst_690: +// rs1_val==3 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x10000, 0x2, 1b, x2, 680,0) + +inst_691: +// rs1_val==3 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x1, 0x400, 3f, x2, 684,0) + +inst_692: +// rs1_val==3 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb505, 0x10, 3f, x2, 688,0) + +inst_693: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666667, 0x0, 3f, x2, 692,0) + +inst_694: +// rs1_val==3 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 696,0) + +inst_695: +// rs1_val==3 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x6, 0x80, 3f, x2, 700,0) + +inst_696: +// rs1_val==3 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaab, 0x4, 1b, x2, 704,0) + +inst_697: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555556, 0x10, 3f, x2, 708,0) + +inst_698: +// rs1_val==3 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x4, 0x8, 1b, x2, 712,0) + +inst_699: +// rs1_val==3 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xfffe, 0xa, 1b, x2, 716,0) + +inst_700: +// rs1_val==3 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x0, 0x400, 1b, x2, 720,0) + +inst_701: +// rs1_val==3 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 724,0) + +inst_702: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666665, 0x4, 1b, x2, 728,0) + +inst_703: +// rs1_val==3 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333332, 0x556, 1b, x2, 732,0) + +inst_704: +// rs1_val==3 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaa9, 0x10, 3f, x2, 736,0) + +inst_705: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555554, 0x400, 3f, x2, 740,0) + +inst_706: +// rs1_val==3 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x2, 0x4, 3f, x2, 744,0) + +inst_707: +// rs1_val==3 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xffff, 0x200, 3f, x2, 748,0) + +inst_708: +// rs1_val==3 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb504, 0x40, 3f, x2, 752,0) + +inst_709: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x2, 756,0) + +inst_710: +// rs1_val==3 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333333, 0x80, 3f, x2, 760,0) + +inst_711: +// rs1_val==3 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 764,0) + +inst_712: +// rs1_val==3 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaaa, 0x6, 3f, x2, 768,0) + +inst_713: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555555, 0x8, 1b, x2, 772,0) + +inst_714: +// rs1_val==3 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x3, 0x4, 3f, x2, 776,0) + +inst_715: +// rs1_val==2863311529 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x5, 0x8, 1b, x2, 780,0) + +inst_716: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x6, 1b, x2, 784,0) + +inst_717: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555555, 0x400, 3f, x2, 788,0) + +inst_718: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x10000, 0x2, 1b, x2, 792,0) + +inst_719: +// rs1_val==1431655764 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x1, 0x556, 1b, x2, 796,0) + +inst_720: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb505, 0x0, 1b, x2, 800,0) + +inst_721: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666667, 0x100, 3f, x2, 804,0) + +inst_722: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333334, 0x6, 3f, x2, 808,0) + +inst_723: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x6, 0x2, 1b, x2, 812,0) + +inst_724: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaab, 0x556, 1b, x2, 816,0) + +inst_725: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555556, 0x80, 3f, x2, 820,0) + +inst_726: +// rs2_val == 4294705151, +// opcode: bltu, op1:x10; op2:x11; op1val:0x9; op2val:0xfffbffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x9, 0xfffbffff, 0x40, 3f, x2, 824,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 207*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S new file mode 100644 index 000000000..92ccd2b4f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S @@ -0,0 +1,3011 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bne instruction of the RISC-V E extension for the bne covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bne) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x14, rs2==x14, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 +// opcode: bne, op1:x14; op2:x14; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x3, x14, x14, -0x2000001, -0x2000001, 0x8, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x9, rs2==x10, rs2_val == 2147483647, +// opcode: bne, op1:x9; op2:x10; op1val:0x9; op2val:0x7fffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x3, x9, x10, 0x9, 0x7fffffff, 0x6, 1b, x2, 4,0) + +inst_2: +// rs1==x12, rs2==x4, rs2_val == -1073741825, rs1_val == -257, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x12; op2:x4; op1val:-0x101; op2val:-0x40000001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x3, x12, x4, -0x101, -0x40000001, 0x10, 3f, x2, 8,0) + +inst_3: +// rs1==x6, rs2==x5, rs2_val == -536870913, rs1_val == -2097153 +// opcode: bne, op1:x6; op2:x5; op1val:-0x200001; op2val:-0x20000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x3, x6, x5, -0x200001, -0x20000001, 0x2, 1b, x2, 12,0) + +inst_4: +// rs1==x13, rs2==x0, rs2_val == -134217729, rs1_val == -16777217 +// opcode: bne, op1:x13; op2:x0; op1val:-0x1000001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x3, x13, x0, -0x1000001, 0x0, 0x6, 1b, x2, 16,0) + +inst_5: +// rs1==x7, rs2==x8, rs2_val == -67108865, rs1_val == 64, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x7; op2:x8; op1val:0x40; op2val:-0x4000001; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x3, x7, x8, 0x40, -0x4000001, 0x100, 3f, x2, 20,0) + +inst_6: +// rs1==x1, rs2==x13, rs2_val == -33554433, rs1_val == 4194304 +// opcode: bne, op1:x1; op2:x13; op1val:0x400000; op2val:-0x2000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x3, x1, x13, 0x400000, -0x2000001, 0x2, 1b, x2, 24,0) + +inst_7: +// rs1==x5, rs2==x11, rs2_val == -16777217, rs1_val == 2147483647 +// opcode: bne, op1:x5; op2:x11; op1val:0x7fffffff; op2val:-0x1000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x13, x5, x11, 0x7fffffff, -0x1000001, 0x2, 3f, x2, 28,0) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_8: +// rs1==x0, rs2==x1, rs2_val == -8388609, rs1_val == 8192 +// opcode: bne, op1:x0; op2:x1; op1val:0x0; op2val:-0x800001; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x13, x0, x1, 0x0, -0x800001, 0x4, 1b, x5, 0,0) + +inst_9: +// rs1==x4, rs2==x9, rs2_val == -4194305, rs1_val == -16385 +// opcode: bne, op1:x4; op2:x9; op1val:-0x4001; op2val:-0x400001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x13, x4, x9, -0x4001, -0x400001, 0x10, 3f, x5, 4,0) + +inst_10: +// rs1==x11, rs2==x15, rs2_val == -2097153, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -67108865 +// opcode: bne, op1:x11; op2:x15; op1val:-0x4000001; op2val:-0x200001; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x13, x11, x15, -0x4000001, -0x200001, 0x80, 3f, x5, 8,0) + +inst_11: +// rs1==x15, rs2==x3, rs2_val == -1048577, +// opcode: bne, op1:x15; op2:x3; op1val:0x6; op2val:-0x100001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x13, x15, x3, 0x6, -0x100001, 0x6, 1b, x5, 12,0) + +inst_12: +// rs1==x10, rs2==x7, rs2_val == -524289, rs1_val == -4097 +// opcode: bne, op1:x10; op2:x7; op1val:-0x1001; op2val:-0x80001; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x13, x10, x7, -0x1001, -0x80001, 0x556, 1b, x5, 16,0) + +inst_13: +// rs1==x3, rs2==x2, rs2_val == -262145, +// opcode: bne, op1:x3; op2:x2; op1val:0xb505; op2val:-0x40001; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x13, x3, x2, 0xb505, -0x40001, 0x0, 3f, x5, 20,0) + +inst_14: +// rs1==x2, rs2==x12, rs2_val == -131073, +// opcode: bne, op1:x2; op2:x12; op1val:-0x6; op2val:-0x20001; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x13, x2, x12, -0x6, -0x20001, 0x100, 3f, x5, 24,0) + +inst_15: +// rs1==x8, rs2==x6, rs2_val == -65537, +// opcode: bne, op1:x8; op2:x6; op1val:0x66666666; op2val:-0x10001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x13, x8, x6, 0x66666666, -0x10001, 0x200, 3f, x5, 28,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_16: +// rs2_val == -32769, rs1_val == -2147483648 +// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x8001; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, -0x8001, 0x400, 3f, x1, 0,0) + +inst_17: +// rs2_val == -16385, rs1_val == 32 +// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:-0x4001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, -0x4001, 0x6, 1b, x1, 4,0) + +inst_18: +// rs2_val == -8193, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x2001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x2001, 0x8, 3f, x1, 8,0) + +inst_19: +// rs2_val == -4097, rs1_val == 2048 +// opcode: bne, op1:x10; op2:x11; op1val:0x800; op2val:-0x1001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x800, -0x1001, 0x2, 3f, x1, 12,0) + +inst_20: +// rs2_val == -2049, rs1_val == -65537 +// opcode: bne, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x801; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x10001, -0x801, 0x0, 3f, x1, 16,0) + +inst_21: +// rs2_val == -1025, rs1_val == -262145 +// opcode: bne, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x401; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40001, -0x401, 0x0, 3f, x1, 20,0) + +inst_22: +// rs2_val == -513, rs1_val == -268435457 +// opcode: bne, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x201; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x10000001, -0x201, 0x2, 3f, x1, 24,0) + +inst_23: +// rs2_val == -257, +// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x101; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, -0x101, 0x8, 3f, x1, 28,0) + +inst_24: +// rs2_val == -129, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x81, 0x4, 1b, x1, 32,0) + +inst_25: +// rs2_val == -65, rs1_val == -2049 +// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:-0x41; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, -0x41, 0x8, 3f, x1, 36,0) + +inst_26: +// rs2_val == -33, +// opcode: bne, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x21; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000000, -0x21, 0x20, 3f, x1, 40,0) + +inst_27: +// rs2_val == -17, rs1_val == -1073741825 +// opcode: bne, op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x11; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000001, -0x11, 0x400, 3f, x1, 44,0) + +inst_28: +// rs2_val == -9, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x9; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x9, 0x100, 3f, x1, 48,0) + +inst_29: +// rs2_val == -5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x1001, -0x5, 0x4, 1b, x1, 52,0) + +inst_30: +// rs2_val == -3, rs1_val == 134217728 +// opcode: bne, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000000, -0x3, 0x556, 1b, x1, 56,0) + +inst_31: +// rs2_val == -2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x101; op2val:-0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x101, -0x2, 0x2, 3f, x1, 60,0) + +inst_32: +// rs1_val == -536870913, +// opcode: bne, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x1001; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x20000001, -0x1001, 0x80, 3f, x1, 64,0) + +inst_33: +// rs1_val == -134217729, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 2 +// opcode: bne, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8000001, 0x2, 0x0, 1b, x1, 68,0) + +inst_34: +// rs1_val == -8388609, +// opcode: bne, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x11; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x800001, -0x11, 0x200, 3f, x1, 72,0) + +inst_35: +// rs1_val == -4194305, +// opcode: bne, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x20000001; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x400001, -0x20000001, 0x40, 3f, x1, 76,0) + +inst_36: +// rs1_val == -1048577, +// opcode: bne, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x800001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x100001, -0x800001, 0x2, 1b, x1, 80,0) + +inst_37: +// rs1_val == -524289, +// opcode: bne, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80001, -0x200001, 0x10, 3f, x1, 84,0) + +inst_38: +// rs1_val == -131073, rs2_val == 1024 +// opcode: bne, op1:x10; op2:x11; op1val:-0x20001; op2val:0x400; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x20001, 0x400, 0x10, 3f, x1, 88,0) + +inst_39: +// rs1_val == -32769, +// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x80001; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, -0x80001, 0x4, 3f, x1, 92,0) + +inst_40: +// rs1_val == -8193, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x100001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2001, -0x100001, 0x2, 1b, x1, 96,0) + +inst_41: +// rs1_val == -1025, rs2_val == -1431655766 +// opcode: bne, op1:x10; op2:x11; op1val:-0x401; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x401, -0x55555556, 0x556, 1b, x1, 100,0) + +inst_42: +// rs1_val == -513, +// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x6, 0x20, 3f, x1, 104,0) + +inst_43: +// rs1_val == -129, +// opcode: bne, op1:x10; op2:x11; op1val:-0x81; op2val:-0x400001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x81, -0x400001, 0x6, 1b, x1, 108,0) + +inst_44: +// rs1_val == -65, +// opcode: bne, op1:x10; op2:x11; op1val:-0x41; op2val:-0x1001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x41, -0x1001, 0x200, 3f, x1, 112,0) + +inst_45: +// rs1_val == -33, +// opcode: bne, op1:x10; op2:x11; op1val:-0x21; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x21, -0x55555556, 0x80, 3f, x1, 116,0) + +inst_46: +// rs1_val == -17, +// opcode: bne, op1:x10; op2:x11; op1val:-0x11; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x11, -0x101, 0x6, 1b, x1, 120,0) + +inst_47: +// rs1_val == -9, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:-0x40001; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, -0x40001, 0x400, 1b, x1, 124,0) + +inst_48: +// rs1_val == -5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x5; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x5, 0x6, 0x80, 3f, x1, 128,0) + +inst_49: +// rs1_val == -3, rs2_val == 1431655765 +// opcode: bne, op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x3, 0x55555555, 0xa, 1b, x1, 132,0) + +inst_50: +// rs1_val == -2, rs2_val == 524288 +// opcode: bne, op1:x10; op2:x11; op1val:-0x2; op2val:0x80000; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2, 0x80000, 0x4, 3f, x1, 136,0) + +inst_51: +// rs2_val == -2147483648, rs1_val == -1431655766 +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x80000000, 0x2, 1b, x1, 140,0) + +inst_52: +// rs2_val == 1073741824, +// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:0x40000000; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, 0x40000000, 0x80, 3f, x1, 144,0) + +inst_53: +// rs2_val == 536870912, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20000000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x20000000, 0x8, 1b, x1, 148,0) + +inst_54: +// rs2_val == 268435456, rs1_val == 16384 +// opcode: bne, op1:x10; op2:x11; op1val:0x4000; op2val:0x10000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000, 0x10000000, 0x2, 3f, x1, 152,0) + +inst_55: +// rs2_val == 134217728, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x8000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x8000000, 0x2, 3f, x1, 156,0) + +inst_56: +// rs2_val == 67108864, rs1_val == 8 +// opcode: bne, op1:x10; op2:x11; op1val:0x8; op2val:0x4000000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8, 0x4000000, 0xa, 1b, x1, 160,0) + +inst_57: +// rs2_val == 33554432, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2000000, 0xa, 1b, x1, 164,0) + +inst_58: +// rs2_val == 16777216, +// opcode: bne, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x1000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x4000001, 0x1000000, 0x2, 1b, x1, 168,0) + +inst_59: +// rs2_val == 8388608, +// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:0x800000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, 0x800000, 0x8, 3f, x1, 172,0) + +inst_60: +// rs2_val == 4194304, +// opcode: bne, op1:x10; op2:x11; op1val:-0x6; op2val:0x400000; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x6, 0x400000, 0x200, 3f, x1, 176,0) + +inst_61: +// rs2_val == 2097152, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x200000; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x200000, 0x20, 3f, x1, 180,0) + +inst_62: +// rs2_val == 1048576, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x100000; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x100000, 0x0, 3f, x1, 184,0) + +inst_63: +// rs2_val == 262144, +// opcode: bne, op1:x10; op2:x11; op1val:0x2000; op2val:0x40000; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000, 0x40000, 0x80, 3f, x1, 188,0) + +inst_64: +// rs2_val == 131072, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x20000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x20000, 0xa, 1b, x1, 192,0) + +inst_65: +// rs2_val == 65536, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x10000, 0xa, 1b, x1, 196,0) + +inst_66: +// rs2_val == 32768, +// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:0x8000; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, 0x8000, 0x0, 1b, x1, 200,0) + +inst_67: +// rs2_val == 16384, +// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x4000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, 0x4000, 0xa, 1b, x1, 204,0) + +inst_68: +// rs2_val == 8192, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x2000, 0xa, 1b, x1, 208,0) + +inst_69: +// rs2_val == 4096, rs1_val == 32768 +// opcode: bne, op1:x10; op2:x11; op1val:0x8000; op2val:0x1000; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000, 0x1000, 0x6, 3f, x1, 212,0) + +inst_70: +// rs2_val == 2048, +// opcode: bne, op1:x10; op2:x11; op1val:0x40; op2val:0x800; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40, 0x800, 0x6, 3f, x1, 216,0) + +inst_71: +// rs2_val == 512, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x200, 0x400, 1b, x1, 220,0) + +inst_72: +// rs2_val == 256, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x100; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x100, 0x200, 3f, x1, 224,0) + +inst_73: +// rs2_val == 128, rs1_val == 65536 +// opcode: bne, op1:x10; op2:x11; op1val:0x10000; op2val:0x80; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000, 0x80, 0x80, 3f, x1, 228,0) + +inst_74: +// rs2_val == 64, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x40; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x40, 0x8, 3f, x1, 232,0) + +inst_75: +// rs2_val == 32, +// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x20; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x20, 0x40, 3f, x1, 236,0) + +inst_76: +// rs2_val == 16, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x10; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x10, 0x400, 3f, x1, 240,0) + +inst_77: +// rs2_val == 8, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x8; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x8, 0x80, 3f, x1, 244,0) + +inst_78: +// rs2_val == 4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, 0x4, 0x2, 1b, x1, 248,0) + +inst_79: +// rs2_val == 1, rs1_val == 2 +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x1, 0x4, 3f, x1, 252,0) + +inst_80: +// rs1_val == 1073741824, +// opcode: bne, op1:x10; op2:x11; op1val:0x40000000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000000, 0x33333333, 0x2, 1b, x1, 256,0) + +inst_81: +// rs1_val == 536870912, +// opcode: bne, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x9; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000000, -0x9, 0x4, 3f, x1, 260,0) + +inst_82: +// rs1_val == 268435456, +// opcode: bne, op1:x10; op2:x11; op1val:0x10000000; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000000, 0x4, 0x10, 3f, x1, 264,0) + +inst_83: +// rs1_val == 67108864, +// opcode: bne, op1:x10; op2:x11; op1val:0x4000000; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000000, 0x800000, 0x200, 3f, x1, 268,0) + +inst_84: +// rs1_val == 33554432, +// opcode: bne, op1:x10; op2:x11; op1val:0x2000000; op2val:0x3fffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000000, 0x3fffffff, 0x2, 3f, x1, 272,0) + +inst_85: +// rs1_val == 16777216, +// opcode: bne, op1:x10; op2:x11; op1val:0x1000000; op2val:-0x100001; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000000, -0x100001, 0x0, 3f, x1, 276,0) + +inst_86: +// rs1_val == 8388608, +// opcode: bne, op1:x10; op2:x11; op1val:0x800000; op2val:0x1000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x800000, 0x1000, 0x8, 1b, x1, 280,0) + +inst_87: +// rs1_val == 2097152, +// opcode: bne, op1:x10; op2:x11; op1val:0x200000; op2val:-0x401; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x200000, -0x401, 0x200, 3f, x1, 284,0) + +inst_88: +// rs1_val == 1048576, +// opcode: bne, op1:x10; op2:x11; op1val:0x100000; op2val:-0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x100000, -0x1, 0x8, 3f, x1, 288,0) + +inst_89: +// rs1_val == 524288, +// opcode: bne, op1:x10; op2:x11; op1val:0x80000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x80000, 0x0, 0x2, 3f, x1, 292,0) + +inst_90: +// rs1_val == 262144, +// opcode: bne, op1:x10; op2:x11; op1val:0x40000; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000, 0x33333333, 0x0, 3f, x1, 296,0) + +inst_91: +// rs1_val == 131072, +// opcode: bne, op1:x10; op2:x11; op1val:0x20000; op2val:-0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000, -0x5, 0x100, 3f, x1, 300,0) + +inst_92: +// rs1_val == 4096, +// opcode: bne, op1:x10; op2:x11; op1val:0x1000; op2val:0x100000; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000, 0x100000, 0x20, 3f, x1, 304,0) + +inst_93: +// rs1_val == 1024, +// opcode: bne, op1:x10; op2:x11; op1val:0x400; op2val:0x80; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x400, 0x80, 0x400, 1b, x1, 308,0) + +inst_94: +// rs1_val == 512, +// opcode: bne, op1:x10; op2:x11; op1val:0x200; op2val:-0x9; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x200, -0x9, 0x0, 3f, x1, 312,0) + +inst_95: +// rs1_val == 256, +// opcode: bne, op1:x10; op2:x11; op1val:0x100; op2val:0x8000; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x100, 0x8000, 0x556, 1b, x1, 316,0) + +inst_96: +// rs1_val == 128, +// opcode: bne, op1:x10; op2:x11; op1val:0x80; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x80, 0x55555556, 0x20, 3f, x1, 320,0) + +inst_97: +// rs1_val == 16, +// opcode: bne, op1:x10; op2:x11; op1val:0x10; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10, -0x81, 0x4, 1b, x1, 324,0) + +inst_98: +// rs1_val == 4, rs1_val==4 and rs2_val==0 +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x0, 0x556, 1b, x1, 328,0) + +inst_99: +// rs1_val == 1, +// opcode: bne, op1:x10; op2:x11; op1val:0x1; op2val:-0x4000001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1, -0x4000001, 0x200, 3f, x1, 332,0) + +inst_100: +// rs1_val==46341 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb505, 0xa, 1b, x1, 336,0) + +inst_101: +// rs1_val==46341 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb503, 0x4, 1b, x1, 340,0) + +inst_102: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666667, 0x200, 3f, x1, 344,0) + +inst_103: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x1, 348,0) + +inst_104: +// rs1_val==46341 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x6, 0x4, 3f, x1, 352,0) + +inst_105: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555555, 0x2, 3f, x1, 356,0) + +inst_106: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555556, 0x2, 3f, x1, 360,0) + +inst_107: +// rs1_val==46341 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x4, 0x6, 3f, x1, 364,0) + +inst_108: +// rs1_val==46341 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb503, 0x556, 1b, x1, 368,0) + +inst_109: +// rs1_val==46341 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x0, 0x6, 1b, x1, 372,0) + +inst_110: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666665, 0x6, 3f, x1, 376,0) + +inst_111: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333332, 0x400, 3f, x1, 380,0) + +inst_112: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x1, 384,0) + +inst_113: +// rs1_val==46341 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x2, 0x20, 3f, x1, 388,0) + +inst_114: +// rs1_val==46341 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb504, 0x200, 3f, x1, 392,0) + +inst_115: +// rs1_val==46341 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb504, 0x6, 3f, x1, 396,0) + +inst_116: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666666, 0x100, 3f, x1, 400,0) + +inst_117: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333333, 0x10, 3f, x1, 404,0) + +inst_118: +// rs1_val==46341 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x5, 0x2, 3f, x1, 408,0) + +inst_119: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555556, 0x6, 1b, x1, 412,0) + +inst_120: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 416,0) + +inst_121: +// rs1_val==46341 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x3, 0x6, 3f, x1, 420,0) + +inst_122: +// rs1_val==-46339 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb505, 0x4, 1b, x1, 424,0) + +inst_123: +// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb503, 0x400, 3f, x1, 428,0) + +inst_124: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666667, 0x100, 3f, x1, 432,0) + +inst_125: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333334, 0x4, 3f, x1, 436,0) + +inst_126: +// rs1_val==-46339 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x6, 0x10, 3f, x1, 440,0) + +inst_127: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555555, 0x556, 1b, x1, 444,0) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x1, 448,0) + +inst_129: +// rs1_val==-46339 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x4, 0x400, 3f, x1, 452,0) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb503, 0x400, 3f, x1, 456,0) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x0, 0x4, 3f, x1, 460,0) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666665, 0x6, 1b, x1, 464,0) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333332, 0x6, 1b, x1, 468,0) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555554, 0x2, 1b, x1, 472,0) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x2, 0x400, 3f, x1, 476,0) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb504, 0x2, 1b, x1, 480,0) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb504, 0x400, 3f, x1, 484,0) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666666, 0x4, 1b, x1, 488,0) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333333, 0x2, 3f, x1, 492,0) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x5, 0x400, 3f, x1, 496,0) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555556, 0x6, 1b, x1, 500,0) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555555, 0x20, 3f, x1, 504,0) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x3, 0x556, 1b, x1, 508,0) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x1, 512,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb503, 0x6, 1b, x1, 516,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666667, 0x2, 1b, x1, 520,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x1, 524,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x6, 0x0, 3f, x1, 528,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555555, 0x10, 3f, x1, 532,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555556, 0x8, 1b, x1, 536,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x4, 0x4, 3f, x1, 540,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb503, 0x4, 1b, x1, 544,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x0, 0x556, 1b, x1, 548,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666665, 0x2, 3f, x1, 552,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333332, 0x20, 3f, x1, 556,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x1, 560,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x2, 0x6, 3f, x1, 564,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb504, 0x20, 3f, x1, 568,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb504, 0x2, 1b, x1, 572,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x1, 576,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x1, 580,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x5, 0x400, 3f, x1, 584,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555556, 0x40, 3f, x1, 588,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555555, 0x4, 3f, x1, 592,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x3, 0x2, 1b, x1, 596,0) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb505, 0x556, 1b, x1, 600,0) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb503, 0x100, 3f, x1, 604,0) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666667, 0x200, 3f, x1, 608,0) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333334, 0x100, 3f, x1, 612,0) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x6, 0x0, 1b, x1, 616,0) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555555, 0x2, 3f, x1, 620,0) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x1, 624,0) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x4, 0x556, 1b, x1, 628,0) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb503, 0x40, 3f, x1, 632,0) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x0, 0x2, 1b, x1, 636,0) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666665, 0x40, 3f, x1, 640,0) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x1, 644,0) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555554, 0x6, 1b, x1, 648,0) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x2, 0x556, 1b, x1, 652,0) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb504, 0x2, 1b, x1, 656,0) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb504, 0x8, 3f, x1, 660,0) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666666, 0x0, 3f, x1, 664,0) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333333, 0x4, 3f, x1, 668,0) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x5, 0x6, 3f, x1, 672,0) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555556, 0x200, 3f, x1, 676,0) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555555, 0x0, 3f, x1, 680,0) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x3, 0x80, 3f, x1, 684,0) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 688,0) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb503, 0x20, 3f, x1, 692,0) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666667, 0x2, 3f, x1, 696,0) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333334, 0x40, 3f, x1, 700,0) + +inst_192: +// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x6, 0x4, 1b, x1, 704,0) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555555, 0x0, 1b, x1, 708,0) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555556, 0x2, 1b, x1, 712,0) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x4, 0x20, 3f, x1, 716,0) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb503, 0x400, 1b, x1, 720,0) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x0, 0xa, 1b, x1, 724,0) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666665, 0x4, 1b, x1, 728,0) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333332, 0x0, 3f, x1, 732,0) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555554, 0x4, 1b, x1, 736,0) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x2, 0x80, 3f, x1, 740,0) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb504, 0x4, 1b, x1, 744,0) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb504, 0x6, 3f, x1, 748,0) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666666, 0x2, 1b, x1, 752,0) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333333, 0x8, 3f, x1, 756,0) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 760,0) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555556, 0x80, 3f, x1, 764,0) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555555, 0x556, 1b, x1, 768,0) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x3, 0x6, 3f, x1, 772,0) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x1, 776,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb503, 0x40, 3f, x1, 780,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666667, 0xa, 1b, x1, 784,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333334, 0x400, 1b, x1, 788,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 792,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555555, 0x556, 1b, x1, 796,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555556, 0x0, 3f, x1, 800,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x4, 0x40, 3f, x1, 804,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb503, 0x556, 1b, x1, 808,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x0, 0x4, 3f, x1, 812,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666665, 0x80, 3f, x1, 816,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333332, 0x80, 3f, x1, 820,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555554, 0x0, 3f, x1, 824,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x2, 0x10, 3f, x1, 828,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb504, 0x8, 3f, x1, 832,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb504, 0x2, 3f, x1, 836,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666666, 0x20, 3f, x1, 840,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333333, 0x6, 1b, x1, 844,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x5, 0x2, 3f, x1, 848,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555556, 0x8, 3f, x1, 852,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555555, 0x10, 3f, x1, 856,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x3, 0x20, 3f, x1, 860,0) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x1, 864,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb503, 0x2, 1b, x1, 868,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x1, 872,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333334, 0x556, 1b, x1, 876,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x6, 0x556, 1b, x1, 880,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555555, 0xa, 1b, x1, 884,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555556, 0x6, 3f, x1, 888,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x4, 0x40, 3f, x1, 892,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb503, 0x4, 1b, x1, 896,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x0, 0x400, 1b, x1, 900,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x1, 904,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333332, 0x8, 3f, x1, 908,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555554, 0x0, 1b, x1, 912,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x2, 0x8, 1b, x1, 916,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x1, 920,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb504, 0x10, 3f, x1, 924,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666666, 0x8, 1b, x1, 928,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333333, 0x20, 3f, x1, 932,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x5, 0x4, 3f, x1, 936,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555556, 0x400, 3f, x1, 940,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x1, 944,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 948,0) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb505, 0x2, 1b, x1, 952,0) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb503, 0x0, 3f, x1, 956,0) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666667, 0x2, 1b, x1, 960,0) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333334, 0x0, 3f, x1, 964,0) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x6, 0x4, 3f, x1, 968,0) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555555, 0x0, 1b, x1, 972,0) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555556, 0x0, 3f, x1, 976,0) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x4, 0x0, 3f, x1, 980,0) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb503, 0x8, 3f, x1, 984,0) + +inst_263: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666665, 0x8, 1b, x1, 988,0) + +inst_264: +// rs1_val==4 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333332, 0x40, 3f, x1, 992,0) + +inst_265: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555554, 0x556, 1b, x1, 996,0) + +inst_266: +// rs1_val==4 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x2, 0x8, 1b, x1, 1000,0) + +inst_267: +// rs1_val==4 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb504, 0x20, 3f, x1, 1004,0) + +inst_268: +// rs1_val==4 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb504, 0x80, 3f, x1, 1008,0) + +inst_269: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666666, 0x556, 1b, x1, 1012,0) + +inst_270: +// rs1_val==4 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1016,0) + +inst_271: +// rs1_val==4 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x5, 0x100, 3f, x1, 1020,0) + +inst_272: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555556, 0x20, 3f, x1, 1024,0) + +inst_273: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555555, 0x8, 3f, x1, 1028,0) + +inst_274: +// rs1_val==4 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x3, 0x10, 3f, x1, 1032,0) + +inst_275: +// rs1_val==46339 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb505, 0x80, 3f, x1, 1036,0) + +inst_276: +// rs1_val==46339 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb503, 0x8, 1b, x1, 1040,0) + +inst_277: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666667, 0x400, 3f, x1, 1044,0) + +inst_278: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333334, 0x2, 3f, x1, 1048,0) + +inst_279: +// rs1_val==46339 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x6, 0x10, 3f, x1, 1052,0) + +inst_280: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1056,0) + +inst_281: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555556, 0x80, 3f, x1, 1060,0) + +inst_282: +// rs1_val==46339 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x4, 0x400, 3f, x1, 1064,0) + +inst_283: +// rs1_val==46339 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb503, 0xa, 1b, x1, 1068,0) + +inst_284: +// rs1_val==46339 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x0, 0x40, 3f, x1, 1072,0) + +inst_285: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666665, 0x6, 3f, x1, 1076,0) + +inst_286: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333332, 0x0, 3f, x1, 1080,0) + +inst_287: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555554, 0x556, 1b, x1, 1084,0) + +inst_288: +// rs1_val==46339 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x2, 0x10, 3f, x1, 1088,0) + +inst_289: +// rs1_val==46339 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb504, 0x20, 3f, x1, 1092,0) + +inst_290: +// rs1_val==46339 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb504, 0x2, 1b, x1, 1096,0) + +inst_291: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666666, 0x556, 1b, x1, 1100,0) + +inst_292: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333333, 0x4, 1b, x1, 1104,0) + +inst_293: +// rs1_val==46339 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x5, 0x20, 3f, x1, 1108,0) + +inst_294: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555556, 0x8, 3f, x1, 1112,0) + +inst_295: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555555, 0x2, 3f, x1, 1116,0) + +inst_296: +// rs1_val==46339 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x3, 0x0, 3f, x1, 1120,0) + +inst_297: +// rs1_val==0 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb505, 0x0, 3f, x1, 1124,0) + +inst_298: +// rs1_val==0 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb503, 0x80, 3f, x1, 1128,0) + +inst_299: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666667, 0x10, 3f, x1, 1132,0) + +inst_300: +// rs1_val==0 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333334, 0x80, 3f, x1, 1136,0) + +inst_301: +// rs1_val==0 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x6, 0x4, 1b, x1, 1140,0) + +inst_302: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555555, 0x0, 1b, x1, 1144,0) + +inst_303: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555556, 0x0, 3f, x1, 1148,0) + +inst_304: +// rs1_val==0 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x4, 0x556, 1b, x1, 1152,0) + +inst_305: +// rs1_val==0 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb503, 0x400, 3f, x1, 1156,0) + +inst_306: +// rs1_val==0 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x0, 0x8, 3f, x1, 1160,0) + +inst_307: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666665, 0x2, 1b, x1, 1164,0) + +inst_308: +// rs1_val==0 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333332, 0x400, 3f, x1, 1168,0) + +inst_309: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555554, 0x4, 3f, x1, 1172,0) + +inst_310: +// rs1_val==0 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x2, 0x8, 3f, x1, 1176,0) + +inst_311: +// rs1_val==0 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb504, 0x2, 1b, x1, 1180,0) + +inst_312: +// rs1_val==0 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb504, 0x400, 1b, x1, 1184,0) + +inst_313: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666666, 0x8, 1b, x1, 1188,0) + +inst_314: +// rs1_val==0 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333333, 0x200, 3f, x1, 1192,0) + +inst_315: +// rs1_val==0 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x5, 0x2, 3f, x1, 1196,0) + +inst_316: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1200,0) + +inst_317: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555555, 0x0, 1b, x1, 1204,0) + +inst_318: +// rs1_val==0 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x3, 0xa, 1b, x1, 1208,0) + +inst_319: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb505, 0x2, 3f, x1, 1212,0) + +inst_320: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb503, 0x20, 3f, x1, 1216,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666667, 0x400, 3f, x1, 1220,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x1, 1224,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x6, 0x4, 3f, x1, 1228,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555555, 0x0, 3f, x1, 1232,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555556, 0x6, 3f, x1, 1236,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x4, 0x8, 3f, x1, 1240,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x1, 1244,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x0, 0x0, 3f, x1, 1248,0) + +inst_329: +// rs1_val==858993459 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x0, 0x0, 3f, x1, 1252,0) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666665, 0x400, 3f, x1, 1256,0) + +inst_331: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x1, 1260,0) + +inst_332: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555554, 0xa, 1b, x1, 1264,0) + +inst_333: +// rs1_val==858993459 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x2, 0x2, 3f, x1, 1268,0) + +inst_334: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x1, 1272,0) + +inst_335: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb504, 0x0, 1b, x1, 1276,0) + +inst_336: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x1, 1280,0) + +inst_337: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x1, 1284,0) + +inst_338: +// rs1_val==858993459 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x5, 0x2, 1b, x1, 1288,0) + +inst_339: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1292,0) + +inst_340: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555555, 0x4, 1b, x1, 1296,0) + +inst_341: +// rs1_val==858993459 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x3, 0x4, 1b, x1, 1300,0) + +inst_342: +// rs1_val==5 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb505, 0x20, 3f, x1, 1304,0) + +inst_343: +// rs1_val==5 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb503, 0x400, 3f, x1, 1308,0) + +inst_344: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666667, 0x6, 1b, x1, 1312,0) + +inst_345: +// rs1_val==5 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333334, 0x100, 3f, x1, 1316,0) + +inst_346: +// rs1_val==5 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x6, 0x80, 3f, x1, 1320,0) + +inst_347: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555555, 0x8, 3f, x1, 1324,0) + +inst_348: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555556, 0x10, 3f, x1, 1328,0) + +inst_349: +// rs1_val==5 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x4, 0x400, 1b, x1, 1332,0) + +inst_350: +// rs1_val==5 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb503, 0x8, 3f, x1, 1336,0) + +inst_351: +// rs1_val==5 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x0, 0x8, 1b, x1, 1340,0) + +inst_352: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666665, 0x2, 1b, x1, 1344,0) + +inst_353: +// rs1_val==5 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333332, 0x6, 3f, x1, 1348,0) + +inst_354: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555554, 0x100, 3f, x1, 1352,0) + +inst_355: +// rs1_val==5 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x2, 0x6, 3f, x1, 1356,0) + +inst_356: +// rs1_val==5 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb504, 0x2, 1b, x1, 1360,0) + +inst_357: +// rs1_val==5 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb504, 0x6, 1b, x1, 1364,0) + +inst_358: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666666, 0x556, 1b, x1, 1368,0) + +inst_359: +// rs1_val==5 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333333, 0x4, 1b, x1, 1372,0) + +inst_360: +// rs1_val==5 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x5, 0x20, 3f, x1, 1376,0) + +inst_361: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1380,0) + +inst_362: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555555, 0x4, 3f, x1, 1384,0) + +inst_363: +// rs1_val==5 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x3, 0x8, 1b, x1, 1388,0) + +inst_364: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb505, 0x80, 3f, x1, 1392,0) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb503, 0x0, 3f, x1, 1396,0) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666667, 0x40, 3f, x1, 1400,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333334, 0x4, 1b, x1, 1404,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x6, 0x80, 3f, x1, 1408,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555555, 0x20, 3f, x1, 1412,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555556, 0x400, 1b, x1, 1416,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x4, 0x6, 1b, x1, 1420,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb503, 0x400, 3f, x1, 1424,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x0, 0x4, 3f, x1, 1428,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666665, 0x2, 1b, x1, 1432,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333332, 0x2, 1b, x1, 1436,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555554, 0x200, 3f, x1, 1440,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x2, 0x400, 3f, x1, 1444,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb504, 0x556, 1b, x1, 1448,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb504, 0x0, 1b, x1, 1452,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666666, 0x6, 1b, x1, 1456,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333333, 0x2, 3f, x1, 1460,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x5, 0x4, 1b, x1, 1464,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555556, 0x6, 1b, x1, 1468,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555555, 0x4, 3f, x1, 1472,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x3, 0x40, 3f, x1, 1476,0) + +inst_386: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb505, 0x6, 1b, x1, 1480,0) + +inst_387: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb503, 0x10, 3f, x1, 1484,0) + +inst_388: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666667, 0x8, 3f, x1, 1488,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x1, 1492,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x6, 0x556, 1b, x1, 1496,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555555, 0xa, 1b, x1, 1500,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x1, 1504,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x4, 0x0, 1b, x1, 1508,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb503, 0x10, 3f, x1, 1512,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1516,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666665, 0x20, 3f, x1, 1520,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x1, 1524,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555554, 0x400, 3f, x1, 1528,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x2, 0x4, 3f, x1, 1532,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x1, 1536,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb504, 0x6, 3f, x1, 1540,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x1, 1544,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x1, 1548,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x5, 0x200, 3f, x1, 1552,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555556, 0x100, 3f, x1, 1556,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555555, 0xa, 1b, x1, 1560,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x3, 0x8, 1b, x1, 1564,0) + +inst_408: +// rs1_val==3 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb505, 0x200, 3f, x1, 1568,0) + +inst_409: +// rs1_val==3 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb503, 0x4, 1b, x1, 1572,0) + +inst_410: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666667, 0x40, 3f, x1, 1576,0) + +inst_411: +// rs1_val==3 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333334, 0x2, 3f, x1, 1580,0) + +inst_412: +// rs1_val==3 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x6, 0x0, 3f, x1, 1584,0) + +inst_413: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555555, 0x4, 1b, x1, 1588,0) + +inst_414: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555556, 0x8, 3f, x1, 1592,0) + +inst_415: +// rs1_val==3 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x4, 0x4, 1b, x1, 1596,0) + +inst_416: +// rs1_val==3 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb503, 0x0, 1b, x1, 1600,0) + +inst_417: +// rs1_val==3 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x0, 0x200, 3f, x1, 1604,0) + +inst_418: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666665, 0x6, 3f, x1, 1608,0) + +inst_419: +// rs1_val==3 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333332, 0x4, 3f, x1, 1612,0) + +inst_420: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555554, 0x4, 1b, x1, 1616,0) + +inst_421: +// rs1_val==3 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x2, 0x100, 3f, x1, 1620,0) + +inst_422: +// rs1_val==3 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb504, 0x400, 1b, x1, 1624,0) + +inst_423: +// rs1_val==3 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb504, 0x10, 3f, x1, 1628,0) + +inst_424: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666666, 0x6, 3f, x1, 1632,0) + +inst_425: +// rs1_val==3 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333333, 0x6, 3f, x1, 1636,0) + +inst_426: +// rs1_val==3 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x5, 0x4, 1b, x1, 1640,0) + +inst_427: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555556, 0x8, 1b, x1, 1644,0) + +inst_428: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555555, 0x2, 1b, x1, 1648,0) + +inst_429: +// rs1_val==3 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x3, 0x400, 3f, x1, 1652,0) + +inst_430: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666665, 0x200, 3f, x1, 1656,0) + +inst_431: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333332, 0x6, 3f, x1, 1660,0) + +inst_432: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x1, 1664,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x2, 0x6, 1b, x1, 1668,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb504, 0x8, 1b, x1, 1672,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb504, 0xa, 1b, x1, 1676,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666666, 0x100, 3f, x1, 1680,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333333, 0x2, 1b, x1, 1684,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x5, 0x20, 3f, x1, 1688,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555556, 0xa, 1b, x1, 1692,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555555, 0xa, 1b, x1, 1696,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x3, 0x200, 3f, x1, 1700,0) + +inst_442: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb505, 0xa, 1b, x1, 1704,0) + +inst_443: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb503, 0x400, 3f, x1, 1708,0) + +inst_444: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x1, 1712,0) + +inst_445: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333334, 0x100, 3f, x1, 1716,0) + +inst_446: +// rs1_val==858993458 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x6, 0x0, 1b, x1, 1720,0) + +inst_447: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555555, 0x40, 3f, x1, 1724,0) + +inst_448: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x1, 1728,0) + +inst_449: +// rs1_val==858993458 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x4, 0x2, 3f, x1, 1732,0) + +inst_450: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb503, 0x20, 3f, x1, 1736,0) + +inst_451: +// rs1_val==858993458 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x0, 0x4, 1b, x1, 1740,0) + +inst_452: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666665, 0x20, 3f, x1, 1744,0) + +inst_453: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333332, 0x20, 3f, x1, 1748,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x1, 1752,0) + +inst_455: +// rs1_val==858993458 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x2, 0x400, 1b, x1, 1756,0) + +inst_456: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x1, 1760,0) + +inst_457: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb504, 0x6, 1b, x1, 1764,0) + +inst_458: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x1, 1768,0) + +inst_459: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333333, 0x400, 3f, x1, 1772,0) + +inst_460: +// rs1_val==858993458 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x5, 0x200, 3f, x1, 1776,0) + +inst_461: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555556, 0x0, 3f, x1, 1780,0) + +inst_462: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555555, 0x4, 3f, x1, 1784,0) + +inst_463: +// rs1_val==858993458 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x3, 0x400, 1b, x1, 1788,0) + +inst_464: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb505, 0x8, 1b, x1, 1792,0) + +inst_465: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb503, 0x8, 3f, x1, 1796,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666667, 0x400, 1b, x1, 1800,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1804,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x6, 0x10, 3f, x1, 1808,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555555, 0x0, 3f, x1, 1812,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1816,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1820,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb503, 0x4, 3f, x1, 1824,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x0, 0x200, 3f, x1, 1828,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666665, 0x4, 1b, x1, 1832,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333332, 0x6, 1b, x1, 1836,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555554, 0x4, 3f, x1, 1840,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x2, 0x0, 1b, x1, 1844,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb504, 0x80, 3f, x1, 1848,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb504, 0x10, 3f, x1, 1852,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666666, 0x80, 3f, x1, 1856,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333333, 0x10, 3f, x1, 1860,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x5, 0xa, 1b, x1, 1864,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555556, 0x2, 1b, x1, 1868,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x1, 1872,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x3, 0x10, 3f, x1, 1876,0) + +inst_486: +// rs1_val==2 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb505, 0x100, 3f, x1, 1880,0) + +inst_487: +// rs1_val==2 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb503, 0x400, 1b, x1, 1884,0) + +inst_488: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666667, 0x4, 1b, x1, 1888,0) + +inst_489: +// rs1_val==2 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333334, 0x6, 1b, x1, 1892,0) + +inst_490: +// rs1_val==2 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x6, 0x2, 3f, x1, 1896,0) + +inst_491: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555555, 0x400, 1b, x1, 1900,0) + +inst_492: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555556, 0x10, 3f, x1, 1904,0) + +inst_493: +// rs1_val==2 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x4, 0x4, 3f, x1, 1908,0) + +inst_494: +// rs1_val==2 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb503, 0x10, 3f, x1, 1912,0) + +inst_495: +// rs1_val==2 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x0, 0x40, 3f, x1, 1916,0) + +inst_496: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666665, 0x2, 1b, x1, 1920,0) + +inst_497: +// rs1_val==2 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333332, 0x40, 3f, x1, 1924,0) + +inst_498: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555554, 0x0, 3f, x1, 1928,0) + +inst_499: +// rs1_val==2 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x2, 0x80, 3f, x1, 1932,0) + +inst_500: +// rs1_val==2 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb504, 0x4, 1b, x1, 1936,0) + +inst_501: +// rs1_val==2 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb504, 0x2, 1b, x1, 1940,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666666, 0x0, 1b, x1, 1944,0) + +inst_503: +// rs1_val==2 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333333, 0x200, 3f, x1, 1948,0) + +inst_504: +// rs1_val==2 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x5, 0x556, 1b, x1, 1952,0) + +inst_505: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555556, 0x200, 3f, x1, 1956,0) + +inst_506: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555555, 0xa, 1b, x1, 1960,0) + +inst_507: +// rs1_val==2 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x3, 0x6, 1b, x1, 1964,0) + +inst_508: +// rs1_val==46340 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb505, 0x10, 3f, x1, 1968,0) + +inst_509: +// rs1_val==46340 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb503, 0x10, 3f, x1, 1972,0) + +inst_510: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666667, 0x4, 3f, x1, 1976,0) + +inst_511: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333334, 0x556, 1b, x1, 1980,0) + +inst_512: +// rs1_val==46340 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x6, 0x80, 3f, x1, 1984,0) + +inst_513: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555555, 0x400, 3f, x1, 1988,0) + +inst_514: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555556, 0x4, 1b, x1, 1992,0) + +inst_515: +// rs1_val==46340 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x4, 0x40, 3f, x1, 1996,0) + +inst_516: +// rs1_val==46340 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb503, 0x20, 3f, x1, 2000,0) + +inst_517: +// rs1_val==46340 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2004,0) + +inst_518: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666665, 0x4, 1b, x1, 2008,0) + +inst_519: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333332, 0x80, 3f, x1, 2012,0) + +inst_520: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555554, 0x400, 1b, x1, 2016,0) + +inst_521: +// rs1_val==46340 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x2, 0xa, 1b, x1, 2020,0) + +inst_522: +// rs1_val==46340 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb504, 0x200, 3f, x1, 2024,0) + +inst_523: +// rs1_val==46340 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb504, 0x80, 3f, x1, 2028,0) + +inst_524: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x1, 2032,0) + +inst_525: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333333, 0x0, 1b, x1, 2036,0) + +inst_526: +// rs1_val==46340 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x5, 0x20, 3f, x1, 2040,0) + +inst_527: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555556, 0x10, 3f, x1, 2044,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_528: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555555, 0x20, 3f, x1, 0,0) + +inst_529: +// rs1_val==46340 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x3, 0x4, 3f, x1, 4,0) + +inst_530: +// rs1_val==-46340 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb505, 0x6, 3f, x1, 8,0) + +inst_531: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb503, 0x6, 1b, x1, 12,0) + +inst_532: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666667, 0x400, 1b, x1, 16,0) + +inst_533: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333334, 0x400, 3f, x1, 20,0) + +inst_534: +// rs1_val==-46340 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x6, 0x2, 1b, x1, 24,0) + +inst_535: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555555, 0x20, 3f, x1, 28,0) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555556, 0x400, 1b, x1, 32,0) + +inst_537: +// rs1_val==-46340 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x4, 0x6, 1b, x1, 36,0) + +inst_538: +// rs1_val==-46340 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 40,0) + +inst_539: +// rs1_val==-46340 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x0, 0x100, 3f, x1, 44,0) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666665, 0x8, 1b, x1, 48,0) + +inst_541: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333332, 0x6, 3f, x1, 52,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555554, 0x200, 3f, x1, 56,0) + +inst_543: +// rs1_val==-46340 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x2, 0x20, 3f, x1, 60,0) + +inst_544: +// rs1_val==-46340 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb504, 0x400, 1b, x1, 64,0) + +inst_545: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb504, 0x80, 3f, x1, 68,0) + +inst_546: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666666, 0x8, 1b, x1, 72,0) + +inst_547: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333333, 0x8, 3f, x1, 76,0) + +inst_548: +// rs1_val==-46340 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x5, 0x100, 3f, x1, 80,0) + +inst_549: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555556, 0x100, 3f, x1, 84,0) + +inst_550: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555555, 0xa, 1b, x1, 88,0) + +inst_551: +// rs1_val==-46340 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 92,0) + +inst_552: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb505, 0x100, 3f, x1, 96,0) + +inst_553: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb503, 0x400, 3f, x1, 100,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666667, 0x200, 3f, x1, 104,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 108,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x6, 0x0, 3f, x1, 112,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555555, 0x80, 3f, x1, 116,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555556, 0x6, 3f, x1, 120,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x4, 0x400, 1b, x1, 124,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb503, 0x8, 3f, x1, 128,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x0, 0x6, 1b, x1, 132,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x1, 136,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333332, 0x8, 3f, x1, 140,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555554, 0x400, 3f, x1, 144,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2, 0x0, 1b, x1, 148,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb504, 0x6, 1b, x1, 152,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb504, 0x556, 1b, x1, 156,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666666, 0x6, 1b, x1, 160,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x1, 164,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x5, 0xa, 1b, x1, 168,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 172,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555555, 0x40, 3f, x1, 176,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x3, 0x8, 1b, x1, 180,0) + +inst_574: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb505, 0x2, 1b, x1, 184,0) + +inst_575: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb503, 0x0, 1b, x1, 188,0) + +inst_576: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666667, 0x0, 1b, x1, 192,0) + +inst_577: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333334, 0x4, 1b, x1, 196,0) + +inst_578: +// rs1_val==858993459 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 200,0) + +inst_579: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555555, 0x40, 3f, x1, 204,0) + +inst_580: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x1, 208,0) + +inst_581: +// rs1_val==858993459 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x4, 0x100, 3f, x1, 212,0) + +inst_582: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb503, 0x4, 3f, x1, 216,0) + +inst_583: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x10000001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, -0x10000001, 0x8, 1b, x1, 220,0) + +inst_584: +// rs2_val == -134217729, rs1_val == -16777217 +// opcode: bne, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x8000001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x1000001, -0x8000001, 0x6, 1b, x1, 224,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S new file mode 100644 index 000000000..2fd3e9e2b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S @@ -0,0 +1,156 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the jal instruction of the RISC-V E extension for the jal covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jal) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rd==x8, imm_val < 0, +// opcode: jal; dest:x8; immval:0x4; align:0 +TEST_JAL_OP(x2, x8, 0x4, 1b, x7, 0,0) + +inst_1: +// rd==x14, imm_val == ((2**(18))), imm_val > 0 +// opcode: jal; dest:x14; immval:0x40000; align:0 +TEST_JAL_OP(x2, x14, 0x40000, 3f, x7, 4,0) + +inst_2: +// rd==x9, imm_val == (-(2**(18))), +// opcode: jal; dest:x9; immval:0x40000; align:0 +TEST_JAL_OP(x2, x9, 0x40000, 1b, x7, 8,0) + +inst_3: +// rd==x12, +// opcode: jal; dest:x12; immval:0x80000; align:0 +TEST_JAL_OP(x2, x12, 0x80000, 1b, x7, 12,0) + +inst_4: +// rd==x0, +// opcode: jal; dest:x0; immval:0x80000; align:0 +TEST_JAL_OP(x2, x0, 0x80000, 1b, x7, 16,0) + +inst_5: +// rd==x3, +// opcode: jal; dest:x3; immval:0x80000; align:0 +TEST_JAL_OP(x2, x3, 0x80000, 1b, x7, 20,0) + +inst_6: +// rd==x4, +// opcode: jal; dest:x4; immval:0x80000; align:0 +TEST_JAL_OP(x2, x4, 0x80000, 1b, x7, 24,0) + +inst_7: +// rd==x5, +// opcode: jal; dest:x5; immval:0x80000; align:0 +TEST_JAL_OP(x2, x5, 0x80000, 1b, x7, 28,0) + +inst_8: +// rd==x13, +// opcode: jal; dest:x13; immval:0x80000; align:0 +TEST_JAL_OP(x2, x13, 0x80000, 1b, x7, 32,0) + +inst_9: +// rd==x6, +// opcode: jal; dest:x6; immval:0x80000; align:0 +TEST_JAL_OP(x2, x6, 0x80000, 1b, x7, 36,0) + +inst_10: +// rd==x15, +// opcode: jal; dest:x15; immval:0x80000; align:0 +TEST_JAL_OP(x2, x15, 0x80000, 1b, x7, 40,0) + +inst_11: +// rd==x1, +// opcode: jal; dest:x1; immval:0x80000; align:0 +TEST_JAL_OP(x2, x1, 0x80000, 1b, x7, 44,0) + +inst_12: +// rd==x2, +// opcode: jal; dest:x2; immval:0x80000; align:0 +TEST_JAL_OP(x3, x2, 0x80000, 1b, x7, 48,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_13: +// rd==x7, +// opcode: jal; dest:x7; immval:0x80000; align:0 +TEST_JAL_OP(x3, x7, 0x80000, 1b, x1, 0,0) + +inst_14: +// rd==x10, +// opcode: jal; dest:x10; immval:0x80000; align:0 +TEST_JAL_OP(x3, x10, 0x80000, 1b, x1, 4,0) + +inst_15: +// rd==x11, +// opcode: jal; dest:x11; immval:0x80000; align:0 +TEST_JAL_OP(x3, x11, 0x80000, 1b, x1, 8,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 13*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 3*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S new file mode 100644 index 000000000..c8ac34760 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S @@ -0,0 +1,216 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the jalr instruction of the RISC-V E extension for the jalr covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jalr) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x8, rd==x12, imm_val < 0, imm_val == -129 +// opcode: jalr; op1:x8; dest:x12; immval:-0x81; align:0 +TEST_JALR_OP(x6, x12, x8, -0x81, x1, 0,0) + +inst_1: +// rs1 == rd, rs1==x5, rd==x5, imm_val == 2047, imm_val > 0 +// opcode: jalr; op1:x5; dest:x5; immval:0x7ff; align:0 +TEST_JALR_OP(x6, x5, x5, 0x7ff, x1, 4,0) + +inst_2: +// rs1==x3, rd==x15, imm_val == -1025, +// opcode: jalr; op1:x3; dest:x15; immval:-0x401; align:0 +TEST_JALR_OP(x6, x15, x3, -0x401, x1, 8,0) + +inst_3: +// rs1==x2, rd==x3, imm_val == -513, +// opcode: jalr; op1:x2; dest:x3; immval:-0x201; align:0 +TEST_JALR_OP(x6, x3, x2, -0x201, x1, 12,0) + +inst_4: +// rs1==x4, rd==x9, imm_val == -257, +// opcode: jalr; op1:x4; dest:x9; immval:-0x101; align:0 +TEST_JALR_OP(x6, x9, x4, -0x101, x1, 16,0) + +inst_5: +// rs1==x9, rd==x7, imm_val == -65, +// opcode: jalr; op1:x9; dest:x7; immval:-0x41; align:0 +TEST_JALR_OP(x6, x7, x9, -0x41, x1, 20,0) + +inst_6: +// rs1==x10, rd==x13, imm_val == -33, +// opcode: jalr; op1:x10; dest:x13; immval:-0x21; align:0 +TEST_JALR_OP(x6, x13, x10, -0x21, x1, 24,0) + +inst_7: +// rs1==x14, rd==x0, imm_val == -17, +// opcode: jalr; op1:x14; dest:x0; immval:-0x11; align:0 +TEST_JALR_OP(x5, x0, x14, -0x11, x1, 28,0) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x15, rd==x4, imm_val == -9, +// opcode: jalr; op1:x15; dest:x4; immval:-0x9; align:0 +TEST_JALR_OP(x5, x4, x15, -0x9, x3, 0,0) + +inst_9: +// rs1==x7, rd==x14, imm_val == -5, +// opcode: jalr; op1:x7; dest:x14; immval:-0x5; align:0 +TEST_JALR_OP(x5, x14, x7, -0x5, x3, 4,0) + +inst_10: +// rs1==x11, rd==x2, imm_val == -3, +// opcode: jalr; op1:x11; dest:x2; immval:-0x3; align:0 +TEST_JALR_OP(x5, x2, x11, -0x3, x3, 8,0) + +inst_11: +// rs1==x6, rd==x11, imm_val == -2, +// opcode: jalr; op1:x6; dest:x11; immval:-0x2; align:0 +TEST_JALR_OP(x5, x11, x6, -0x2, x3, 12,0) + +inst_12: +// rs1==x12, rd==x8, imm_val == -2048, +// opcode: jalr; op1:x12; dest:x8; immval:-0x800; align:0 +TEST_JALR_OP(x5, x8, x12, -0x800, x3, 16,0) + +inst_13: +// rs1==x13, rd==x1, imm_val == 1024, +// opcode: jalr; op1:x13; dest:x1; immval:0x400; align:0 +TEST_JALR_OP(x5, x1, x13, 0x400, x3, 20,0) + +inst_14: +// rs1==x1, rd==x10, imm_val == 512, +// opcode: jalr; op1:x1; dest:x10; immval:0x200; align:0 +TEST_JALR_OP(x2, x10, x1, 0x200, x3, 24,0) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rd==x6, imm_val == 256, +// opcode: jalr; op1:x13; dest:x6; immval:0x100; align:0 +TEST_JALR_OP(x2, x6, x13, 0x100, x1, 0,0) + +inst_16: +// imm_val == 128, +// opcode: jalr; op1:x10; dest:x11; immval:0x80; align:0 +TEST_JALR_OP(x2, x11, x10, 0x80, x1, 4,0) + +inst_17: +// imm_val == 64, +// opcode: jalr; op1:x10; dest:x11; immval:0x40; align:0 +TEST_JALR_OP(x2, x11, x10, 0x40, x1, 8,0) + +inst_18: +// imm_val == 32, +// opcode: jalr; op1:x10; dest:x11; immval:0x20; align:0 +TEST_JALR_OP(x2, x11, x10, 0x20, x1, 12,0) + +inst_19: +// imm_val == 16, +// opcode: jalr; op1:x10; dest:x11; immval:0x10; align:0 +TEST_JALR_OP(x2, x11, x10, 0x10, x1, 16,0) + +inst_20: +// imm_val == 8, +// opcode: jalr; op1:x10; dest:x11; immval:0x8; align:0 +TEST_JALR_OP(x2, x11, x10, 0x8, x1, 20,0) + +inst_21: +// imm_val == 4, +// opcode: jalr; op1:x10; dest:x11; immval:0x4; align:0 +TEST_JALR_OP(x2, x11, x10, 0x4, x1, 24,0) + +inst_22: +// imm_val == 1, +// opcode: jalr; op1:x10; dest:x11; immval:0x1; align:0 +TEST_JALR_OP(x2, x11, x10, 0x1, x1, 28,0) + +inst_23: +// imm_val == -1366, +// opcode: jalr; op1:x10; dest:x11; immval:-0x556; align:0 +TEST_JALR_OP(x2, x11, x10, -0x556, x1, 32,0) + +inst_24: +// imm_val == 1365, +// opcode: jalr; op1:x10; dest:x11; immval:0x555; align:0 +TEST_JALR_OP(x2, x11, x10, 0x555, x1, 36,0) + +inst_25: +// imm_val == 2, +// opcode: jalr; op1:x10; dest:x11; immval:0x2; align:0 +TEST_JALR_OP(x2, x11, x10, 0x2, x1, 40,0) + +inst_26: +// imm_val == -17, +// opcode: jalr; op1:x10; dest:x11; immval:-0x11; align:0 +TEST_JALR_OP(x2, x11, x10, -0x11, x1, 44,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 12*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S new file mode 100644 index 000000000..d42f83ccd --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S @@ -0,0 +1,166 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lb instruction of the RISC-V E extension for the lb-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lb-align) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x8, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lb op1:x8; dest:x9; immval:-0x4; align:0 +TEST_LOAD(x1,x4,0,x8,x9,-0x4,0,lb,0) + +inst_1: +// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lb op1:x15; dest:x15; immval:0x5; align:0 +TEST_LOAD(x1,x4,0,x15,x15,0x5,4,lb,0) + +inst_2: +// rs1==x2, rd==x8, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lb op1:x2; dest:x8; immval:0x2; align:0 +TEST_LOAD(x1,x4,0,x2,x8,0x2,8,lb,0) + +inst_3: +// rs1==x11, rd==x7, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lb op1:x11; dest:x7; immval:-0x101; align:0 +TEST_LOAD(x1,x4,0,x11,x7,-0x101,12,lb,0) + +inst_4: +// rs1==x6, rd==x5, ea_align == 1 and (imm_val % 4) == 0, +// opcode:lb op1:x6; dest:x5; immval:0x4; align:1 +TEST_LOAD(x1,x4,0,x6,x5,0x4,16,lb,1) + +inst_5: +// rs1==x12, rd==x10, ea_align == 1 and (imm_val % 4) == 1, +// opcode:lb op1:x12; dest:x10; immval:-0x3; align:1 +TEST_LOAD(x1,x4,0,x12,x10,-0x3,20,lb,1) + +inst_6: +// rs1==x10, rd==x12, ea_align == 1 and (imm_val % 4) == 2, +// opcode:lb op1:x10; dest:x12; immval:-0x2; align:1 +TEST_LOAD(x1,x4,0,x10,x12,-0x2,24,lb,1) + +inst_7: +// rs1==x9, rd==x6, ea_align == 1 and (imm_val % 4) == 3, +// opcode:lb op1:x9; dest:x6; immval:-0x401; align:1 +TEST_LOAD(x1,x4,0,x9,x6,-0x401,28,lb,1) + +inst_8: +// rs1==x13, rd==x3, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lb op1:x13; dest:x3; immval:0x40; align:2 +TEST_LOAD(x1,x4,0,x13,x3,0x40,32,lb,2) +RVTEST_SIGBASE( x6,signature_x6_0) + +inst_9: +// rs1==x4, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lb op1:x4; dest:x1; immval:0x5; align:2 +TEST_LOAD(x6,x8,0,x4,x1,0x5,0,lb,2) + +inst_10: +// rs1==x1, rd==x11, imm_val == 0, +// opcode:lb op1:x1; dest:x11; immval:0x0; align:0 +TEST_LOAD(x6,x8,0,x1,x11,0x0,4,lb,0) + +inst_11: +// rs1==x3, rd==x14, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lb op1:x3; dest:x14; immval:0x2; align:2 +TEST_LOAD(x6,x8,0,x3,x14,0x2,8,lb,2) + +inst_12: +// rs1==x7, rd==x4, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lb op1:x7; dest:x4; immval:-0x1; align:2 +TEST_LOAD(x6,x8,0,x7,x4,-0x1,12,lb,2) + +inst_13: +// rs1==x14, rd==x0, ea_align == 3 and (imm_val % 4) == 0, +// opcode:lb op1:x14; dest:x0; immval:-0x8; align:3 +TEST_LOAD(x6,x8,0,x14,x0,-0x8,16,lb,3) + +inst_14: +// rs1==x5, rd==x13, ea_align == 3 and (imm_val % 4) == 1, +// opcode:lb op1:x5; dest:x13; immval:-0x3; align:3 +TEST_LOAD(x6,x8,0,x5,x13,-0x3,20,lb,3) + +inst_15: +// rd==x2, ea_align == 3 and (imm_val % 4) == 2, +// opcode:lb op1:x5; dest:x2; immval:-0x556; align:3 +TEST_LOAD(x6,x8,0,x5,x2,-0x556,24,lb,3) + +inst_16: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode:lb op1:x10; dest:x11; immval:-0x401; align:3 +TEST_LOAD(x6,x8,0,x10,x11,-0x401,28,lb,3) + +inst_17: +// ea_align == 3 and (imm_val % 4) == 0, +// opcode:lb op1:x10; dest:x11; immval:-0x8; align:3 +TEST_LOAD(x6,x8,0,x10,x11,-0x8,32,lb,3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x6_0: + .fill 9*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S new file mode 100644 index 000000000..bc2c0527d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S @@ -0,0 +1,166 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lbu instruction of the RISC-V E extension for the lbu-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lbu-align) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x14, rd==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 +// opcode:lbu op1:x14; dest:x0; immval:0x100; align:0 +TEST_LOAD(x1,x8,0,x14,x0,0x100,0,lbu,0) + +inst_1: +// rs1 == rd, rs1==x13, rd==x13, ea_align == 0 and (imm_val % 4) == 1, imm_val < 0 +// opcode:lbu op1:x13; dest:x13; immval:-0x7; align:0 +TEST_LOAD(x1,x8,0,x13,x13,-0x7,4,lbu,0) + +inst_2: +// rs1==x2, rd==x3, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lbu op1:x2; dest:x3; immval:0x6; align:0 +TEST_LOAD(x1,x8,0,x2,x3,0x6,8,lbu,0) + +inst_3: +// rs1==x5, rd==x11, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lbu op1:x5; dest:x11; immval:-0x9; align:0 +TEST_LOAD(x1,x8,0,x5,x11,-0x9,12,lbu,0) + +inst_4: +// rs1==x4, rd==x15, ea_align == 1 and (imm_val % 4) == 0, +// opcode:lbu op1:x4; dest:x15; immval:-0x4; align:1 +TEST_LOAD(x1,x8,0,x4,x15,-0x4,16,lbu,1) + +inst_5: +// rs1==x6, rd==x9, ea_align == 1 and (imm_val % 4) == 1, +// opcode:lbu op1:x6; dest:x9; immval:0x1; align:1 +TEST_LOAD(x1,x8,0,x6,x9,0x1,20,lbu,1) + +inst_6: +// rs1==x9, rd==x7, ea_align == 1 and (imm_val % 4) == 2, +// opcode:lbu op1:x9; dest:x7; immval:0x6; align:1 +TEST_LOAD(x1,x8,0,x9,x7,0x6,24,lbu,1) + +inst_7: +// rs1==x10, rd==x8, ea_align == 1 and (imm_val % 4) == 3, +// opcode:lbu op1:x10; dest:x8; immval:-0x201; align:1 +TEST_LOAD(x1,x13,0,x10,x8,-0x201,28,lbu,1) +RVTEST_SIGBASE( x9,signature_x9_0) + +inst_8: +// rs1==x11, rd==x1, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lbu op1:x11; dest:x1; immval:0x4; align:2 +TEST_LOAD(x9,x13,0,x11,x1,0x4,0,lbu,2) + +inst_9: +// rs1==x3, rd==x4, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lbu op1:x3; dest:x4; immval:-0x7; align:2 +TEST_LOAD(x9,x13,0,x3,x4,-0x7,4,lbu,2) + +inst_10: +// rs1==x1, rd==x6, imm_val == 0, +// opcode:lbu op1:x1; dest:x6; immval:0x0; align:0 +TEST_LOAD(x9,x13,0,x1,x6,0x0,8,lbu,0) + +inst_11: +// rs1==x8, rd==x10, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lbu op1:x8; dest:x10; immval:-0x2; align:2 +TEST_LOAD(x9,x13,0,x8,x10,-0x2,12,lbu,2) + +inst_12: +// rs1==x12, rd==x2, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lbu op1:x12; dest:x2; immval:-0x401; align:2 +TEST_LOAD(x9,x13,0,x12,x2,-0x401,16,lbu,2) + +inst_13: +// rs1==x7, rd==x5, ea_align == 3 and (imm_val % 4) == 0, +// opcode:lbu op1:x7; dest:x5; immval:0x4; align:3 +TEST_LOAD(x9,x13,0,x7,x5,0x4,20,lbu,3) + +inst_14: +// rs1==x15, rd==x14, ea_align == 3 and (imm_val % 4) == 1, +// opcode:lbu op1:x15; dest:x14; immval:0x9; align:3 +TEST_LOAD(x9,x2,0,x15,x14,0x9,24,lbu,3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rd==x12, ea_align == 3 and (imm_val % 4) == 2, +// opcode:lbu op1:x14; dest:x12; immval:-0x2; align:3 +TEST_LOAD(x1,x2,0,x14,x12,-0x2,0,lbu,3) + +inst_16: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode:lbu op1:x10; dest:x11; immval:-0x5; align:3 +TEST_LOAD(x1,x2,0,x10,x11,-0x5,4,lbu,3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x9_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 2*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S new file mode 100644 index 000000000..e16cb695d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S @@ -0,0 +1,156 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lh instruction of the RISC-V E extension for the lh-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lh-align) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x3, rd==x2, ea_align == 0 and (imm_val % 4) == 0, imm_val == 0 +// opcode:lh op1:x3; dest:x2; immval:0x0; align:0 +TEST_LOAD(x4,x5,0,x3,x2,0x0,0,lh,0) + +inst_1: +// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lh op1:x15; dest:x15; immval:0x5; align:0 +TEST_LOAD(x4,x5,0,x15,x15,0x5,4,lh,0) + +inst_2: +// rs1==x12, rd==x14, ea_align == 0 and (imm_val % 4) == 2, imm_val < 0 +// opcode:lh op1:x12; dest:x14; immval:-0x556; align:0 +TEST_LOAD(x4,x5,0,x12,x14,-0x556,8,lh,0) + +inst_3: +// rs1==x14, rd==x8, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lh op1:x14; dest:x8; immval:0x3ff; align:0 +TEST_LOAD(x4,x5,0,x14,x8,0x3ff,12,lh,0) + +inst_4: +// rs1==x10, rd==x3, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lh op1:x10; dest:x3; immval:-0x8; align:2 +TEST_LOAD(x4,x5,0,x10,x3,-0x8,16,lh,2) + +inst_5: +// rs1==x6, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lh op1:x6; dest:x1; immval:0x555; align:2 +TEST_LOAD(x4,x5,0,x6,x1,0x555,20,lh,2) + +inst_6: +// rs1==x13, rd==x6, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lh op1:x13; dest:x6; immval:-0x6; align:2 +TEST_LOAD(x4,x5,0,x13,x6,-0x6,24,lh,2) + +inst_7: +// rs1==x1, rd==x9, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lh op1:x1; dest:x9; immval:0x7ff; align:2 +TEST_LOAD(x4,x5,0,x1,x9,0x7ff,28,lh,2) + +inst_8: +// rs1==x9, rd==x0, +// opcode:lh op1:x9; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x4,x3,0,x9,x0,-0x800,32,lh,0) + +inst_9: +// rs1==x5, rd==x12, +// opcode:lh op1:x5; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x4,x3,0,x5,x12,-0x800,36,lh,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x8, rd==x11, +// opcode:lh op1:x8; dest:x11; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x8,x11,-0x800,0,lh,0) + +inst_11: +// rs1==x11, rd==x4, +// opcode:lh op1:x11; dest:x4; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x11,x4,-0x800,4,lh,0) + +inst_12: +// rs1==x2, rd==x7, +// opcode:lh op1:x2; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x2,x7,-0x800,8,lh,0) + +inst_13: +// rs1==x4, rd==x10, +// opcode:lh op1:x4; dest:x10; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x4,x10,-0x800,12,lh,0) + +inst_14: +// rs1==x7, rd==x5, +// opcode:lh op1:x7; dest:x5; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x7,x5,-0x800,16,lh,0) + +inst_15: +// rd==x13, +// opcode:lh op1:x12; dest:x13; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x12,x13,-0x800,20,lh,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 6*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S new file mode 100644 index 000000000..9e4427ca5 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S @@ -0,0 +1,161 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lhu instruction of the RISC-V E extension for the lhu-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lhu-align) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rs1 != rd, rs1==x15, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lhu op1:x15; dest:x9; immval:-0x4; align:0 +TEST_LOAD(x7,x1,0,x15,x9,-0x4,0,lhu,0) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lhu op1:x3; dest:x3; immval:0x5; align:0 +TEST_LOAD(x7,x1,0,x3,x3,0x5,4,lhu,0) + +inst_2: +// rs1==x13, rd==x2, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lhu op1:x13; dest:x2; immval:-0x556; align:0 +TEST_LOAD(x7,x1,0,x13,x2,-0x556,8,lhu,0) + +inst_3: +// rs1==x4, rd==x11, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lhu op1:x4; dest:x11; immval:-0x5; align:0 +TEST_LOAD(x7,x1,0,x4,x11,-0x5,12,lhu,0) + +inst_4: +// rs1==x8, rd==x5, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lhu op1:x8; dest:x5; immval:0x80; align:2 +TEST_LOAD(x7,x1,0,x8,x5,0x80,16,lhu,2) + +inst_5: +// rs1==x6, rd==x10, imm_val == 0, +// opcode:lhu op1:x6; dest:x10; immval:0x0; align:0 +TEST_LOAD(x7,x1,0,x6,x10,0x0,20,lhu,0) + +inst_6: +// rs1==x10, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lhu op1:x10; dest:x1; immval:0x9; align:2 +TEST_LOAD(x7,x2,0,x10,x1,0x9,24,lhu,2) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_7: +// rs1==x1, rd==x13, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lhu op1:x1; dest:x13; immval:-0xa; align:2 +TEST_LOAD(x3,x2,0,x1,x13,-0xa,0,lhu,2) + +inst_8: +// rs1==x14, rd==x4, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lhu op1:x14; dest:x4; immval:-0x11; align:2 +TEST_LOAD(x3,x2,0,x14,x4,-0x11,4,lhu,2) + +inst_9: +// rs1==x11, rd==x8, +// opcode:lhu op1:x11; dest:x8; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x11,x8,-0x800,8,lhu,0) + +inst_10: +// rs1==x5, rd==x12, +// opcode:lhu op1:x5; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x5,x12,-0x800,12,lhu,0) + +inst_11: +// rs1==x9, rd==x7, +// opcode:lhu op1:x9; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x9,x7,-0x800,16,lhu,0) + +inst_12: +// rs1==x12, rd==x15, +// opcode:lhu op1:x12; dest:x15; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x12,x15,-0x800,20,lhu,0) + +inst_13: +// rs1==x7, rd==x0, +// opcode:lhu op1:x7; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x7,x0,-0x800,24,lhu,0) + +inst_14: +// rs1==x2, rd==x14, +// opcode:lhu op1:x2; dest:x14; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x2,x14,-0x800,28,lhu,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_15: +// rd==x6, +// opcode:lhu op1:x14; dest:x6; immval:-0x800; align:0 +TEST_LOAD(x1,x4,0,x14,x6,-0x800,0,lhu,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 1*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S new file mode 100644 index 000000000..f1257005f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S @@ -0,0 +1,391 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lui instruction of the RISC-V E extension for the lui covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lui) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rd==x3, imm_val == ((2**20)-1), imm_val > 0 +// opcode: lui ; dest:x3; immval:0xfffff +TEST_CASE(x5, x3, -0x1000, x4, 0, lui x3,0xfffff) + +inst_1: +// rd==x2, imm_val == 524287, +// opcode: lui ; dest:x2; immval:0x7ffff +TEST_CASE(x5, x2, 0x7ffff000, x4, 4, lui x2,0x7ffff) + +inst_2: +// rd==x1, imm_val == 786431, +// opcode: lui ; dest:x1; immval:0xbffff +TEST_CASE(x5, x1, -0x40001000, x4, 8, lui x1,0xbffff) + +inst_3: +// rd==x8, imm_val == 917503, +// opcode: lui ; dest:x8; immval:0xdffff +TEST_CASE(x5, x8, -0x20001000, x4, 12, lui x8,0xdffff) + +inst_4: +// rd==x14, imm_val == 983039, +// opcode: lui ; dest:x14; immval:0xeffff +TEST_CASE(x5, x14, -0x10001000, x4, 16, lui x14,0xeffff) + +inst_5: +// rd==x7, imm_val == 1015807, +// opcode: lui ; dest:x7; immval:0xf7fff +TEST_CASE(x5, x7, -0x8001000, x4, 20, lui x7,0xf7fff) + +inst_6: +// rd==x13, imm_val == 1032191, +// opcode: lui ; dest:x13; immval:0xfbfff +TEST_CASE(x5, x13, -0x4001000, x4, 24, lui x13,0xfbfff) + +inst_7: +// rd==x12, imm_val == 1040383, +// opcode: lui ; dest:x12; immval:0xfdfff +TEST_CASE(x5, x12, -0x2001000, x4, 28, lui x12,0xfdfff) + +inst_8: +// rd==x15, imm_val == 1044479, +// opcode: lui ; dest:x15; immval:0xfefff +TEST_CASE(x5, x15, -0x1001000, x4, 32, lui x15,0xfefff) + +inst_9: +// rd==x10, imm_val == 1046527, +// opcode: lui ; dest:x10; immval:0xff7ff +TEST_CASE(x5, x10, -0x801000, x4, 36, lui x10,0xff7ff) + +inst_10: +// rd==x6, imm_val == 1047551, +// opcode: lui ; dest:x6; immval:0xffbff +TEST_CASE(x5, x6, -0x401000, x4, 40, lui x6,0xffbff) + +inst_11: +// rd==x9, imm_val == 1048063, +// opcode: lui ; dest:x9; immval:0xffdff +TEST_CASE(x2, x9, -0x201000, x4, 44, lui x9,0xffdff) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_12: +// rd==x4, imm_val == 1048319, +// opcode: lui ; dest:x4; immval:0xffeff +TEST_CASE(x2, x4, -0x101000, x1, 0, lui x4,0xffeff) + +inst_13: +// rd==x0, imm_val == 1048447, +// opcode: lui ; dest:x0; immval:0xfff7f +TEST_CASE(x2, x0, 0, x1, 4, lui x0,0xfff7f) + +inst_14: +// rd==x5, imm_val == 1048511, +// opcode: lui ; dest:x5; immval:0xfffbf +TEST_CASE(x2, x5, -0x41000, x1, 8, lui x5,0xfffbf) + +inst_15: +// rd==x11, imm_val == 1048543, +// opcode: lui ; dest:x11; immval:0xfffdf +TEST_CASE(x2, x11, -0x21000, x1, 12, lui x11,0xfffdf) + +inst_16: +// imm_val == 1048559, +// opcode: lui ; dest:x10; immval:0xfffef +TEST_CASE(x2, x10, -0x11000, x1, 16, lui x10,0xfffef) + +inst_17: +// imm_val == 1048567, +// opcode: lui ; dest:x10; immval:0xffff7 +TEST_CASE(x2, x10, -0x9000, x1, 20, lui x10,0xffff7) + +inst_18: +// imm_val == 1048571, +// opcode: lui ; dest:x10; immval:0xffffb +TEST_CASE(x2, x10, -0x5000, x1, 24, lui x10,0xffffb) + +inst_19: +// imm_val == 1048573, +// opcode: lui ; dest:x10; immval:0xffffd +TEST_CASE(x2, x10, -0x3000, x1, 28, lui x10,0xffffd) + +inst_20: +// imm_val == 1048574, +// opcode: lui ; dest:x10; immval:0xffffe +TEST_CASE(x2, x10, -0x2000, x1, 32, lui x10,0xffffe) + +inst_21: +// imm_val == 524288, +// opcode: lui ; dest:x10; immval:0x80000 +TEST_CASE(x2, x10, -0x80000000, x1, 36, lui x10,0x80000) + +inst_22: +// imm_val == 262144, +// opcode: lui ; dest:x10; immval:0x40000 +TEST_CASE(x2, x10, 0x40000000, x1, 40, lui x10,0x40000) + +inst_23: +// imm_val == 131072, +// opcode: lui ; dest:x10; immval:0x20000 +TEST_CASE(x2, x10, 0x20000000, x1, 44, lui x10,0x20000) + +inst_24: +// imm_val == 65536, +// opcode: lui ; dest:x10; immval:0x10000 +TEST_CASE(x2, x10, 0x10000000, x1, 48, lui x10,0x10000) + +inst_25: +// imm_val == 32768, +// opcode: lui ; dest:x10; immval:0x8000 +TEST_CASE(x2, x10, 0x8000000, x1, 52, lui x10,0x8000) + +inst_26: +// imm_val == 16384, +// opcode: lui ; dest:x10; immval:0x4000 +TEST_CASE(x2, x10, 0x4000000, x1, 56, lui x10,0x4000) + +inst_27: +// imm_val == 8192, +// opcode: lui ; dest:x10; immval:0x2000 +TEST_CASE(x2, x10, 0x2000000, x1, 60, lui x10,0x2000) + +inst_28: +// imm_val == 4096, +// opcode: lui ; dest:x10; immval:0x1000 +TEST_CASE(x2, x10, 0x1000000, x1, 64, lui x10,0x1000) + +inst_29: +// imm_val == 2048, +// opcode: lui ; dest:x10; immval:0x800 +TEST_CASE(x2, x10, 0x800000, x1, 68, lui x10,0x800) + +inst_30: +// imm_val == 1024, imm_val==1024 +// opcode: lui ; dest:x10; immval:0x400 +TEST_CASE(x2, x10, 0x400000, x1, 72, lui x10,0x400) + +inst_31: +// imm_val == 512, +// opcode: lui ; dest:x10; immval:0x200 +TEST_CASE(x2, x10, 0x200000, x1, 76, lui x10,0x200) + +inst_32: +// imm_val == 256, +// opcode: lui ; dest:x10; immval:0x100 +TEST_CASE(x2, x10, 0x100000, x1, 80, lui x10,0x100) + +inst_33: +// imm_val == 128, +// opcode: lui ; dest:x10; immval:0x80 +TEST_CASE(x2, x10, 0x80000, x1, 84, lui x10,0x80) + +inst_34: +// imm_val == 64, +// opcode: lui ; dest:x10; immval:0x40 +TEST_CASE(x2, x10, 0x40000, x1, 88, lui x10,0x40) + +inst_35: +// imm_val == 32, +// opcode: lui ; dest:x10; immval:0x20 +TEST_CASE(x2, x10, 0x20000, x1, 92, lui x10,0x20) + +inst_36: +// imm_val == 16, +// opcode: lui ; dest:x10; immval:0x10 +TEST_CASE(x2, x10, 0x10000, x1, 96, lui x10,0x10) + +inst_37: +// imm_val==349525, imm_val == 349525 +// opcode: lui ; dest:x10; immval:0x55555 +TEST_CASE(x2, x10, 0x55555000, x1, 100, lui x10,0x55555) + +inst_38: +// imm_val==3, +// opcode: lui ; dest:x10; immval:0x3 +TEST_CASE(x2, x10, 0x3000, x1, 104, lui x10,0x3) + +inst_39: +// imm_val == 699050, imm_val==699050 +// opcode: lui ; dest:x10; immval:0xaaaaa +TEST_CASE(x2, x10, -0x55556000, x1, 108, lui x10,0xaaaaa) + +inst_40: +// imm_val == 0, imm_val==0 +// opcode: lui ; dest:x10; immval:0x0 +TEST_CASE(x2, x10, 0x0, x1, 112, lui x10,0x0) + +inst_41: +// imm_val == 8, +// opcode: lui ; dest:x10; immval:0x8 +TEST_CASE(x2, x10, 0x8000, x1, 116, lui x10,0x8) + +inst_42: +// imm_val == 4, imm_val==4 +// opcode: lui ; dest:x10; immval:0x4 +TEST_CASE(x2, x10, 0x4000, x1, 120, lui x10,0x4) + +inst_43: +// imm_val == 2, imm_val==2 +// opcode: lui ; dest:x10; immval:0x2 +TEST_CASE(x2, x10, 0x2000, x1, 124, lui x10,0x2) + +inst_44: +// imm_val == 1, imm_val==1 +// opcode: lui ; dest:x10; immval:0x1 +TEST_CASE(x2, x10, 0x1000, x1, 128, lui x10,0x1) + +inst_45: +// imm_val==725, +// opcode: lui ; dest:x10; immval:0x2d5 +TEST_CASE(x2, x10, 0x2d5000, x1, 132, lui x10,0x2d5) + +inst_46: +// imm_val==419431, +// opcode: lui ; dest:x10; immval:0x66667 +TEST_CASE(x2, x10, 0x66667000, x1, 136, lui x10,0x66667) + +inst_47: +// imm_val==209716, +// opcode: lui ; dest:x10; immval:0x33334 +TEST_CASE(x2, x10, 0x33334000, x1, 140, lui x10,0x33334) + +inst_48: +// imm_val==6, +// opcode: lui ; dest:x10; immval:0x6 +TEST_CASE(x2, x10, 0x6000, x1, 144, lui x10,0x6) + +inst_49: +// imm_val==699051, +// opcode: lui ; dest:x10; immval:0xaaaab +TEST_CASE(x2, x10, -0x55555000, x1, 148, lui x10,0xaaaab) + +inst_50: +// imm_val==349526, +// opcode: lui ; dest:x10; immval:0x55556 +TEST_CASE(x2, x10, 0x55556000, x1, 152, lui x10,0x55556) + +inst_51: +// imm_val==1022, +// opcode: lui ; dest:x10; immval:0x3fe +TEST_CASE(x2, x10, 0x3fe000, x1, 156, lui x10,0x3fe) + +inst_52: +// imm_val==723, +// opcode: lui ; dest:x10; immval:0x2d3 +TEST_CASE(x2, x10, 0x2d3000, x1, 160, lui x10,0x2d3) + +inst_53: +// imm_val==419429, +// opcode: lui ; dest:x10; immval:0x66665 +TEST_CASE(x2, x10, 0x66665000, x1, 164, lui x10,0x66665) + +inst_54: +// imm_val==209714, +// opcode: lui ; dest:x10; immval:0x33332 +TEST_CASE(x2, x10, 0x33332000, x1, 168, lui x10,0x33332) + +inst_55: +// imm_val==699049, +// opcode: lui ; dest:x10; immval:0xaaaa9 +TEST_CASE(x2, x10, -0x55557000, x1, 172, lui x10,0xaaaa9) + +inst_56: +// imm_val==349524, +// opcode: lui ; dest:x10; immval:0x55554 +TEST_CASE(x2, x10, 0x55554000, x1, 176, lui x10,0x55554) + +inst_57: +// imm_val==1023, +// opcode: lui ; dest:x10; immval:0x3ff +TEST_CASE(x2, x10, 0x3ff000, x1, 180, lui x10,0x3ff) + +inst_58: +// imm_val==724, +// opcode: lui ; dest:x10; immval:0x2d4 +TEST_CASE(x2, x10, 0x2d4000, x1, 184, lui x10,0x2d4) + +inst_59: +// imm_val==419430, +// opcode: lui ; dest:x10; immval:0x66666 +TEST_CASE(x2, x10, 0x66666000, x1, 188, lui x10,0x66666) + +inst_60: +// imm_val==209715, +// opcode: lui ; dest:x10; immval:0x33333 +TEST_CASE(x2, x10, 0x33333000, x1, 192, lui x10,0x33333) + +inst_61: +// imm_val==5, +// opcode: lui ; dest:x10; immval:0x5 +TEST_CASE(x2, x10, 0x5000, x1, 196, lui x10,0x5) + +inst_62: +// imm_val == 1048447, +// opcode: lui ; dest:x10; immval:0xfff7f +TEST_CASE(x2, x10, -0x81000, x1, 200, lui x10,0xfff7f) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 12*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 51*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S new file mode 100644 index 000000000..e1f368a9b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S @@ -0,0 +1,161 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lw instruction of the RISC-V E extension for the lw-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lw-align) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rd, rs1==x11, rd==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lw op1:x11; dest:x1; immval:-0x400; align:0 +TEST_LOAD(x2,x6,0,x11,x1,-0x400,0,lw,0) + +inst_1: +// rs1 == rd, rs1==x14, rd==x14, ea_align == 0 and (imm_val % 4) == 1, +// opcode:lw op1:x14; dest:x14; immval:-0x3; align:0 +TEST_LOAD(x2,x6,0,x14,x14,-0x3,4,lw,0) + +inst_2: +// rs1==x4, rd==x8, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lw op1:x4; dest:x8; immval:-0x556; align:0 +TEST_LOAD(x2,x6,0,x4,x8,-0x556,8,lw,0) + +inst_3: +// rs1==x1, rd==x10, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lw op1:x1; dest:x10; immval:-0x5; align:0 +TEST_LOAD(x2,x6,0,x1,x10,-0x5,12,lw,0) + +inst_4: +// rs1==x12, rd==x15, imm_val == 0, +// opcode:lw op1:x12; dest:x15; immval:0x0; align:0 +TEST_LOAD(x2,x6,0,x12,x15,0x0,16,lw,0) + +inst_5: +// rs1==x7, rd==x13, imm_val > 0, +// opcode:lw op1:x7; dest:x13; immval:0x20; align:0 +TEST_LOAD(x2,x6,0,x7,x13,0x20,20,lw,0) + +inst_6: +// rs1==x5, rd==x3, +// opcode:lw op1:x5; dest:x3; immval:-0x800; align:0 +TEST_LOAD(x2,x6,0,x5,x3,-0x800,24,lw,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_7: +// rs1==x8, rd==x0, +// opcode:lw op1:x8; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x8,x0,-0x800,0,lw,0) + +inst_8: +// rs1==x3, rd==x12, +// opcode:lw op1:x3; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x3,x12,-0x800,4,lw,0) + +inst_9: +// rs1==x13, rd==x4, +// opcode:lw op1:x13; dest:x4; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x13,x4,-0x800,8,lw,0) + +inst_10: +// rs1==x15, rd==x7, +// opcode:lw op1:x15; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x15,x7,-0x800,12,lw,0) + +inst_11: +// rs1==x9, rd==x5, +// opcode:lw op1:x9; dest:x5; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x9,x5,-0x800,16,lw,0) + +inst_12: +// rs1==x6, rd==x9, +// opcode:lw op1:x6; dest:x9; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x6,x9,-0x800,20,lw,0) + +inst_13: +// rs1==x10, rd==x2, +// opcode:lw op1:x10; dest:x2; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x10,x2,-0x800,24,lw,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_14: +// rs1==x2, rd==x6, +// opcode:lw op1:x2; dest:x6; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x2,x6,-0x800,0,lw,0) + +inst_15: +// rd==x11, +// opcode:lw op1:x5; dest:x11; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x5,x11,-0x800,4,lw,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 2*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S new file mode 100644 index 000000000..193785007 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S @@ -0,0 +1,3036 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the or instruction of the RISC-V E extension for the or covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",or) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x3, rd==x2, rs1_val != rs2_val, rs2_val == 536870912, rs1_val == -2097153, rs1_val < 0 and rs2_val > 0 +// opcode: or ; op1:x10; op2:x3; dest:x2; op1val:-0x200001; op2val:0x20000000 +TEST_RR_OP(or, x2, x10, x3, 0xffdfffff, -0x200001, 0x20000000, x5, 0, x4) + +inst_1: +// rs1 == rd != rs2, rs1==x14, rs2==x10, rd==x14, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: or ; op1:x14; op2:x10; dest:x14; op1val:0x5; op2val:0x7fffffff +TEST_RR_OP(or, x14, x14, x10, 0x7fffffff, 0x5, 0x7fffffff, x5, 4, x4) + +inst_2: +// rs2 == rd != rs1, rs1==x1, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 +// opcode: or ; op1:x1; op2:x6; dest:x6; op1val:-0xb504; op2val:-0x40000001 +TEST_RR_OP(or, x6, x1, x6, 0xffffffff, -0xb504, -0x40000001, x5, 8, x4) + +inst_3: +// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x9, rs2_val == -536870913, +// opcode: or ; op1:x11; op2:x11; dest:x9; op1val:-0x40000000; op2val:-0x40000000 +TEST_RR_OP(or, x9, x11, x11, 0xc0000000, -0x40000000, -0x40000000, x5, 12, x4) + +inst_4: +// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 +// opcode: or ; op1:x8; op2:x8; dest:x8; op1val:0x4000; op2val:0x4000 +TEST_RR_OP(or, x8, x8, x8, 0x4000, 0x4000, 0x4000, x5, 16, x4) + +inst_5: +// rs1==x7, rs2==x9, rd==x12, rs2_val == -134217729, rs1_val == -8193 +// opcode: or ; op1:x7; op2:x9; dest:x12; op1val:-0x2001; op2val:-0x8000001 +TEST_RR_OP(or, x12, x7, x9, 0xffffffff, -0x2001, -0x8000001, x5, 20, x4) + +inst_6: +// rs1==x4, rs2==x1, rd==x15, rs2_val == -67108865, rs1_val == -3 +// opcode: or ; op1:x4; op2:x1; dest:x15; op1val:-0x3; op2val:-0x4000001 +TEST_RR_OP(or, x15, x4, x1, 0xffffffff, -0x3, -0x4000001, x5, 24, x3) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x6, rs2==x13, rd==x10, rs2_val == -33554433, rs1_val == 1024 +// opcode: or ; op1:x6; op2:x13; dest:x10; op1val:0x400; op2val:-0x2000001 +TEST_RR_OP(or, x10, x6, x13, 0xfdffffff, 0x400, -0x2000001, x4, 0, x3) + +inst_8: +// rs1==x5, rs2==x14, rd==x0, rs2_val == -16777217, rs1_val == 0 +// opcode: or ; op1:x5; op2:x14; dest:x0; op1val:0x0; op2val:-0x1000001 +TEST_RR_OP(or, x0, x5, x14, 0, 0x0, -0x1000001, x4, 4, x3) + +inst_9: +// rs1==x9, rs2==x0, rd==x1, rs2_val == -8388609, +// opcode: or ; op1:x9; op2:x0; dest:x1; op1val:0x6; op2val:0x0 +TEST_RR_OP(or, x1, x9, x0, 0x6, 0x6, 0x0, x4, 8, x3) + +inst_10: +// rs1==x13, rs2==x5, rd==x11, rs2_val == -4194305, +// opcode: or ; op1:x13; op2:x5; dest:x11; op1val:0x6; op2val:-0x400001 +TEST_RR_OP(or, x11, x13, x5, 0xffbfffff, 0x6, -0x400001, x4, 12, x3) + +inst_11: +// rs1==x12, rs2==x2, rd==x5, rs2_val == -2097153, rs1_val == 134217728 +// opcode: or ; op1:x12; op2:x2; dest:x5; op1val:0x8000000; op2val:-0x200001 +TEST_RR_OP(or, x5, x12, x2, 0xffdfffff, 0x8000000, -0x200001, x4, 16, x3) + +inst_12: +// rs1==x3, rs2==x12, rd==x7, rs2_val == -1048577, +// opcode: or ; op1:x3; op2:x12; dest:x7; op1val:0xb504; op2val:-0x100001 +TEST_RR_OP(or, x7, x3, x12, 0xffefffff, 0xb504, -0x100001, x4, 20, x6) +RVTEST_SIGBASE( x5,signature_x5_2) + +inst_13: +// rs1==x0, rs2==x15, rd==x13, rs2_val == -524289, rs1_val == -33 +// opcode: or ; op1:x0; op2:x15; dest:x13; op1val:0x0; op2val:-0x80001 +TEST_RR_OP(or, x13, x0, x15, 0xfff7ffff, 0x0, -0x80001, x5, 0, x6) + +inst_14: +// rs1==x15, rs2==x7, rd==x3, rs2_val == -262145, rs1_val == -1431655766 +// opcode: or ; op1:x15; op2:x7; dest:x3; op1val:-0x55555556; op2val:-0x40001 +TEST_RR_OP(or, x3, x15, x7, 0xfffbffff, -0x55555556, -0x40001, x5, 4, x6) + +inst_15: +// rs1==x2, rs2_val == -131073, +// opcode: or ; op1:x2; op2:x12; dest:x1; op1val:-0x55555555; op2val:-0x20001 +TEST_RR_OP(or, x1, x2, x12, 0xffffffff, -0x55555555, -0x20001, x5, 8, x6) + +inst_16: +// rs2==x4, rs2_val == -65537, +// opcode: or ; op1:x9; op2:x4; dest:x10; op1val:0x9; op2val:-0x10001 +TEST_RR_OP(or, x10, x9, x4, 0xfffeffff, 0x9, -0x10001, x5, 12, x6) + +inst_17: +// rd==x4, rs2_val == -32769, +// opcode: or ; op1:x3; op2:x8; dest:x4; op1val:-0xb504; op2val:-0x8001 +TEST_RR_OP(or, x4, x3, x8, 0xffff7fff, -0xb504, -0x8001, x5, 16, x6) + +inst_18: +// rs2_val == -16385, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x4001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x4001, x5, 20, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_19: +// rs2_val == -8193, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0x2001 +TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x80000000, -0x2001, x1, 0, x2) + +inst_20: +// rs2_val == -4097, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1001 +TEST_RR_OP(or, x12, x10, x11, 0xffffefff, 0x0, -0x1001, x1, 4, x2) + +inst_21: +// rs2_val == -2049, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x801 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, 0x66666665, -0x801, x1, 8, x2) + +inst_22: +// rs2_val == -1025, rs1_val == -513 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x201, -0x401, x1, 12, x2) + +inst_23: +// rs2_val == -513, rs1_val == 64 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x201 +TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, 0x40, -0x201, x1, 16, x2) + +inst_24: +// rs2_val == -257, rs1_val == 128 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x101 +TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, 0x80, -0x101, x1, 20, x2) + +inst_25: +// rs2_val == -129, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, -0x81, x1, 24, x2) + +inst_26: +// rs2_val == -65, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x41 +TEST_RR_OP(or, x12, x10, x11, 0xffffffbf, -0x55555555, -0x41, x1, 28, x2) + +inst_27: +// rs2_val == -33, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x21 +TEST_RR_OP(or, x12, x10, x11, 0xffffffdf, 0x6, -0x21, x1, 32, x2) + +inst_28: +// rs2_val == -17, rs1_val == -134217729 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x11 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x8000001, -0x11, x1, 36, x2) + +inst_29: +// rs2_val == -9, rs1_val == -2 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x2, -0x9, x1, 40, x2) + +inst_30: +// rs2_val == -5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x5 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x8, -0x5, x1, 44, x2) + +inst_31: +// rs2_val == -3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x3, x1, 48, x2) + +inst_32: +// rs2_val == -2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x2 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x6, -0x2, x1, 52, x2) + +inst_33: +// rs1_val == -1073741825, rs2_val == 32 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x20 +TEST_RR_OP(or, x12, x10, x11, 0xbfffffff, -0x40000001, 0x20, x1, 56, x2) + +inst_34: +// rs1_val == -536870913, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x41 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20000001, -0x41, x1, 60, x2) + +inst_35: +// rs1_val == -268435457, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x100001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10000001, -0x100001, x1, 64, x2) + +inst_36: +// rs1_val == -67108865, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x201 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x4000001, -0x201, x1, 68, x2) + +inst_37: +// rs1_val == -33554433, rs2_val == 65536 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x10000 +TEST_RR_OP(or, x12, x10, x11, 0xfdffffff, -0x2000001, 0x10000, x1, 72, x2) + +inst_38: +// rs1_val == -16777217, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x801 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x1000001, -0x801, x1, 76, x2) + +inst_39: +// rs1_val == -8388609, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, -0x800001, 0x33333332, x1, 80, x2) + +inst_40: +// rs1_val == -4194305, rs2_val == 1431655765 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x400001, 0x55555555, x1, 84, x2) + +inst_41: +// rs1_val == -1048577, rs2_val == 1024 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x400 +TEST_RR_OP(or, x12, x10, x11, 0xffefffff, -0x100001, 0x400, x1, 88, x2) + +inst_42: +// rs1_val == -524289, rs2_val == 524288 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x80000 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x80001, 0x80000, x1, 92, x2) + +inst_43: +// rs1_val == -262145, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x40001, 0x55555555, x1, 96, x2) + +inst_44: +// rs1_val == -131073, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x2001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20001, -0x2001, x1, 100, x2) + +inst_45: +// rs1_val == -65537, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x400001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10001, -0x400001, x1, 104, x2) + +inst_46: +// rs1_val == -32769, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff7fff, -0x8001, 0x5, x1, 108, x2) + +inst_47: +// rs1_val == -16385, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x400 +TEST_RR_OP(or, x12, x10, x11, 0xffffbfff, -0x4001, 0x400, x1, 112, x2) + +inst_48: +// rs1_val == -4097, rs2_val == 256 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x100 +TEST_RR_OP(or, x12, x10, x11, 0xffffefff, -0x1001, 0x100, x1, 116, x2) + +inst_49: +// rs1_val == -2049, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x801, -0x6, x1, 120, x2) + +inst_50: +// rs1_val == -1025, rs2_val == 8 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x8 +TEST_RR_OP(or, x12, x10, x11, 0xfffffbff, -0x401, 0x8, x1, 124, x2) + +inst_51: +// rs1_val == -257, rs2_val == 32768 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x8000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, -0x101, 0x8000, x1, 128, x2) + +inst_52: +// rs1_val == -129, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x81, -0x1, x1, 132, x2) + +inst_53: +// rs1_val == -65, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x1 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x41, -0x1, x1, 136, x2) + +inst_54: +// rs1_val == -17, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x101 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x11, -0x101, x1, 140, x2) + +inst_55: +// rs1_val == -9, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x9, -0x6, x1, 144, x2) + +inst_56: +// rs1_val == -5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x5, 0x20000000, x1, 148, x2) + +inst_57: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x80000000 +TEST_RR_OP(or, x12, x10, x11, 0x80000005, 0x5, -0x80000000, x1, 152, x2) + +inst_58: +// rs2_val == 1073741824, rs1_val == 32768 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x40000000 +TEST_RR_OP(or, x12, x10, x11, 0x40008000, 0x8000, 0x40000000, x1, 156, x2) + +inst_59: +// rs2_val == 268435456, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10000000 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10000000, x1, 160, x2) + +inst_60: +// rs2_val == 134217728, rs1_val == 8 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000 +TEST_RR_OP(or, x12, x10, x11, 0x8000008, 0x8, 0x8000000, x1, 164, x2) + +inst_61: +// rs2_val == 67108864, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4000000 +TEST_RR_OP(or, x12, x10, x11, 0xaeaaaaaa, -0x55555556, 0x4000000, x1, 168, x2) + +inst_62: +// rs2_val == 33554432, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x200b504, 0xb504, 0x2000000, x1, 172, x2) + +inst_63: +// rs2_val == 16777216, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1000000 +TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x2001, 0x1000000, x1, 176, x2) + +inst_64: +// rs2_val == 8388608, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x800000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffff9, -0x7, 0x800000, x1, 180, x2) + +inst_65: +// rs2_val == 4194304, rs1_val == 16 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 +TEST_RR_OP(or, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) + +inst_66: +// rs2_val == 2097152, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x200000 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, 0x200000, x1, 188, x2) + +inst_67: +// rs2_val == 1048576, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100000 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x100000, x1, 192, x2) + +inst_68: +// rs2_val == 262144, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x40000 +TEST_RR_OP(or, x12, x10, x11, 0x4b503, 0xb503, 0x40000, x1, 196, x2) + +inst_69: +// rs2_val == 131072, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x20000 +TEST_RR_OP(or, x12, x10, x11, 0x20008, 0x8, 0x20000, x1, 200, x2) + +inst_70: +// rs2_val == 16384, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x4000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x2, 0x4000, x1, 204, x2) + +inst_71: +// rs2_val == 8192, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2000 +TEST_RR_OP(or, x12, x10, x11, 0x55557556, 0x55555556, 0x2000, x1, 208, x2) + +inst_72: +// rs2_val == 4096, rs1_val == 2 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1000 +TEST_RR_OP(or, x12, x10, x11, 0x1002, 0x2, 0x1000, x1, 212, x2) + +inst_73: +// rs2_val == 2048, rs1_val == 4 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 +TEST_RR_OP(or, x12, x10, x11, 0x804, 0x4, 0x800, x1, 216, x2) + +inst_74: +// rs2_val == 512, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x200 +TEST_RR_OP(or, x12, x10, x11, 0x80000200, -0x80000000, 0x200, x1, 220, x2) + +inst_75: +// rs2_val == 128, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x80 +TEST_RR_OP(or, x12, x10, x11, 0x3fffffff, 0x3fffffff, 0x80, x1, 224, x2) + +inst_76: +// rs2_val == 64, rs1_val == 32 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40 +TEST_RR_OP(or, x12, x10, x11, 0x60, 0x20, 0x40, x1, 228, x2) + +inst_77: +// rs2_val == 16, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10, x1, 232, x2) + +inst_78: +// rs2_val == 4, rs1_val == 524288 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x80004, 0x80000, 0x4, x1, 236, x2) + +inst_79: +// rs2_val == 2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, -0x201, 0x2, x1, 240, x2) + +inst_80: +// rs2_val == 1, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 +TEST_RR_OP(or, x12, x10, x11, 0x1, 0x0, 0x1, x1, 244, x2) + +inst_81: +// rs1_val == 1073741824, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x40000000, -0x81, x1, 248, x2) + +inst_82: +// rs1_val == 536870912, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x22000000, 0x20000000, 0x2000000, x1, 252, x2) + +inst_83: +// rs1_val == 268435456, rs2_val == 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x10000000, 0x10000000, 0x0, x1, 256, x2) + +inst_84: +// rs1_val == 67108864, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x20000000 +TEST_RR_OP(or, x12, x10, x11, 0x24000000, 0x4000000, 0x20000000, x1, 260, x2) + +inst_85: +// rs1_val == 33554432, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffa, 0x2000000, -0x6, x1, 264, x2) + +inst_86: +// rs1_val == 16777216, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x400000 +TEST_RR_OP(or, x12, x10, x11, 0x1400000, 0x1000000, 0x400000, x1, 268, x2) + +inst_87: +// rs1_val == 8388608, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55d55555, 0x800000, 0x55555555, x1, 272, x2) + +inst_88: +// rs1_val == 4194304, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0xa +TEST_RR_OP(or, x12, x10, x11, 0xfffffff6, 0x400000, -0xa, x1, 276, x2) + +inst_89: +// rs1_val == 2097152, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x200000, 0x33333334, x1, 280, x2) + +inst_90: +// rs1_val == 1048576, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x80 +TEST_RR_OP(or, x12, x10, x11, 0x100080, 0x100000, 0x80, x1, 284, x2) + +inst_91: +// rs1_val == 262144, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x10000 +TEST_RR_OP(or, x12, x10, x11, 0x50000, 0x40000, 0x10000, x1, 288, x2) + +inst_92: +// rs1_val == 131072, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1000 +TEST_RR_OP(or, x12, x10, x11, 0x21000, 0x20000, 0x1000, x1, 292, x2) + +inst_93: +// rs1_val == 65536, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x10006, 0x10000, 0x6, x1, 296, x2) + +inst_94: +// rs1_val == 8192, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(or, x12, x10, x11, 0x2008, 0x2000, 0x8, x1, 300, x2) + +inst_95: +// rs1_val == 4096, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x3 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0x1000, -0x3, x1, 304, x2) + +inst_96: +// rs1_val == 2048, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555d55, 0x800, 0x55555555, x1, 308, x2) + +inst_97: +// rs1_val == 512, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x20 +TEST_RR_OP(or, x12, x10, x11, 0x220, 0x200, 0x20, x1, 312, x2) + +inst_98: +// rs1_val == 256, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x100, -0x81, x1, 316, x2) + +inst_99: +// rs1_val == 1, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x2000001, 0x1, 0x2000000, x1, 320, x2) + +inst_100: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 324, x2) + +inst_101: +// rs1_val==46341 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 328, x2) + +inst_102: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 332, x2) + +inst_103: +// rs1_val==46341 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 336, x2) + +inst_104: +// rs1_val==46341 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x6, x1, 340, x2) + +inst_105: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 344, x2) + +inst_106: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 348, x2) + +inst_107: +// rs1_val==46341 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x4, x1, 352, x2) + +inst_108: +// rs1_val==46341 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0xb503, x1, 356, x2) + +inst_109: +// rs1_val==46341 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 360, x2) + +inst_110: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 364, x2) + +inst_111: +// rs1_val==46341 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 368, x2) + +inst_112: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 372, x2) + +inst_113: +// rs1_val==46341 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 376, x2) + +inst_114: +// rs1_val==46341 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb504, x1, 380, x2) + +inst_115: +// rs1_val==46341 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 384, x2) + +inst_116: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 388, x2) + +inst_117: +// rs1_val==46341 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 392, x2) + +inst_118: +// rs1_val==46341 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x5, x1, 396, x2) + +inst_119: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 400, x2) + +inst_120: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 404, x2) + +inst_121: +// rs1_val==46341 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x3, x1, 408, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 412, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 416, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 420, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 424, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 428, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 432, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 436, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 440, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 444, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 448, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 452, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 456, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 460, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 464, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 468, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 472, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 476, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 480, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 484, x2) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 488, x2) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 492, x2) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 496, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 500, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 504, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 508, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 512, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 516, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 520, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 524, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 528, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 532, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 536, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 540, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 544, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 548, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 552, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 556, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 560, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 564, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 568, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 572, x2) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 576, x2) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 580, x2) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 584, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 588, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 592, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 596, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 600, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 604, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 608, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 612, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 616, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 620, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 624, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 628, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 632, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 636, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 640, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 644, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 648, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 652, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 656, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 660, x2) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 664, x2) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 668, x2) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 672, x2) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb505, x1, 676, x2) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 680, x2) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 684, x2) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 688, x2) + +inst_192: +// rs1_val==6 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x6, x1, 692, x2) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 696, x2) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 700, x2) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x4, x1, 704, x2) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb503, x1, 708, x2) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x0, x1, 712, x2) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 716, x2) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 720, x2) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 724, x2) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x2, x1, 728, x2) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x6, 0xb504, x1, 732, x2) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 736, x2) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 740, x2) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 744, x2) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x5, x1, 748, x2) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 752, x2) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 756, x2) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x3, x1, 760, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 764, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 768, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 772, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 776, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 780, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 784, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 788, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 792, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 796, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 800, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 804, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 808, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 812, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 816, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 820, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 824, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 828, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 832, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 836, x2) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 840, x2) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 844, x2) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 848, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 852, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 856, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 860, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 864, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 868, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 872, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 876, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 880, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 884, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 888, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 892, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 896, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 900, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 904, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 908, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 912, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 916, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 920, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 924, x2) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 928, x2) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 932, x2) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 936, x2) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x4, 0xb505, x1, 940, x2) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 944, x2) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 948, x2) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 952, x2) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x6, x1, 956, x2) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 960, x2) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 964, x2) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x4, x1, 968, x2) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 972, x2) + +inst_263: +// rs1_val==4 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x0, x1, 976, x2) + +inst_264: +// rs1_val==4 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 980, x2) + +inst_265: +// rs1_val==4 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 984, x2) + +inst_266: +// rs1_val==4 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 988, x2) + +inst_267: +// rs1_val==4 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x2, x1, 992, x2) + +inst_268: +// rs1_val==4 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x4, 0xb504, x1, 996, x2) + +inst_269: +// rs1_val==4 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1000, x2) + +inst_270: +// rs1_val==4 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1004, x2) + +inst_271: +// rs1_val==4 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1008, x2) + +inst_272: +// rs1_val==4 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x4, 0x5, x1, 1012, x2) + +inst_273: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1016, x2) + +inst_274: +// rs1_val==4 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1020, x2) + +inst_275: +// rs1_val==4 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1024, x2) + +inst_276: +// rs1_val==46339 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1028, x2) + +inst_277: +// rs1_val==46339 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1032, x2) + +inst_278: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1036, x2) + +inst_279: +// rs1_val==46339 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1040, x2) + +inst_280: +// rs1_val==46339 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x6, x1, 1044, x2) + +inst_281: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1048, x2) + +inst_282: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1052, x2) + +inst_283: +// rs1_val==46339 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1056, x2) + +inst_284: +// rs1_val==46339 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1060, x2) + +inst_285: +// rs1_val==46339 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1064, x2) + +inst_286: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1068, x2) + +inst_287: +// rs1_val==46339 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1072, x2) + +inst_288: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1076, x2) + +inst_289: +// rs1_val==46339 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x2, x1, 1080, x2) + +inst_290: +// rs1_val==46339 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1084, x2) + +inst_291: +// rs1_val==46339 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1088, x2) + +inst_292: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1092, x2) + +inst_293: +// rs1_val==46339 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1096, x2) + +inst_294: +// rs1_val==46339 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x5, x1, 1100, x2) + +inst_295: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1104, x2) + +inst_296: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1108, x2) + +inst_297: +// rs1_val==46339 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x3, x1, 1112, x2) + +inst_298: +// rs1_val==0 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1116, x2) + +inst_299: +// rs1_val==0 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1120, x2) + +inst_300: +// rs1_val==0 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1124, x2) + +inst_301: +// rs1_val==0 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1128, x2) + +inst_302: +// rs1_val==0 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1132, x2) + +inst_303: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1136, x2) + +inst_304: +// rs1_val==0 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1140, x2) + +inst_305: +// rs1_val==0 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1144, x2) + +inst_306: +// rs1_val==0 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1148, x2) + +inst_307: +// rs1_val==0 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1152, x2) + +inst_308: +// rs1_val==0 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1156, x2) + +inst_309: +// rs1_val==0 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1160, x2) + +inst_310: +// rs1_val==0 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1164, x2) + +inst_311: +// rs1_val==0 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1168, x2) + +inst_312: +// rs1_val==0 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1172, x2) + +inst_313: +// rs1_val==0 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1176, x2) + +inst_314: +// rs1_val==0 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1180, x2) + +inst_315: +// rs1_val==0 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1184, x2) + +inst_316: +// rs1_val==0 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1188, x2) + +inst_317: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1192, x2) + +inst_318: +// rs1_val==0 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1196, x2) + +inst_319: +// rs1_val==0 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1200, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1204, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1208, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1212, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1216, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1220, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1224, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1228, x2) + +inst_327: +// rs1_val==1717986917 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1232, x2) + +inst_328: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1236, x2) + +inst_329: +// rs1_val==1717986917 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1240, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1244, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1248, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1252, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1256, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1260, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1264, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1268, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1272, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1276, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1280, x2) + +inst_340: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1284, x2) + +inst_341: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1288, x2) + +inst_342: +// rs1_val==858993459 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1292, x2) + +inst_343: +// rs1_val==5 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb505, x1, 1296, x2) + +inst_344: +// rs1_val==5 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1300, x2) + +inst_345: +// rs1_val==5 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1304, x2) + +inst_346: +// rs1_val==5 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1308, x2) + +inst_347: +// rs1_val==5 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x6, x1, 1312, x2) + +inst_348: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1316, x2) + +inst_349: +// rs1_val==5 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1320, x2) + +inst_350: +// rs1_val==5 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x4, x1, 1324, x2) + +inst_351: +// rs1_val==5 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x5, 0xb503, x1, 1328, x2) + +inst_352: +// rs1_val==5 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1332, x2) + +inst_353: +// rs1_val==5 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1336, x2) + +inst_354: +// rs1_val==5 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1340, x2) + +inst_355: +// rs1_val==5 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1344, x2) + +inst_356: +// rs1_val==5 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1348, x2) + +inst_357: +// rs1_val==5 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb504, x1, 1352, x2) + +inst_358: +// rs1_val==5 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1356, x2) + +inst_359: +// rs1_val==5 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1360, x2) + +inst_360: +// rs1_val==5 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1364, x2) + +inst_361: +// rs1_val==5 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1368, x2) + +inst_362: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1372, x2) + +inst_363: +// rs1_val==5 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1376, x2) + +inst_364: +// rs1_val==5 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x3, x1, 1380, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1384, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1388, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1392, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1396, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1400, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1404, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1408, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1412, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1416, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1420, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1424, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1428, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1432, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1436, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1440, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1444, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1448, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1452, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1456, x2) + +inst_384: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1460, x2) + +inst_385: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1464, x2) + +inst_386: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1468, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1472, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1476, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1480, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1484, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1488, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1492, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1496, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1500, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1504, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1508, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1512, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1516, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1520, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1524, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1528, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1532, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1536, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1540, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1544, x2) + +inst_406: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1548, x2) + +inst_407: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1552, x2) + +inst_408: +// rs1_val==1431655765 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1556, x2) + +inst_409: +// rs1_val==3 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb505, x1, 1560, x2) + +inst_410: +// rs1_val==3 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1564, x2) + +inst_411: +// rs1_val==3 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1568, x2) + +inst_412: +// rs1_val==3 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1572, x2) + +inst_413: +// rs1_val==3 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x6, x1, 1576, x2) + +inst_414: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1580, x2) + +inst_415: +// rs1_val==3 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1584, x2) + +inst_416: +// rs1_val==3 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1588, x2) + +inst_417: +// rs1_val==3 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x3, 0xb503, x1, 1592, x2) + +inst_418: +// rs1_val==3 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1596, x2) + +inst_419: +// rs1_val==3 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1600, x2) + +inst_420: +// rs1_val==3 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1604, x2) + +inst_421: +// rs1_val==3 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1608, x2) + +inst_422: +// rs1_val==3 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x2, x1, 1612, x2) + +inst_423: +// rs1_val==3 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1616, x2) + +inst_424: +// rs1_val==3 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1620, x2) + +inst_425: +// rs1_val==3 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1624, x2) + +inst_426: +// rs1_val==3 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1628, x2) + +inst_427: +// rs1_val==3 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x5, x1, 1632, x2) + +inst_428: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1636, x2) + +inst_429: +// rs1_val==3 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1640, x2) + +inst_430: +// rs1_val==3 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1644, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1648, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1652, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1656, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1660, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1664, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1668, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1672, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1676, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1680, x2) + +inst_440: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1684, x2) + +inst_441: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1688, x2) + +inst_442: +// rs1_val==1717986917 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1692, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1696, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1700, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1704, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1708, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1712, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1716, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1720, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1724, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1728, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1732, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1736, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1740, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1744, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1748, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1752, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1756, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1760, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1764, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1768, x2) + +inst_462: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1772, x2) + +inst_463: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1776, x2) + +inst_464: +// rs1_val==858993458 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1780, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1784, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1788, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1792, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1796, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1800, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1804, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1808, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1812, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1816, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1820, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1824, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1828, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1832, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1836, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1840, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1844, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1848, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1852, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1856, x2) + +inst_484: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1860, x2) + +inst_485: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1864, x2) + +inst_486: +// rs1_val==1431655764 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1868, x2) + +inst_487: +// rs1_val==2 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1872, x2) + +inst_488: +// rs1_val==2 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1876, x2) + +inst_489: +// rs1_val==2 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1880, x2) + +inst_490: +// rs1_val==2 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1884, x2) + +inst_491: +// rs1_val==2 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x6, x1, 1888, x2) + +inst_492: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1892, x2) + +inst_493: +// rs1_val==2 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1896, x2) + +inst_494: +// rs1_val==2 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1900, x2) + +inst_495: +// rs1_val==2 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x2, 0xb503, x1, 1904, x2) + +inst_496: +// rs1_val==2 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1908, x2) + +inst_497: +// rs1_val==2 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1912, x2) + +inst_498: +// rs1_val==2 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1916, x2) + +inst_499: +// rs1_val==2 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1920, x2) + +inst_500: +// rs1_val==2 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1924, x2) + +inst_501: +// rs1_val==2 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1928, x2) + +inst_502: +// rs1_val==2 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1932, x2) + +inst_503: +// rs1_val==2 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 1936, x2) + +inst_504: +// rs1_val==2 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 1940, x2) + +inst_505: +// rs1_val==2 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1944, x2) + +inst_506: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 1948, x2) + +inst_507: +// rs1_val==2 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1952, x2) + +inst_508: +// rs1_val==2 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x2, 0x3, x1, 1956, x2) + +inst_509: +// rs1_val==46340 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0xb505, x1, 1960, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 1964, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 1968, x2) + +inst_512: +// rs1_val==46340 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 1972, x2) + +inst_513: +// rs1_val==46340 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x6, x1, 1976, x2) + +inst_514: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 1980, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 1984, x2) + +inst_516: +// rs1_val==46340 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x4, x1, 1988, x2) + +inst_517: +// rs1_val==46340 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0xb503, x1, 1992, x2) + +inst_518: +// rs1_val==46340 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) + +inst_519: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 2000, x2) + +inst_520: +// rs1_val==46340 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 2004, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 2008, x2) + +inst_522: +// rs1_val==46340 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2012, x2) + +inst_523: +// rs1_val==46340 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2016, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 2020, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 2024, x2) + +inst_526: +// rs1_val==46340 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 2028, x2) + +inst_527: +// rs1_val==46340 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0x5, x1, 2032, x2) + +inst_528: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 2036, x2) + +inst_529: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 2040, x2) + +inst_530: +// rs1_val==46340 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_531: +// rs1_val==-46340 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 0, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 4, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 8, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 12, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 16, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 20, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 24, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 28, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 32, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 40, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 44, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 48, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 52, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 56, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 60, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 64, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 68, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 72, x2) + +inst_550: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 76, x2) + +inst_551: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 80, x2) + +inst_552: +// rs1_val==-46340 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 84, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 88, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 92, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 96, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 100, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 104, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 108, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 112, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 116, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 120, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 128, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 132, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 136, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 140, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 144, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 148, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 152, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 156, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 160, x2) + +inst_572: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 164, x2) + +inst_573: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 168, x2) + +inst_574: +// rs1_val==1717986918 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 172, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 176, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 180, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 184, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 188, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 192, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 196, x2) + +inst_581: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 200, x2) + +inst_582: +// rs1_val==858993459 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 204, x2) + +inst_583: +// rs1_val==858993459 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 208, x2) + +inst_584: +// rs2_val == -536870913, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x20000001 +TEST_RR_OP(or, x12, x10, x11, 0xdfffffff, -0x40000000, -0x20000001, x1, 212, x2) + +inst_585: +// rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x10000001 +TEST_RR_OP(or, x12, x10, x11, 0xefffffff, 0x4000, -0x10000001, x1, 216, x2) + +inst_586: +// rs2_val == -16777217, rs1_val == 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1000001 +TEST_RR_OP(or, x12, x10, x11, 0xfeffffff, 0x0, -0x1000001, x1, 220, x2) + +inst_587: +// rs2_val == -8388609, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x800001 +TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, 0x6, -0x800001, x1, 224, x2) + +inst_588: +// rs2_val == -524289, rs1_val == -33 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x21, -0x80001, x1, 228, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 6*(XLEN/32),4,0xdeadbeef + + +signature_x5_2: + .fill 6*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 58*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S new file mode 100644 index 000000000..3c8078862 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S @@ -0,0 +1,2866 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the ori instruction of the RISC-V E extension for the ori covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",ori) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x12, rd==x9, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 2097152 +// opcode: ori ; op1:x12; dest:x9; op1val:0x200000; immval:-0x800 +TEST_IMM_OP( ori, x9, x12, 0xfffff800, 0x200000, -0x800, x1, 0, x2) + +inst_1: +// rs1 == rd, rs1==x0, rd==x0, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: ori ; op1:x0; dest:x0; op1val:0x0; immval:0x3ff +TEST_IMM_OP( ori, x0, x0, 0, 0x0, 0x3ff, x1, 4, x2) + +inst_2: +// rs1==x6, rd==x7, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 1024 +// opcode: ori ; op1:x6; dest:x7; op1val:-0x40000001; immval:0x400 +TEST_IMM_OP( ori, x7, x6, 0xbfffffff, -0x40000001, 0x400, x1, 8, x2) + +inst_3: +// rs1==x9, rd==x3, rs1_val == -536870913, +// opcode: ori ; op1:x9; dest:x3; op1val:-0x20000001; immval:0x2d +TEST_IMM_OP( ori, x3, x9, 0xdfffffff, -0x20000001, 0x2d, x1, 12, x2) + +inst_4: +// rs1==x5, rd==x14, rs1_val == -268435457, +// opcode: ori ; op1:x5; dest:x14; op1val:-0x10000001; immval:0x666 +TEST_IMM_OP( ori, x14, x5, 0xefffffff, -0x10000001, 0x666, x1, 16, x2) + +inst_5: +// rs1==x13, rd==x11, rs1_val == -134217729, imm_val == 2 +// opcode: ori ; op1:x13; dest:x11; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( ori, x11, x13, 0xf7ffffff, -0x8000001, 0x2, x1, 20, x2) + +inst_6: +// rs1==x3, rd==x5, rs1_val == -67108865, +// opcode: ori ; op1:x3; dest:x5; op1val:-0x4000001; immval:0x2 +TEST_IMM_OP( ori, x5, x3, 0xfbffffff, -0x4000001, 0x2, x1, 24, x2) + +inst_7: +// rs1==x11, rd==x12, rs1_val == -33554433, +// opcode: ori ; op1:x11; dest:x12; op1val:-0x2000001; immval:0x3 +TEST_IMM_OP( ori, x12, x11, 0xfdffffff, -0x2000001, 0x3, x1, 28, x2) + +inst_8: +// rs1==x10, rd==x15, rs1_val == -16777217, imm_val == 1 +// opcode: ori ; op1:x10; dest:x15; op1val:-0x1000001; immval:0x1 +TEST_IMM_OP( ori, x15, x10, 0xfeffffff, -0x1000001, 0x1, x1, 32, x2) + +inst_9: +// rs1==x4, rd==x13, rs1_val == -8388609, rs1_val < 0 and imm_val < 0 +// opcode: ori ; op1:x4; dest:x13; op1val:-0x800001; immval:-0x555 +TEST_IMM_OP( ori, x13, x4, 0xffffffff, -0x800001, -0x555, x1, 36, x5) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_10: +// rs1==x15, rd==x10, rs1_val == -4194305, imm_val == -3 +// opcode: ori ; op1:x15; dest:x10; op1val:-0x400001; immval:-0x3 +TEST_IMM_OP( ori, x10, x15, 0xffffffff, -0x400001, -0x3, x3, 0, x5) + +inst_11: +// rs1==x7, rd==x4, rs1_val == -2097153, imm_val == 1365 +// opcode: ori ; op1:x7; dest:x4; op1val:-0x200001; immval:0x555 +TEST_IMM_OP( ori, x4, x7, 0xffdfffff, -0x200001, 0x555, x3, 4, x5) + +inst_12: +// rs1==x2, rd==x6, rs1_val == -1048577, imm_val == -5 +// opcode: ori ; op1:x2; dest:x6; op1val:-0x100001; immval:-0x5 +TEST_IMM_OP( ori, x6, x2, 0xffffffff, -0x100001, -0x5, x3, 8, x5) + +inst_13: +// rs1==x8, rd==x1, rs1_val == -524289, +// opcode: ori ; op1:x8; dest:x1; op1val:-0x80001; immval:-0x3 +TEST_IMM_OP( ori, x1, x8, 0xffffffff, -0x80001, -0x3, x3, 12, x5) + +inst_14: +// rs1==x1, rd==x2, rs1_val == -262145, +// opcode: ori ; op1:x1; dest:x2; op1val:-0x40001; immval:0x333 +TEST_IMM_OP( ori, x2, x1, 0xfffbffff, -0x40001, 0x333, x3, 16, x5) + +inst_15: +// rs1==x14, rd==x8, rs1_val == -131073, +// opcode: ori ; op1:x14; dest:x8; op1val:-0x20001; immval:0x332 +TEST_IMM_OP( ori, x8, x14, 0xfffdffff, -0x20001, 0x332, x3, 20, x5) + +inst_16: +// rs1_val == -65537, imm_val == 4 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xfffeffff, -0x10001, 0x4, x3, 24, x5) + +inst_17: +// rs1_val == -32769, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff7fff, -0x8001, 0x555, x3, 28, x1) + +inst_18: +// rs1_val == -16385, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x4001; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffffbfff, -0x4001, 0x666, x3, 32, x1) + +inst_19: +// rs1_val == -8193, imm_val == -9 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x9 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2001, -0x9, x3, 36, x1) + +inst_20: +// rs1_val == -4097, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x1001, -0x2d, x3, 40, x1) + +inst_21: +// rs1_val == -2049, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x801; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xfffff7ff, -0x801, 0x666, x3, 44, x1) + +inst_22: +// rs1_val == -1025, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x401; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x401, -0x2c, x3, 48, x1) + +inst_23: +// rs1_val == -513, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x201; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffdff, -0x201, 0x555, x3, 52, x1) + +inst_24: +// rs1_val == -257, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x3 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x101, -0x3, x3, 56, x1) + +inst_25: +// rs1_val == -129, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x9 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x81, -0x9, x3, 60, x1) + +inst_26: +// rs1_val == -65, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x41; immval:-0x4 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x41, -0x4, x3, 64, x1) + +inst_27: +// rs1_val == -33, imm_val == -17 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x21; immval:-0x11 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x21, -0x11, x3, 68, x1) + +inst_28: +// rs1_val == -17, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x5 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x11, -0x5, x3, 72, x1) + +inst_29: +// rs1_val == -9, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, -0x9, 0x4, x3, 76, x1) + +inst_30: +// rs1_val == -5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x5; immval:-0x7 +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x5, -0x7, x3, 80, x1) + +inst_31: +// rs1_val == -3, imm_val == -65 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x3; immval:-0x41 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x3, -0x41, x3, 84, x1) + +inst_32: +// rs1_val == -2, imm_val == -129 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x81 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2, -0x81, x3, 88, x1) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val == 8192 +// opcode: ori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff +TEST_IMM_OP( ori, x11, x10, 0x27ff, 0x2000, 0x7ff, x3, 92, x1) + +inst_34: +// imm_val == -1025, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x6, -0x401, x3, 96, x1) + +inst_35: +// imm_val == -513, rs1_val == 131072 +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x201 +TEST_IMM_OP( ori, x11, x10, 0xfffffdff, 0x20000, -0x201, x3, 100, x1) + +inst_36: +// imm_val == -257, rs1_val == 1431655765 +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x101 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x101, x3, 104, x1) + +inst_37: +// imm_val == -33, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x21 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x21, x3, 108, x1) + +inst_38: +// imm_val == -2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x200001; immval:-0x2 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x200001, -0x2, x3, 112, x1) + +inst_39: +// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) +// opcode: ori ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x80000000, -0x80000000, 0x0, x3, 116, x1) + +inst_40: +// rs1_val == 1073741824, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x2 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x40000000, -0x2, x3, 120, x1) + +inst_41: +// rs1_val == 536870912, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x20000555, 0x20000000, 0x555, x3, 124, x1) + +inst_42: +// rs1_val == 268435456, imm_val == -1366 +// opcode: ori ; op1:x10; dest:x11; op1val:0x10000000; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x10000000, -0x556, x3, 128, x1) + +inst_43: +// rs1_val == 134217728, +// opcode: ori ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x1 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x8000000, -0x1, x3, 132, x1) + +inst_44: +// rs1_val == 67108864, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x4000332, 0x4000000, 0x332, x3, 136, x1) + +inst_45: +// rs1_val == 33554432, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x2000556, 0x2000000, 0x556, x3, 140, x1) + +inst_46: +// rs1_val == 16777216, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x1000000, -0x401, x3, 144, x1) + +inst_47: +// rs1_val == 8388608, +// opcode: ori ; op1:x10; dest:x11; op1val:0x800000; immval:-0x101 +TEST_IMM_OP( ori, x11, x10, 0xfffffeff, 0x800000, -0x101, x3, 148, x1) + +inst_48: +// rs1_val == 4194304, +// opcode: ori ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x400000, 0x400000, 0x0, x3, 152, x1) + +inst_49: +// rs1_val == 1048576, +// opcode: ori ; op1:x10; dest:x11; op1val:0x100000; immval:-0x21 +TEST_IMM_OP( ori, x11, x10, 0xffffffdf, 0x100000, -0x21, x3, 156, x1) + +inst_50: +// rs1_val == 524288, +// opcode: ori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x80000, -0x401, x3, 160, x1) + +inst_51: +// rs1_val == 262144, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40000; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x40556, 0x40000, 0x556, x3, 164, x1) + +inst_52: +// rs1_val == 65536, +// opcode: ori ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 +TEST_IMM_OP( ori, x11, x10, 0x10001, 0x10000, 0x1, x3, 168, x1) + +inst_53: +// rs1_val == 32768, imm_val == 512 +// opcode: ori ; op1:x10; dest:x11; op1val:0x8000; immval:0x200 +TEST_IMM_OP( ori, x11, x10, 0x8200, 0x8000, 0x200, x3, 172, x1) + +inst_54: +// rs1_val == 16384, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4000, -0x2c, x3, 176, x1) + +inst_55: +// rs1_val == 4096, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1000; immval:-0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x1000, -0x8, x3, 180, x1) + +inst_56: +// rs1_val == 2048, +// opcode: ori ; op1:x10; dest:x11; op1val:0x800; immval:-0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x800, -0x8, x3, 184, x1) + +inst_57: +// rs1_val == 1024, +// opcode: ori ; op1:x10; dest:x11; op1val:0x400; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x400, -0x2d, x3, 188, x1) + +inst_58: +// rs1_val == 512, +// opcode: ori ; op1:x10; dest:x11; op1val:0x200; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x200, -0x2c, x3, 192, x1) + +inst_59: +// rs1_val == 256, +// opcode: ori ; op1:x10; dest:x11; op1val:0x100; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x102, 0x100, 0x2, x3, 196, x1) + +inst_60: +// rs1_val == 128, rs1_val == imm_val, imm_val == 128 +// opcode: ori ; op1:x10; dest:x11; op1val:0x80; immval:0x80 +TEST_IMM_OP( ori, x11, x10, 0x80, 0x80, 0x80, x3, 200, x1) + +inst_61: +// rs1_val == 64, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x46, 0x40, 0x6, x3, 204, x1) + +inst_62: +// rs1_val == 32, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x20, -0x2c, x3, 208, x1) + +inst_63: +// rs1_val == 16, +// opcode: ori ; op1:x10; dest:x11; op1val:0x10; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x10, 0x555, x3, 212, x1) + +inst_64: +// rs1_val == 8, +// opcode: ori ; op1:x10; dest:x11; op1val:0x8; immval:-0xa +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x8, -0xa, x3, 216, x1) + +inst_65: +// rs1_val == 4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3ff +TEST_IMM_OP( ori, x11, x10, 0x3ff, 0x4, 0x3ff, x3, 220, x1) + +inst_66: +// rs1_val == 2, rs1_val==2 and imm_val==2 +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x2, x3, 224, x1) + +inst_67: +// rs1_val == 1, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x1, 0x1, 0x0, x3, 228, x1) + +inst_68: +// imm_val == 256, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x100 +TEST_IMM_OP( ori, x11, x10, 0x20000100, 0x20000000, 0x100, x3, 232, x1) + +inst_69: +// imm_val == 64, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x40 +TEST_IMM_OP( ori, x11, x10, 0x44, 0x4, 0x40, x3, 236, x1) + +inst_70: +// imm_val == 32, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x20 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x20, x3, 240, x1) + +inst_71: +// imm_val == 16, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x10 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x10, x3, 244, x1) + +inst_72: +// imm_val == 8, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, -0x8, 0x8, x3, 248, x1) + +inst_73: +// rs1_val==46341 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb505, 0x2e, x3, 252, x1) + +inst_74: +// rs1_val==46341 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0xb505, -0x2c, x3, 256, x1) + +inst_75: +// rs1_val==46341 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x667, x3, 260, x1) + +inst_76: +// rs1_val==46341 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb735, 0xb505, 0x334, x3, 264, x1) + +inst_77: +// rs1_val==46341 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x6, x3, 268, x1) + +inst_78: +// rs1_val==46341 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x555, x3, 272, x1) + +inst_79: +// rs1_val==46341 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb505, 0x556, x3, 276, x1) + +inst_80: +// rs1_val==46341 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x4, x3, 280, x1) + +inst_81: +// rs1_val==46341 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2c, x3, 284, x1) + +inst_82: +// rs1_val==46341 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x0, x3, 288, x1) + +inst_83: +// rs1_val==46341 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb505, 0x665, x3, 292, x1) + +inst_84: +// rs1_val==46341 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x332, x3, 296, x1) + +inst_85: +// rs1_val==46341 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x554, x3, 300, x1) + +inst_86: +// rs1_val==46341 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x2, x3, 304, x1) + +inst_87: +// rs1_val==46341 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2d, x3, 308, x1) + +inst_88: +// rs1_val==46341 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb505, -0x2d, x3, 312, x1) + +inst_89: +// rs1_val==46341 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x666, x3, 316, x1) + +inst_90: +// rs1_val==46341 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x333, x3, 320, x1) + +inst_91: +// rs1_val==46341 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x5, x3, 324, x1) + +inst_92: +// rs1_val==46341 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x556, x3, 328, x1) + +inst_93: +// rs1_val==46341 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x555, x3, 332, x1) + +inst_94: +// rs1_val==46341 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x3, x3, 336, x1) + +inst_95: +// rs1_val==-46339 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2e, x3, 340, x1) + +inst_96: +// rs1_val==-46339 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffd, -0xb503, -0x2c, x3, 344, x1) + +inst_97: +// rs1_val==-46339 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x667, x3, 348, x1) + +inst_98: +// rs1_val==-46339 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfd, -0xb503, 0x334, x3, 352, x1) + +inst_99: +// rs1_val==-46339 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x6, x3, 356, x1) + +inst_100: +// rs1_val==-46339 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x555, x3, 360, x1) + +inst_101: +// rs1_val==-46339 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xffff4fff, -0xb503, 0x556, x3, 364, x1) + +inst_102: +// rs1_val==-46339 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x4, x3, 368, x1) + +inst_103: +// rs1_val==-46339 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2c, x3, 372, x1) + +inst_104: +// rs1_val==-46339 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 376, x1) + +inst_105: +// rs1_val==-46339 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb503, 0x665, x3, 380, x1) + +inst_106: +// rs1_val==-46339 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x332, x3, 384, x1) + +inst_107: +// rs1_val==-46339 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x554, x3, 388, x1) + +inst_108: +// rs1_val==-46339 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 392, x1) + +inst_109: +// rs1_val==-46339 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2d, x3, 396, x1) + +inst_110: +// rs1_val==-46339 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb503, -0x2d, x3, 400, x1) + +inst_111: +// rs1_val==-46339 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x666, x3, 404, x1) + +inst_112: +// rs1_val==-46339 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x333, x3, 408, x1) + +inst_113: +// rs1_val==-46339 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x5, x3, 412, x1) + +inst_114: +// rs1_val==-46339 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x556, x3, 416, x1) + +inst_115: +// rs1_val==-46339 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x555, x3, 420, x1) + +inst_116: +// rs1_val==-46339 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x3, x3, 424, x1) + +inst_117: +// rs1_val==1717986919 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2e, x3, 428, x1) + +inst_118: +// rs1_val==1717986919 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2c, x3, 432, x1) + +inst_119: +// rs1_val==1717986919 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x667, x3, 436, x1) + +inst_120: +// rs1_val==1717986919 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x334, x3, 440, x1) + +inst_121: +// rs1_val==1717986919 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x6, x3, 444, x1) + +inst_122: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x555, x3, 448, x1) + +inst_123: +// rs1_val==1717986919 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x556, x3, 452, x1) + +inst_124: +// rs1_val==1717986919 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x4, x3, 456, x1) + +inst_125: +// rs1_val==1717986919 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2c, x3, 460, x1) + +inst_126: +// rs1_val==1717986919 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 464, x1) + +inst_127: +// rs1_val==1717986919 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x665, x3, 468, x1) + +inst_128: +// rs1_val==1717986919 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x332, x3, 472, x1) + +inst_129: +// rs1_val==1717986919 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x554, x3, 476, x1) + +inst_130: +// rs1_val==1717986919 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x2, x3, 480, x1) + +inst_131: +// rs1_val==1717986919 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2d, x3, 484, x1) + +inst_132: +// rs1_val==1717986919 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2d, x3, 488, x1) + +inst_133: +// rs1_val==1717986919 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x666, x3, 492, x1) + +inst_134: +// rs1_val==1717986919 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x333, x3, 496, x1) + +inst_135: +// rs1_val==1717986919 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x5, x3, 500, x1) + +inst_136: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x556, x3, 504, x1) + +inst_137: +// rs1_val==1717986919 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x555, x3, 508, x1) + +inst_138: +// rs1_val==1717986919 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x3, x3, 512, x1) + +inst_139: +// rs1_val==858993460 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333334, 0x2e, x3, 516, x1) + +inst_140: +// rs1_val==858993460 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x33333334, -0x2c, x3, 520, x1) + +inst_141: +// rs1_val==858993460 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333334, 0x667, x3, 524, x1) + +inst_142: +// rs1_val==858993460 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x334, x3, 528, x1) + +inst_143: +// rs1_val==858993460 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x6, x3, 532, x1) + +inst_144: +// rs1_val==858993460 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbf, 0x33333334, -0x555, x3, 536, x1) + +inst_145: +// rs1_val==858993460 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x556, x3, 540, x1) + +inst_146: +// rs1_val==858993460 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x4, x3, 544, x1) + +inst_147: +// rs1_val==858993460 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333c, 0x33333334, 0x2c, x3, 548, x1) + +inst_148: +// rs1_val==858993460 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 552, x1) + +inst_149: +// rs1_val==858993460 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x665, x3, 556, x1) + +inst_150: +// rs1_val==858993460 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x332, x3, 560, x1) + +inst_151: +// rs1_val==858993460 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333774, 0x33333334, 0x554, x3, 564, x1) + +inst_152: +// rs1_val==858993460 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 568, x1) + +inst_153: +// rs1_val==858993460 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333d, 0x33333334, 0x2d, x3, 572, x1) + +inst_154: +// rs1_val==858993460 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333334, -0x2d, x3, 576, x1) + +inst_155: +// rs1_val==858993460 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x666, x3, 580, x1) + +inst_156: +// rs1_val==858993460 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x333, x3, 584, x1) + +inst_157: +// rs1_val==858993460 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333335, 0x33333334, 0x5, x3, 588, x1) + +inst_158: +// rs1_val==858993460 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbe, 0x33333334, -0x556, x3, 592, x1) + +inst_159: +// rs1_val==858993460 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x555, x3, 596, x1) + +inst_160: +// rs1_val==858993460 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 600, x1) + +inst_161: +// rs1_val==6 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2e, x3, 604, x1) + +inst_162: +// rs1_val==6 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x6, -0x2c, x3, 608, x1) + +inst_163: +// rs1_val==6 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x667, x3, 612, x1) + +inst_164: +// rs1_val==6 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x334, x3, 616, x1) + +inst_165: +// rs1_val==6 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x6, x3, 620, x1) + +inst_166: +// rs1_val==6 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x6, -0x555, x3, 624, x1) + +inst_167: +// rs1_val==6 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x556, x3, 628, x1) + +inst_168: +// rs1_val==6 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x4, x3, 632, x1) + +inst_169: +// rs1_val==6 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2c, x3, 636, x1) + +inst_170: +// rs1_val==6 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x0, x3, 640, x1) + +inst_171: +// rs1_val==6 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x665, x3, 644, x1) + +inst_172: +// rs1_val==6 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x332, x3, 648, x1) + +inst_173: +// rs1_val==6 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x554, x3, 652, x1) + +inst_174: +// rs1_val==6 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x2, x3, 656, x1) + +inst_175: +// rs1_val==6 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x6, 0x2d, x3, 660, x1) + +inst_176: +// rs1_val==6 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x6, -0x2d, x3, 664, x1) + +inst_177: +// rs1_val==6 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x6, 0x666, x3, 668, x1) + +inst_178: +// rs1_val==6 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x6, 0x333, x3, 672, x1) + +inst_179: +// rs1_val==6 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x5, x3, 676, x1) + +inst_180: +// rs1_val==6 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x6, -0x556, x3, 680, x1) + +inst_181: +// rs1_val==6 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x6, 0x555, x3, 684, x1) + +inst_182: +// rs1_val==6 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x3, x3, 688, x1) + +inst_183: +// rs1_val==-1431655765 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2e, x3, 692, x1) + +inst_184: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x2c, x3, 696, x1) + +inst_185: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x667, x3, 700, x1) + +inst_186: +// rs1_val==-1431655765 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbf, -0x55555555, 0x334, x3, 704, x1) + +inst_187: +// rs1_val==-1431655765 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x6, x3, 708, x1) + +inst_188: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x555, x3, 712, x1) + +inst_189: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x556, x3, 716, x1) + +inst_190: +// rs1_val==-1431655765 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 720, x1) + +inst_191: +// rs1_val==-1431655765 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2c, x3, 724, x1) + +inst_192: +// rs1_val==-1431655765 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 728, x1) + +inst_193: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x665, x3, 732, x1) + +inst_194: +// rs1_val==-1431655765 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x332, x3, 736, x1) + +inst_195: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 740, x1) + +inst_196: +// rs1_val==-1431655765 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x2, x3, 744, x1) + +inst_197: +// rs1_val==-1431655765 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2d, x3, 748, x1) + +inst_198: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555555, -0x2d, x3, 752, x1) + +inst_199: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x666, x3, 756, x1) + +inst_200: +// rs1_val==-1431655765 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x333, x3, 760, x1) + +inst_201: +// rs1_val==-1431655765 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x5, x3, 764, x1) + +inst_202: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x556, x3, 768, x1) + +inst_203: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x555, x3, 772, x1) + +inst_204: +// rs1_val==-1431655765 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x3, x3, 776, x1) + +inst_205: +// rs1_val==1431655766 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2e, x3, 780, x1) + +inst_206: +// rs1_val==1431655766 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x55555556, -0x2c, x3, 784, x1) + +inst_207: +// rs1_val==1431655766 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x667, x3, 788, x1) + +inst_208: +// rs1_val==1431655766 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x334, x3, 792, x1) + +inst_209: +// rs1_val==1431655766 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x6, x3, 796, x1) + +inst_210: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555556, -0x555, x3, 800, x1) + +inst_211: +// rs1_val==1431655766 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x556, x3, 804, x1) + +inst_212: +// rs1_val==1431655766 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x4, x3, 808, x1) + +inst_213: +// rs1_val==1431655766 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2c, x3, 812, x1) + +inst_214: +// rs1_val==1431655766 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 816, x1) + +inst_215: +// rs1_val==1431655766 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x665, x3, 820, x1) + +inst_216: +// rs1_val==1431655766 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x332, x3, 824, x1) + +inst_217: +// rs1_val==1431655766 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x554, x3, 828, x1) + +inst_218: +// rs1_val==1431655766 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x2, x3, 832, x1) + +inst_219: +// rs1_val==1431655766 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555556, 0x2d, x3, 836, x1) + +inst_220: +// rs1_val==1431655766 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555556, -0x2d, x3, 840, x1) + +inst_221: +// rs1_val==1431655766 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x666, x3, 844, x1) + +inst_222: +// rs1_val==1431655766 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x333, x3, 848, x1) + +inst_223: +// rs1_val==1431655766 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x5, x3, 852, x1) + +inst_224: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555556, -0x556, x3, 856, x1) + +inst_225: +// rs1_val==1431655766 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x555, x3, 860, x1) + +inst_226: +// rs1_val==1431655766 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x3, x3, 864, x1) + +inst_227: +// rs1_val==4 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x4, 0x2e, x3, 868, x1) + +inst_228: +// rs1_val==4 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4, -0x2c, x3, 872, x1) + +inst_229: +// rs1_val==4 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x4, 0x667, x3, 876, x1) + +inst_230: +// rs1_val==4 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x334, 0x4, 0x334, x3, 880, x1) + +inst_231: +// rs1_val==4 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x6, x3, 884, x1) + +inst_232: +// rs1_val==4 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 888, x1) + +inst_233: +// rs1_val==4 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x4, 0x556, x3, 892, x1) + +inst_234: +// rs1_val==4 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x4, x3, 896, x1) + +inst_235: +// rs1_val==4 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2c, 0x4, 0x2c, x3, 900, x1) + +inst_236: +// rs1_val==4 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x0, x3, 904, x1) + +inst_237: +// rs1_val==4 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x4, 0x665, x3, 908, x1) + +inst_238: +// rs1_val==4 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x4, 0x332, x3, 912, x1) + +inst_239: +// rs1_val==4 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x554, 0x4, 0x554, x3, 916, x1) + +inst_240: +// rs1_val==4 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x2, x3, 920, x1) + +inst_241: +// rs1_val==4 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x4, 0x2d, x3, 924, x1) + +inst_242: +// rs1_val==4 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 928, x1) + +inst_243: +// rs1_val==4 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x4, 0x666, x3, 932, x1) + +inst_244: +// rs1_val==4 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x4, 0x333, x3, 936, x1) + +inst_245: +// rs1_val==4 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x4, 0x5, x3, 940, x1) + +inst_246: +// rs1_val==4 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 944, x1) + +inst_247: +// rs1_val==4 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x4, 0x555, x3, 948, x1) + +inst_248: +// rs1_val==4 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x4, 0x3, x3, 952, x1) + +inst_249: +// rs1_val==46339 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2e, x3, 956, x1) + +inst_250: +// rs1_val==46339 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb503, -0x2c, x3, 960, x1) + +inst_251: +// rs1_val==46339 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x667, x3, 964, x1) + +inst_252: +// rs1_val==46339 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb503, 0x334, x3, 968, x1) + +inst_253: +// rs1_val==46339 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x6, x3, 972, x1) + +inst_254: +// rs1_val==46339 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x555, x3, 976, x1) + +inst_255: +// rs1_val==46339 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x556, x3, 980, x1) + +inst_256: +// rs1_val==46339 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x4, x3, 984, x1) + +inst_257: +// rs1_val==46339 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 988, x1) + +inst_258: +// rs1_val==46339 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x0, x3, 992, x1) + +inst_259: +// rs1_val==46339 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x665, x3, 996, x1) + +inst_260: +// rs1_val==46339 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x332, x3, 1000, x1) + +inst_261: +// rs1_val==46339 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x554, x3, 1004, x1) + +inst_262: +// rs1_val==46339 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x2, x3, 1008, x1) + +inst_263: +// rs1_val==46339 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2d, x3, 1012, x1) + +inst_264: +// rs1_val==46339 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0xb503, -0x2d, x3, 1016, x1) + +inst_265: +// rs1_val==46339 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x666, x3, 1020, x1) + +inst_266: +// rs1_val==46339 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x333, x3, 1024, x1) + +inst_267: +// rs1_val==46339 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x5, x3, 1028, x1) + +inst_268: +// rs1_val==46339 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x556, x3, 1032, x1) + +inst_269: +// rs1_val==46339 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x555, x3, 1036, x1) + +inst_270: +// rs1_val==46339 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x3, x3, 1040, x1) + +inst_271: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1044, x1) + +inst_272: +// rs1_val==0 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1048, x1) + +inst_273: +// rs1_val==0 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x0, 0x667, x3, 1052, x1) + +inst_274: +// rs1_val==0 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x334, 0x0, 0x334, x3, 1056, x1) + +inst_275: +// rs1_val==0 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x0, 0x6, x3, 1060, x1) + +inst_276: +// rs1_val==0 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1064, x1) + +inst_277: +// rs1_val==0 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x0, 0x556, x3, 1068, x1) + +inst_278: +// rs1_val==0 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x0, 0x4, x3, 1072, x1) + +inst_279: +// rs1_val==0 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1076, x1) + +inst_280: +// rs1_val==0 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x0, 0x0, 0x0, x3, 1080, x1) + +inst_281: +// rs1_val==0 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x0, 0x665, x3, 1084, x1) + +inst_282: +// rs1_val==0 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x332, 0x0, 0x332, x3, 1088, x1) + +inst_283: +// rs1_val==0 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x554, 0x0, 0x554, x3, 1092, x1) + +inst_284: +// rs1_val==0 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x0, 0x2, x3, 1096, x1) + +inst_285: +// rs1_val==0 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1100, x1) + +inst_286: +// rs1_val==0 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1104, x1) + +inst_287: +// rs1_val==0 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x0, 0x666, x3, 1108, x1) + +inst_288: +// rs1_val==0 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x0, 0x333, x3, 1112, x1) + +inst_289: +// rs1_val==0 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x0, 0x5, x3, 1116, x1) + +inst_290: +// rs1_val==0 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1120, x1) + +inst_291: +// rs1_val==0 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x0, 0x555, x3, 1124, x1) + +inst_292: +// rs1_val==0 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x0, 0x3, x3, 1128, x1) + +inst_293: +// rs1_val==1717986917 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666665, 0x2e, x3, 1132, x1) + +inst_294: +// rs1_val==1717986917 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff5, 0x66666665, -0x2c, x3, 1136, x1) + +inst_295: +// rs1_val==1717986917 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x667, x3, 1140, x1) + +inst_296: +// rs1_val==1717986917 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x334, x3, 1144, x1) + +inst_297: +// rs1_val==1717986917 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x6, x3, 1148, x1) + +inst_298: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x555, x3, 1152, x1) + +inst_299: +// rs1_val==1717986917 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x556, x3, 1156, x1) + +inst_300: +// rs1_val==1717986917 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x4, x3, 1160, x1) + +inst_301: +// rs1_val==1717986917 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2c, x3, 1164, x1) + +inst_302: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555556, -0x555, x3, 1168, x1) + +inst_303: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x556, x3, 1172, x1) + +inst_304: +// rs1_val==-1431655766 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1176, x1) + +inst_305: +// rs1_val==-1431655766 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2c, x3, 1180, x1) + +inst_306: +// rs1_val==-1431655766 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1184, x1) + +inst_307: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x665, x3, 1188, x1) + +inst_308: +// rs1_val==-1431655766 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabba, -0x55555556, 0x332, x3, 1192, x1) + +inst_309: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1196, x1) + +inst_310: +// rs1_val==-1431655766 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x2, x3, 1200, x1) + +inst_311: +// rs1_val==-1431655766 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x2d, x3, 1204, x1) + +inst_312: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555556, -0x2d, x3, 1208, x1) + +inst_313: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeee, -0x55555556, 0x666, x3, 1212, x1) + +inst_314: +// rs1_val==-1431655766 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555556, 0x333, x3, 1216, x1) + +inst_315: +// rs1_val==-1431655766 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1220, x1) + +inst_316: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, -0x55555556, -0x556, x3, 1224, x1) + +inst_317: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1228, x1) + +inst_318: +// rs1_val==-1431655766 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555556, 0x3, x3, 1232, x1) + +inst_319: +// rs1_val==1431655765 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555555, 0x2e, x3, 1236, x1) + +inst_320: +// rs1_val==1431655765 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x55555555, -0x2c, x3, 1240, x1) + +inst_321: +// rs1_val==1431655765 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x667, x3, 1244, x1) + +inst_322: +// rs1_val==1431655765 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x334, x3, 1248, x1) + +inst_323: +// rs1_val==1431655765 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x6, x3, 1252, x1) + +inst_324: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x555, x3, 1256, x1) + +inst_325: +// rs1_val==1431655765 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x556, x3, 1260, x1) + +inst_326: +// rs1_val==1431655765 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x4, x3, 1264, x1) + +inst_327: +// rs1_val==1431655765 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2c, x3, 1268, x1) + +inst_328: +// rs1_val==1431655765 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1272, x1) + +inst_329: +// rs1_val==1431655765 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x665, x3, 1276, x1) + +inst_330: +// rs1_val==1431655765 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x332, x3, 1280, x1) + +inst_331: +// rs1_val==1431655765 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x554, x3, 1284, x1) + +inst_332: +// rs1_val==1431655765 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1288, x1) + +inst_333: +// rs1_val==1431655765 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2d, x3, 1292, x1) + +inst_334: +// rs1_val==1431655765 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555555, -0x2d, x3, 1296, x1) + +inst_335: +// rs1_val==1431655765 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x666, x3, 1300, x1) + +inst_336: +// rs1_val==1431655765 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x333, x3, 1304, x1) + +inst_337: +// rs1_val==1431655765 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x5, x3, 1308, x1) + +inst_338: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x556, x3, 1312, x1) + +inst_339: +// rs1_val==1431655765 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x555, x3, 1316, x1) + +inst_340: +// rs1_val==1431655765 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x3, x3, 1320, x1) + +inst_341: +// rs1_val==3 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2e, x3, 1324, x1) + +inst_342: +// rs1_val==3 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1328, x1) + +inst_343: +// rs1_val==3 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x667, x3, 1332, x1) + +inst_344: +// rs1_val==3 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x3, 0x334, x3, 1336, x1) + +inst_345: +// rs1_val==3 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x6, x3, 1340, x1) + +inst_346: +// rs1_val==3 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x555, x3, 1344, x1) + +inst_347: +// rs1_val==3 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x556, x3, 1348, x1) + +inst_348: +// rs1_val==3 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x4, x3, 1352, x1) + +inst_349: +// rs1_val==3 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1356, x1) + +inst_350: +// rs1_val==3 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x0, x3, 1360, x1) + +inst_351: +// rs1_val==3 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x665, x3, 1364, x1) + +inst_352: +// rs1_val==3 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x332, x3, 1368, x1) + +inst_353: +// rs1_val==3 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x554, x3, 1372, x1) + +inst_354: +// rs1_val==3 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x2, x3, 1376, x1) + +inst_355: +// rs1_val==3 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2d, x3, 1380, x1) + +inst_356: +// rs1_val==3 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x3, -0x2d, x3, 1384, x1) + +inst_357: +// rs1_val==3 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x666, x3, 1388, x1) + +inst_358: +// rs1_val==3 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x333, x3, 1392, x1) + +inst_359: +// rs1_val==3 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x5, x3, 1396, x1) + +inst_360: +// rs1_val==3 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x556, x3, 1400, x1) + +inst_361: +// rs1_val==3 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x555, x3, 1404, x1) + +inst_362: +// rs1_val==3 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x3, x3, 1408, x1) + +inst_363: +// rs1_val==1717986917 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1412, x1) + +inst_364: +// rs1_val==1717986917 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x665, x3, 1416, x1) + +inst_365: +// rs1_val==1717986917 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x332, x3, 1420, x1) + +inst_366: +// rs1_val==1717986917 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x554, x3, 1424, x1) + +inst_367: +// rs1_val==1717986917 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1428, x1) + +inst_368: +// rs1_val==1717986917 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2d, x3, 1432, x1) + +inst_369: +// rs1_val==1717986917 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666665, -0x2d, x3, 1436, x1) + +inst_370: +// rs1_val==1717986917 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x666, x3, 1440, x1) + +inst_371: +// rs1_val==1717986917 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x333, x3, 1444, x1) + +inst_372: +// rs1_val==1717986917 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x5, x3, 1448, x1) + +inst_373: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x556, x3, 1452, x1) + +inst_374: +// rs1_val==1717986917 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x555, x3, 1456, x1) + +inst_375: +// rs1_val==1717986917 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x3, x3, 1460, x1) + +inst_376: +// rs1_val==858993458 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2e, x3, 1464, x1) + +inst_377: +// rs1_val==858993458 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x33333332, -0x2c, x3, 1468, x1) + +inst_378: +// rs1_val==858993458 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x667, x3, 1472, x1) + +inst_379: +// rs1_val==858993458 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x334, x3, 1476, x1) + +inst_380: +// rs1_val==858993458 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x6, x3, 1480, x1) + +inst_381: +// rs1_val==858993458 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333332, -0x555, x3, 1484, x1) + +inst_382: +// rs1_val==858993458 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x556, x3, 1488, x1) + +inst_383: +// rs1_val==858993458 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1492, x1) + +inst_384: +// rs1_val==858993458 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2c, x3, 1496, x1) + +inst_385: +// rs1_val==858993458 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1500, x1) + +inst_386: +// rs1_val==858993458 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x665, x3, 1504, x1) + +inst_387: +// rs1_val==858993458 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x332, x3, 1508, x1) + +inst_388: +// rs1_val==858993458 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x554, x3, 1512, x1) + +inst_389: +// rs1_val==858993458 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x2, x3, 1516, x1) + +inst_390: +// rs1_val==858993458 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333332, 0x2d, x3, 1520, x1) + +inst_391: +// rs1_val==858993458 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333332, -0x2d, x3, 1524, x1) + +inst_392: +// rs1_val==858993458 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x666, x3, 1528, x1) + +inst_393: +// rs1_val==858993458 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x333, x3, 1532, x1) + +inst_394: +// rs1_val==858993458 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1536, x1) + +inst_395: +// rs1_val==858993458 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbba, 0x33333332, -0x556, x3, 1540, x1) + +inst_396: +// rs1_val==858993458 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x555, x3, 1544, x1) + +inst_397: +// rs1_val==858993458 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x3, x3, 1548, x1) + +inst_398: +// rs1_val==1431655764 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555554, 0x2e, x3, 1552, x1) + +inst_399: +// rs1_val==1431655764 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x55555554, -0x2c, x3, 1556, x1) + +inst_400: +// rs1_val==1431655764 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x667, x3, 1560, x1) + +inst_401: +// rs1_val==1431655764 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555774, 0x55555554, 0x334, x3, 1564, x1) + +inst_402: +// rs1_val==1431655764 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x6, x3, 1568, x1) + +inst_403: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555554, -0x555, x3, 1572, x1) + +inst_404: +// rs1_val==1431655764 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x556, x3, 1576, x1) + +inst_405: +// rs1_val==1431655764 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x4, x3, 1580, x1) + +inst_406: +// rs1_val==1431655764 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557c, 0x55555554, 0x2c, x3, 1584, x1) + +inst_407: +// rs1_val==1431655764 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1588, x1) + +inst_408: +// rs1_val==1431655764 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555554, 0x665, x3, 1592, x1) + +inst_409: +// rs1_val==1431655764 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x332, x3, 1596, x1) + +inst_410: +// rs1_val==1431655764 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x554, x3, 1600, x1) + +inst_411: +// rs1_val==1431655764 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1604, x1) + +inst_412: +// rs1_val==1431655764 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555554, 0x2d, x3, 1608, x1) + +inst_413: +// rs1_val==1431655764 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555554, -0x2d, x3, 1612, x1) + +inst_414: +// rs1_val==1431655764 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x666, x3, 1616, x1) + +inst_415: +// rs1_val==1431655764 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x333, x3, 1620, x1) + +inst_416: +// rs1_val==1431655764 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x5, x3, 1624, x1) + +inst_417: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555554, -0x556, x3, 1628, x1) + +inst_418: +// rs1_val==1431655764 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x555, x3, 1632, x1) + +inst_419: +// rs1_val==1431655764 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1636, x1) + +inst_420: +// rs1_val==2 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2e, x3, 1640, x1) + +inst_421: +// rs1_val==2 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1644, x1) + +inst_422: +// rs1_val==2 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x667, x3, 1648, x1) + +inst_423: +// rs1_val==2 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x2, 0x334, x3, 1652, x1) + +inst_424: +// rs1_val==2 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x6, x3, 1656, x1) + +inst_425: +// rs1_val==2 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x2, -0x555, x3, 1660, x1) + +inst_426: +// rs1_val==2 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x556, x3, 1664, x1) + +inst_427: +// rs1_val==2 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x4, x3, 1668, x1) + +inst_428: +// rs1_val==2 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1672, x1) + +inst_429: +// rs1_val==2 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x0, x3, 1676, x1) + +inst_430: +// rs1_val==2 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x665, x3, 1680, x1) + +inst_431: +// rs1_val==2 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x332, 0x2, 0x332, x3, 1684, x1) + +inst_432: +// rs1_val==2 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x554, x3, 1688, x1) + +inst_433: +// rs1_val==2 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1692, x1) + +inst_434: +// rs1_val==2 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x2, -0x2d, x3, 1696, x1) + +inst_435: +// rs1_val==2 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x2, 0x666, x3, 1700, x1) + +inst_436: +// rs1_val==2 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x2, 0x333, x3, 1704, x1) + +inst_437: +// rs1_val==2 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x2, 0x5, x3, 1708, x1) + +inst_438: +// rs1_val==2 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x2, -0x556, x3, 1712, x1) + +inst_439: +// rs1_val==2 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x2, 0x555, x3, 1716, x1) + +inst_440: +// rs1_val==2 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x2, 0x3, x3, 1720, x1) + +inst_441: +// rs1_val==46340 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52e, 0xb504, 0x2e, x3, 1724, x1) + +inst_442: +// rs1_val==46340 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0xb504, -0x2c, x3, 1728, x1) + +inst_443: +// rs1_val==46340 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb504, 0x667, x3, 1732, x1) + +inst_444: +// rs1_val==46340 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb734, 0xb504, 0x334, x3, 1736, x1) + +inst_445: +// rs1_val==46340 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x6, x3, 1740, x1) + +inst_446: +// rs1_val==46340 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb504, -0x555, x3, 1744, x1) + +inst_447: +// rs1_val==46340 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb556, 0xb504, 0x556, x3, 1748, x1) + +inst_448: +// rs1_val==46340 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x4, x3, 1752, x1) + +inst_449: +// rs1_val==46340 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52c, 0xb504, 0x2c, x3, 1756, x1) + +inst_450: +// rs1_val==46340 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1760, x1) + +inst_451: +// rs1_val==46340 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb504, 0x665, x3, 1764, x1) + +inst_452: +// rs1_val==46340 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb736, 0xb504, 0x332, x3, 1768, x1) + +inst_453: +// rs1_val==46340 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb554, 0xb504, 0x554, x3, 1772, x1) + +inst_454: +// rs1_val==46340 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1776, x1) + +inst_455: +// rs1_val==46340 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb504, 0x2d, x3, 1780, x1) + +inst_456: +// rs1_val==46340 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb504, -0x2d, x3, 1784, x1) + +inst_457: +// rs1_val==46340 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb766, 0xb504, 0x666, x3, 1788, x1) + +inst_458: +// rs1_val==46340 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb504, 0x333, x3, 1792, x1) + +inst_459: +// rs1_val==46340 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb504, 0x5, x3, 1796, x1) + +inst_460: +// rs1_val==46340 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffae, 0xb504, -0x556, x3, 1800, x1) + +inst_461: +// rs1_val==46340 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb504, 0x555, x3, 1804, x1) + +inst_462: +// rs1_val==46340 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1808, x1) + +inst_463: +// rs1_val==-46340 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2e, x3, 1812, x1) + +inst_464: +// rs1_val==-46340 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffc, -0xb504, -0x2c, x3, 1816, x1) + +inst_465: +// rs1_val==-46340 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb504, 0x667, x3, 1820, x1) + +inst_466: +// rs1_val==-46340 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfc, -0xb504, 0x334, x3, 1824, x1) + +inst_467: +// rs1_val==-46340 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x6, x3, 1828, x1) + +inst_468: +// rs1_val==-46340 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb504, -0x555, x3, 1832, x1) + +inst_469: +// rs1_val==-46340 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffe, -0xb504, 0x556, x3, 1836, x1) + +inst_470: +// rs1_val==-46340 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x4, x3, 1840, x1) + +inst_471: +// rs1_val==-46340 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x2c, x3, 1844, x1) + +inst_472: +// rs1_val==-46340 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1848, x1) + +inst_473: +// rs1_val==-46340 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb504, 0x665, x3, 1852, x1) + +inst_474: +// rs1_val==-46340 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfe, -0xb504, 0x332, x3, 1856, x1) + +inst_475: +// rs1_val==-46340 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffc, -0xb504, 0x554, x3, 1860, x1) + +inst_476: +// rs1_val==-46340 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1864, x1) + +inst_477: +// rs1_val==-46340 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x2d, x3, 1868, x1) + +inst_478: +// rs1_val==-46340 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb504, -0x2d, x3, 1872, x1) + +inst_479: +// rs1_val==-46340 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffff4efe, -0xb504, 0x666, x3, 1876, x1) + +inst_480: +// rs1_val==-46340 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb504, 0x333, x3, 1880, x1) + +inst_481: +// rs1_val==-46340 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x5, x3, 1884, x1) + +inst_482: +// rs1_val==-46340 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffafe, -0xb504, -0x556, x3, 1888, x1) + +inst_483: +// rs1_val==-46340 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb504, 0x555, x3, 1892, x1) + +inst_484: +// rs1_val==-46340 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1896, x1) + +inst_485: +// rs1_val==1717986918 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2e, x3, 1900, x1) + +inst_486: +// rs1_val==1717986918 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x66666666, -0x2c, x3, 1904, x1) + +inst_487: +// rs1_val==1717986918 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x667, x3, 1908, x1) + +inst_488: +// rs1_val==1717986918 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x334, x3, 1912, x1) + +inst_489: +// rs1_val==1717986918 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x6, x3, 1916, x1) + +inst_490: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666666, -0x555, x3, 1920, x1) + +inst_491: +// rs1_val==1717986918 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x556, x3, 1924, x1) + +inst_492: +// rs1_val==1717986918 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x4, x3, 1928, x1) + +inst_493: +// rs1_val==1717986918 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2c, x3, 1932, x1) + +inst_494: +// rs1_val==1717986918 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1936, x1) + +inst_495: +// rs1_val==1717986918 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x665, x3, 1940, x1) + +inst_496: +// rs1_val==1717986918 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x332, x3, 1944, x1) + +inst_497: +// rs1_val==1717986918 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x554, x3, 1948, x1) + +inst_498: +// rs1_val==1717986918 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x2, x3, 1952, x1) + +inst_499: +// rs1_val==1717986918 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666666, 0x2d, x3, 1956, x1) + +inst_500: +// rs1_val==1717986918 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666666, -0x2d, x3, 1960, x1) + +inst_501: +// rs1_val==1717986918 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x666, x3, 1964, x1) + +inst_502: +// rs1_val==1717986918 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x333, x3, 1968, x1) + +inst_503: +// rs1_val==1717986918 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x5, x3, 1972, x1) + +inst_504: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeee, 0x66666666, -0x556, x3, 1976, x1) + +inst_505: +// rs1_val==1717986918 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x555, x3, 1980, x1) + +inst_506: +// rs1_val==1717986918 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x3, x3, 1984, x1) + +inst_507: +// rs1_val==858993459 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2e, x3, 1988, x1) + +inst_508: +// rs1_val==858993459 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333333, -0x2c, x3, 1992, x1) + +inst_509: +// rs1_val==858993459 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x667, x3, 1996, x1) + +inst_510: +// rs1_val==858993459 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x334, x3, 2000, x1) + +inst_511: +// rs1_val==858993459 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x6, x3, 2004, x1) + +inst_512: +// rs1_val==858993459 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x555, x3, 2008, x1) + +inst_513: +// rs1_val==858993459 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x556, x3, 2012, x1) + +inst_514: +// rs1_val==858993459 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2016, x1) + +inst_515: +// rs1_val==858993459 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2c, x3, 2020, x1) + +inst_516: +// rs1_val==858993459 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2024, x1) + +inst_517: +// rs1_val==858993459 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x665, x3, 2028, x1) + +inst_518: +// rs1_val==858993459 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x332, x3, 2032, x1) + +inst_519: +// rs1_val==858993459 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x554, x3, 2036, x1) + +inst_520: +// rs1_val==858993459 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x2, x3, 2040, x1) + +inst_521: +// rs1_val==858993459 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2d, x3, 2044, x1) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_522: +// rs1_val==858993459 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333333, -0x2d, x3, 0, x1) + +inst_523: +// rs1_val==858993459 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x666, x3, 4, x1) + +inst_524: +// rs1_val==858993459 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x333, x3, 8, x1) + +inst_525: +// rs1_val==858993459 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x5, x3, 12, x1) + +inst_526: +// rs1_val==858993459 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x556, x3, 16, x1) + +inst_527: +// rs1_val==858993459 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x555, x3, 20, x1) + +inst_528: +// rs1_val==858993459 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x3, x3, 24, x1) + +inst_529: +// rs1_val==5 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x5, 0x2e, x3, 28, x1) + +inst_530: +// rs1_val==5 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x5, -0x2c, x3, 32, x1) + +inst_531: +// rs1_val==5 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x667, x3, 36, x1) + +inst_532: +// rs1_val==5 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x335, 0x5, 0x334, x3, 40, x1) + +inst_533: +// rs1_val==5 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x6, x3, 44, x1) + +inst_534: +// rs1_val==5 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x555, x3, 48, x1) + +inst_535: +// rs1_val==5 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x5, 0x556, x3, 52, x1) + +inst_536: +// rs1_val==5 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x4, x3, 56, x1) + +inst_537: +// rs1_val==5 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2c, x3, 60, x1) + +inst_538: +// rs1_val==5 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x0, x3, 64, x1) + +inst_539: +// rs1_val==5 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x5, 0x665, x3, 68, x1) + +inst_540: +// rs1_val==5 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x332, x3, 72, x1) + +inst_541: +// rs1_val==5 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x554, x3, 76, x1) + +inst_542: +// rs1_val==5 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x2, x3, 80, x1) + +inst_543: +// rs1_val==5 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2d, x3, 84, x1) + +inst_544: +// rs1_val==5 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x5, -0x2d, x3, 88, x1) + +inst_545: +// rs1_val==5 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x666, x3, 92, x1) + +inst_546: +// rs1_val==5 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x333, x3, 96, x1) + +inst_547: +// rs1_val==5 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x5, x3, 100, x1) + +inst_548: +// rs1_val==5 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 104, x1) + +inst_549: +// rs1_val==5 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x555, x3, 108, x1) + +inst_550: +// rs1_val==5 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x3, x3, 112, x1) + +inst_551: +// rs1_val==-1431655766 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2e, x3, 116, x1) + +inst_552: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, -0x55555556, -0x2c, x3, 120, x1) + +inst_553: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x667, x3, 124, x1) + +inst_554: +// rs1_val==-1431655766 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbe, -0x55555556, 0x334, x3, 128, x1) + +inst_555: +// rs1_val==-1431655766 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x6, x3, 132, x1) + +inst_556: +// rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: ori ; op1:x10; dest:x11; op1val:0x7fffffff; immval:0x3ff +TEST_IMM_OP( ori, x11, x10, 0x7fffffff, 0x7fffffff, 0x3ff, x3, 136, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 35*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S new file mode 100644 index 000000000..3eaf9072c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S @@ -0,0 +1,466 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sb instruction of the RISC-V E extension for the sb-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sb-align) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2, rs1==x4, rs2==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 +// opcode: sb; op1:x4; op2:x0; op2val:0x0; immval:0x8; align:0 +TEST_STORE(x3,x5,0,x4,x0,0x0,0x8,0,sb,0) + +inst_1: +// rs1==x8, rs2==x11, rs2_val == 2147483647, imm_val < 0, ea_align == 0 and (imm_val % 4) == 3, rs2_val == (2**(xlen-1)-1) +// opcode: sb; op1:x8; op2:x11; op2val:0x7fffffff; immval:-0x41; align:0 +TEST_STORE(x3,x5,0,x8,x11,0x7fffffff,-0x41,4,sb,0) + +inst_2: +// rs1==x1, rs2==x15, rs2_val == -1073741825, +// opcode: sb; op1:x1; op2:x15; op2val:-0x40000001; immval:-0x11; align:0 +TEST_STORE(x3,x5,0,x1,x15,-0x40000001,-0x11,8,sb,0) + +inst_3: +// rs1==x14, rs2==x2, rs2_val == -536870913, +// opcode: sb; op1:x14; op2:x2; op2val:-0x20000001; immval:-0x101; align:0 +TEST_STORE(x3,x5,0,x14,x2,-0x20000001,-0x101,12,sb,0) + +inst_4: +// rs1==x15, rs2==x8, rs2_val == -268435457, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sb; op1:x15; op2:x8; op2val:-0x10000001; immval:-0x3; align:0 +TEST_STORE(x3,x5,0,x15,x8,-0x10000001,-0x3,16,sb,0) + +inst_5: +// rs1==x6, rs2==x7, rs2_val == -134217729, +// opcode: sb; op1:x6; op2:x7; op2val:-0x8000001; immval:-0x8; align:0 +TEST_STORE(x3,x5,0,x6,x7,-0x8000001,-0x8,20,sb,0) + +inst_6: +// rs1==x2, rs2==x10, rs2_val == -67108865, +// opcode: sb; op1:x2; op2:x10; op2val:-0x4000001; immval:0x7ff; align:0 +TEST_STORE(x3,x5,0,x2,x10,-0x4000001,0x7ff,24,sb,0) + +inst_7: +// rs1==x13, rs2==x6, rs2_val == -33554433, +// opcode: sb; op1:x13; op2:x6; op2val:-0x2000001; immval:0x555; align:0 +TEST_STORE(x3,x5,0,x13,x6,-0x2000001,0x555,28,sb,0) + +inst_8: +// rs1==x7, rs2==x4, rs2_val == -16777217, +// opcode: sb; op1:x7; op2:x4; op2val:-0x1000001; immval:0x100; align:0 +TEST_STORE(x3,x2,0,x7,x4,-0x1000001,0x100,32,sb,0) + +inst_9: +// rs1==x9, rs2==x1, rs2_val == -8388609, +// opcode: sb; op1:x9; op2:x1; op2val:-0x800001; immval:-0x3; align:0 +TEST_STORE(x3,x2,0,x9,x1,-0x800001,-0x3,36,sb,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x10, rs2==x12, rs2_val == -4194305, +// opcode: sb; op1:x10; op2:x12; op2val:-0x400001; immval:0x1; align:0 +TEST_STORE(x1,x2,0,x10,x12,-0x400001,0x1,0,sb,0) + +inst_11: +// rs1==x3, rs2==x13, rs2_val == -2097153, +// opcode: sb; op1:x3; op2:x13; op2val:-0x200001; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x3,x13,-0x200001,0x80,4,sb,0) + +inst_12: +// rs1==x11, rs2==x5, rs2_val == -1048577, +// opcode: sb; op1:x11; op2:x5; op2val:-0x100001; immval:-0x401; align:0 +TEST_STORE(x1,x2,0,x11,x5,-0x100001,-0x401,8,sb,0) + +inst_13: +// rs1==x5, rs2==x9, rs2_val == -524289, +// opcode: sb; op1:x5; op2:x9; op2val:-0x80001; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x5,x9,-0x80001,-0x800,12,sb,0) + +inst_14: +// rs1==x12, rs2==x3, rs2_val == -262145, +// opcode: sb; op1:x12; op2:x3; op2val:-0x40001; immval:-0x9; align:0 +TEST_STORE(x1,x2,0,x12,x3,-0x40001,-0x9,16,sb,0) + +inst_15: +// rs2==x14, rs2_val == -131073, +// opcode: sb; op1:x4; op2:x14; op2val:-0x20001; immval:0x8; align:0 +TEST_STORE(x1,x2,0,x4,x14,-0x20001,0x8,20,sb,0) + +inst_16: +// rs2_val == -65537, +// opcode: sb; op1:x10; op2:x11; op2val:-0x10001; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x10001,-0x800,24,sb,0) + +inst_17: +// rs2_val == -32769, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8001; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x9,28,sb,0) + +inst_18: +// rs2_val == -16385, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sb; op1:x10; op2:x11; op2val:-0x4001; immval:-0x556; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x4001,-0x556,32,sb,0) + +inst_19: +// rs2_val == -8193, +// opcode: sb; op1:x10; op2:x11; op2val:-0x2001; immval:0x7ff; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x7ff,36,sb,0) + +inst_20: +// rs2_val == -4097, +// opcode: sb; op1:x10; op2:x11; op2val:-0x1001; immval:0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x7,40,sb,0) + +inst_21: +// rs2_val == -2049, +// opcode: sb; op1:x10; op2:x11; op2val:-0x801; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x801,-0x800,44,sb,0) + +inst_22: +// rs2_val == -1025, +// opcode: sb; op1:x10; op2:x11; op2val:-0x401; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x401,0x9,48,sb,0) + +inst_23: +// rs2_val == -513, +// opcode: sb; op1:x10; op2:x11; op2val:-0x201; immval:-0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x201,-0x3,52,sb,0) + +inst_24: +// rs2_val == -257, +// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x101,-0x41,56,sb,0) + +inst_25: +// rs2_val == -129, +// opcode: sb; op1:x10; op2:x11; op2val:-0x81; immval:0x2; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x81,0x2,60,sb,0) + +inst_26: +// rs2_val == -65, +// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x41,-0xa,64,sb,0) + +inst_27: +// rs2_val == -33, +// opcode: sb; op1:x10; op2:x11; op2val:-0x21; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x21,-0x41,68,sb,0) + +inst_28: +// rs2_val == -17, +// opcode: sb; op1:x10; op2:x11; op2val:-0x11; immval:0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x11,0x3,72,sb,0) + +inst_29: +// rs2_val == -9, +// opcode: sb; op1:x10; op2:x11; op2val:-0x9; immval:0x10; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x9,0x10,76,sb,0) + +inst_30: +// rs2_val == -5, +// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:-0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x5,-0x7,80,sb,0) + +inst_31: +// rs2_val == -3, +// opcode: sb; op1:x10; op2:x11; op2val:-0x3; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x3,0x555,84,sb,0) + +inst_32: +// rs2_val == -2, +// opcode: sb; op1:x10; op2:x11; op2val:-0x2; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x2,-0xa,88,sb,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sb; op1:x10; op2:x11; op2val:-0x80000000; immval:-0x8; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x80000000,-0x8,92,sb,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sb; op1:x10; op2:x11; op2val:0x40000000; immval:-0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40000000,-0x5,96,sb,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sb; op1:x10; op2:x11; op2val:0x20000000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20000000,-0x41,100,sb,0) + +inst_36: +// rs2_val == 268435456, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0xa,104,sb,0) + +inst_37: +// rs2_val == 134217728, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000000; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x555,108,sb,0) + +inst_38: +// rs2_val == 67108864, +// opcode: sb; op1:x10; op2:x11; op2val:0x4000000; immval:-0x81; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4000000,-0x81,112,sb,0) + +inst_39: +// rs2_val == 33554432, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,116,sb,0) + +inst_40: +// rs2_val == 16777216, +// opcode: sb; op1:x10; op2:x11; op2val:0x1000000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1000000,-0x41,120,sb,0) + +inst_41: +// rs2_val == 8388608, +// opcode: sb; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x800000,-0x5,124,sb,0) + +inst_42: +// rs2_val == 4194304, +// opcode: sb; op1:x10; op2:x11; op2val:0x400000; immval:-0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x400000,-0x9,128,sb,0) + +inst_43: +// rs2_val == 2097152, +// opcode: sb; op1:x10; op2:x11; op2val:0x200000; immval:0x7ff; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x200000,0x7ff,132,sb,0) + +inst_44: +// rs2_val == 1048576, +// opcode: sb; op1:x10; op2:x11; op2val:0x100000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x100000,-0x41,136,sb,0) + +inst_45: +// rs2_val == 524288, +// opcode: sb; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x80000,-0x3,140,sb,0) + +inst_46: +// rs2_val == 262144, +// opcode: sb; op1:x10; op2:x11; op2val:0x40000; immval:0x6; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40000,0x6,144,sb,0) + +inst_47: +// rs2_val == 131072, +// opcode: sb; op1:x10; op2:x11; op2val:0x20000; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20000,0x80,148,sb,0) + +inst_48: +// rs2_val == 65536, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x10000,0x555,152,sb,0) + +inst_49: +// rs2_val == 32768, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x8000,0x5,156,sb,0) + +inst_50: +// rs2_val == 1, +// opcode: sb; op1:x10; op2:x11; op2val:0x1; immval:0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1,0x400,160,sb,0) + +inst_51: +// rs2_val == -1431655766, +// opcode: sb; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x6; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x55555556,-0x6,164,sb,0) + +inst_52: +// rs2_val == 1431655765, +// opcode: sb; op1:x10; op2:x11; op2val:0x55555555; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x555,168,sb,0) + +inst_53: +// ea_align == 1 and (imm_val % 4) == 0, +// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:0x20; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x5,0x20,172,sb,1) + +inst_54: +// ea_align == 1 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:0x5; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x101,0x5,176,sb,1) + +inst_55: +// ea_align == 1 and (imm_val % 4) == 2, rs2_val == 8 +// opcode: sb; op1:x10; op2:x11; op2val:0x8; immval:-0x2; align:1 +TEST_STORE(x1,x2,0,x10,x11,0x8,-0x2,180,sb,1) + +inst_56: +// ea_align == 1 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:-0x201; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x8,-0x201,184,sb,1) + +inst_57: +// ea_align == 2 and (imm_val % 4) == 0, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:2 +TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,188,sb,2) + +inst_58: +// ea_align == 2 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:0x9; align:2 +TEST_STORE(x1,x2,0,x10,x11,-0x41,0x9,192,sb,2) + +inst_59: +// ea_align == 2 and (imm_val % 4) == 2, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:0x2; align:2 +TEST_STORE(x1,x2,0,x10,x11,-0x8,0x2,196,sb,2) + +inst_60: +// ea_align == 2 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:0x9; immval:-0x5; align:2 +TEST_STORE(x1,x2,0,x10,x11,0x9,-0x5,200,sb,2) + +inst_61: +// ea_align == 3 and (imm_val % 4) == 0, rs2_val == 16 +// opcode: sb; op1:x10; op2:x11; op2val:0x10; immval:0x400; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x10,0x400,204,sb,3) + +inst_62: +// ea_align == 3 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x200001; immval:0x555; align:3 +TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x555,208,sb,3) + +inst_63: +// ea_align == 3 and (imm_val % 4) == 2, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0x556; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0x556,212,sb,3) + +inst_64: +// rs2_val == 64, +// opcode: sb; op1:x10; op2:x11; op2val:0x40; immval:0x20; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40,0x20,216,sb,0) + +inst_65: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x3ff; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x8000,0x3ff,220,sb,3) + +inst_66: +// rs2_val == 16384, imm_val == 0 +// opcode: sb; op1:x10; op2:x11; op2val:0x4000; immval:0x0; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4000,0x0,224,sb,0) + +inst_67: +// rs2_val == 8192, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000; immval:0x40; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2000,0x40,228,sb,0) + +inst_68: +// rs2_val == 4096, +// opcode: sb; op1:x10; op2:x11; op2val:0x1000; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1000,0x9,232,sb,0) + +inst_69: +// rs2_val == 2048, +// opcode: sb; op1:x10; op2:x11; op2val:0x800; immval:-0x556; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x800,-0x556,236,sb,0) + +inst_70: +// rs2_val == 512, +// opcode: sb; op1:x10; op2:x11; op2val:0x200; immval:0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x200,0x5,240,sb,0) + +inst_71: +// rs2_val == 1024, +// opcode: sb; op1:x10; op2:x11; op2val:0x400; immval:-0x11; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x400,-0x11,244,sb,0) + +inst_72: +// rs2_val == 0, +// opcode: sb; op1:x10; op2:x11; op2val:0x0; immval:-0x11; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x0,-0x11,248,sb,0) + +inst_73: +// rs2_val == 256, +// opcode: sb; op1:x10; op2:x11; op2val:0x100; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x100,0x80,252,sb,0) + +inst_74: +// rs2_val == 128, +// opcode: sb; op1:x10; op2:x11; op2val:0x80; immval:0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x80,0x7,256,sb,0) + +inst_75: +// rs2_val == 32, +// opcode: sb; op1:x10; op2:x11; op2val:0x20; immval:0x200; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20,0x200,260,sb,0) + +inst_76: +// rs2_val == 4, +// opcode: sb; op1:x10; op2:x11; op2val:0x4; immval:0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4,0x3,264,sb,0) + +inst_77: +// rs2_val == 2, +// opcode: sb; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2,0x400,268,sb,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 68*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S new file mode 100644 index 000000000..86d7c2781 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S @@ -0,0 +1,436 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sh instruction of the RISC-V E extension for the sh-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sh-align) + +RVTEST_SIGBASE( x8,signature_x8_1) + +inst_0: +// rs1 != rs2, rs1==x6, rs2==x4, ea_align == 0 and (imm_val % 4) == 0, rs2_val == 1, imm_val > 0 +// opcode: sh; op1:x6; op2:x4; op2val:0x1; immval:0x4; align:0 +TEST_STORE(x8,x12,0,x6,x4,0x1,0x4,0,sh,0) + +inst_1: +// rs1==x10, rs2==x5, rs2_val == 2147483647, imm_val == 0, rs2_val == (2**(xlen-1)-1) +// opcode: sh; op1:x10; op2:x5; op2val:0x7fffffff; immval:0x0; align:0 +TEST_STORE(x8,x12,0,x10,x5,0x7fffffff,0x0,4,sh,0) + +inst_2: +// rs1==x9, rs2==x10, rs2_val == -1073741825, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sh; op1:x9; op2:x10; op2val:-0x40000001; immval:0x2; align:0 +TEST_STORE(x8,x12,0,x9,x10,-0x40000001,0x2,8,sh,0) + +inst_3: +// rs1==x2, rs2==x11, rs2_val == -536870913, imm_val < 0 +// opcode: sh; op1:x2; op2:x11; op2val:-0x20000001; immval:-0x8; align:0 +TEST_STORE(x8,x12,0,x2,x11,-0x20000001,-0x8,12,sh,0) + +inst_4: +// rs1==x13, rs2==x1, rs2_val == -268435457, +// opcode: sh; op1:x13; op2:x1; op2val:-0x10000001; immval:0x8; align:0 +TEST_STORE(x8,x12,0,x13,x1,-0x10000001,0x8,16,sh,0) + +inst_5: +// rs1==x7, rs2==x3, rs2_val == -134217729, +// opcode: sh; op1:x7; op2:x3; op2val:-0x8000001; immval:0x80; align:0 +TEST_STORE(x8,x12,0,x7,x3,-0x8000001,0x80,20,sh,0) + +inst_6: +// rs1==x3, rs2==x6, rs2_val == -67108865, +// opcode: sh; op1:x3; op2:x6; op2val:-0x4000001; immval:0x6; align:0 +TEST_STORE(x8,x9,0,x3,x6,-0x4000001,0x6,24,sh,0) + +inst_7: +// rs1==x12, rs2==x2, rs2_val == -33554433, ea_align == 0 and (imm_val % 4) == 3 +// opcode: sh; op1:x12; op2:x2; op2val:-0x2000001; immval:0x7; align:0 +TEST_STORE(x8,x9,0,x12,x2,-0x2000001,0x7,28,sh,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x5, rs2==x13, rs2_val == -16777217, +// opcode: sh; op1:x5; op2:x13; op2val:-0x1000001; immval:-0x800; align:0 +TEST_STORE(x2,x9,0,x5,x13,-0x1000001,-0x800,0,sh,0) + +inst_9: +// rs1==x1, rs2==x15, rs2_val == -8388609, +// opcode: sh; op1:x1; op2:x15; op2val:-0x800001; immval:0x3; align:0 +TEST_STORE(x2,x9,0,x1,x15,-0x800001,0x3,4,sh,0) + +inst_10: +// rs1==x15, rs2==x7, rs2_val == -4194305, +// opcode: sh; op1:x15; op2:x7; op2val:-0x400001; immval:0x40; align:0 +TEST_STORE(x2,x9,0,x15,x7,-0x400001,0x40,8,sh,0) + +inst_11: +// rs1==x14, rs2==x12, rs2_val == -2097153, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sh; op1:x14; op2:x12; op2val:-0x200001; immval:0x5; align:0 +TEST_STORE(x2,x9,0,x14,x12,-0x200001,0x5,12,sh,0) + +inst_12: +// rs1==x4, rs2==x14, rs2_val == -1048577, +// opcode: sh; op1:x4; op2:x14; op2val:-0x100001; immval:-0x41; align:0 +TEST_STORE(x2,x9,0,x4,x14,-0x100001,-0x41,16,sh,0) + +inst_13: +// rs1==x11, rs2==x0, rs2_val == -524289, +// opcode: sh; op1:x11; op2:x0; op2val:0x0; immval:-0x5; align:0 +TEST_STORE(x2,x3,0,x11,x0,0x0,-0x5,20,sh,0) + +inst_14: +// rs1==x8, rs2==x9, rs2_val == -262145, +// opcode: sh; op1:x8; op2:x9; op2val:-0x40001; immval:-0x400; align:0 +TEST_STORE(x2,x3,0,x8,x9,-0x40001,-0x400,24,sh,0) + +inst_15: +// rs2==x8, rs2_val == -131073, +// opcode: sh; op1:x11; op2:x8; op2val:-0x20001; immval:0x20; align:0 +TEST_STORE(x2,x3,0,x11,x8,-0x20001,0x20,28,sh,0) + +inst_16: +// rs2_val == -65537, +// opcode: sh; op1:x10; op2:x11; op2val:-0x10001; immval:-0x9; align:0 +TEST_STORE(x2,x3,0,x10,x11,-0x10001,-0x9,32,sh,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs2_val == -32769, +// opcode: sh; op1:x10; op2:x11; op2val:-0x8001; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x9,0,sh,0) + +inst_18: +// rs2_val == -16385, +// opcode: sh; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,4,sh,0) + +inst_19: +// rs2_val == -8193, +// opcode: sh; op1:x10; op2:x11; op2val:-0x2001; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2001,-0x2,8,sh,0) + +inst_20: +// rs2_val == -4097, +// opcode: sh; op1:x10; op2:x11; op2val:-0x1001; immval:0x10; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x10,12,sh,0) + +inst_21: +// rs2_val == -2049, +// opcode: sh; op1:x10; op2:x11; op2val:-0x801; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x801,-0x556,16,sh,0) + +inst_22: +// rs2_val == -1025, +// opcode: sh; op1:x10; op2:x11; op2val:-0x401; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x8,20,sh,0) + +inst_23: +// rs2_val == -513, +// opcode: sh; op1:x10; op2:x11; op2val:-0x201; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x201,-0x800,24,sh,0) + +inst_24: +// rs2_val == -257, +// opcode: sh; op1:x10; op2:x11; op2val:-0x101; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x2,28,sh,0) + +inst_25: +// rs2_val == -129, +// opcode: sh; op1:x10; op2:x11; op2val:-0x81; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x81,0x40,32,sh,0) + +inst_26: +// rs2_val == -65, +// opcode: sh; op1:x10; op2:x11; op2val:-0x41; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x41,0x100,36,sh,0) + +inst_27: +// rs2_val == -33, +// opcode: sh; op1:x10; op2:x11; op2val:-0x21; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x21,-0x81,40,sh,0) + +inst_28: +// rs2_val == -17, +// opcode: sh; op1:x10; op2:x11; op2val:-0x11; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x11,-0x401,44,sh,0) + +inst_29: +// rs2_val == -9, +// opcode: sh; op1:x10; op2:x11; op2val:-0x9; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x9,-0x800,48,sh,0) + +inst_30: +// rs2_val == -5, +// opcode: sh; op1:x10; op2:x11; op2val:-0x5; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x5,-0x800,52,sh,0) + +inst_31: +// rs2_val == -3, +// opcode: sh; op1:x10; op2:x11; op2val:-0x3; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x3,0x200,56,sh,0) + +inst_32: +// rs2_val == -2, +// opcode: sh; op1:x10; op2:x11; op2val:-0x2; immval:-0x21; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x21,60,sh,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sh; op1:x10; op2:x11; op2val:-0x80000000; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x40,64,sh,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sh; op1:x10; op2:x11; op2val:0x40000000; immval:-0x101; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x101,68,sh,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sh; op1:x10; op2:x11; op2val:0x20000000; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000000,0x2,72,sh,0) + +inst_36: +// rs2_val == 268435456, +// opcode: sh; op1:x10; op2:x11; op2val:0x10000000; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x401,76,sh,0) + +inst_37: +// rs2_val == 134217728, +// opcode: sh; op1:x10; op2:x11; op2val:0x8000000; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000000,-0xa,80,sh,0) + +inst_38: +// rs2_val == 67108864, +// opcode: sh; op1:x10; op2:x11; op2val:0x4000000; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000000,0x200,84,sh,0) + +inst_39: +// rs2_val == 33554432, +// opcode: sh; op1:x10; op2:x11; op2val:0x2000000; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000000,-0x81,88,sh,0) + +inst_40: +// rs2_val == -1431655766, +// opcode: sh; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,92,sh,0) + +inst_41: +// rs2_val == 1431655765, +// opcode: sh; op1:x10; op2:x11; op2val:0x55555555; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x55555555,-0x9,96,sh,0) + +inst_42: +// ea_align == 2 and (imm_val % 4) == 0, +// opcode: sh; op1:x10; op2:x11; op2val:-0x1000001; immval:-0x400; align:2 +TEST_STORE(x1,x3,0,x10,x11,-0x1000001,-0x400,100,sh,2) + +inst_43: +// ea_align == 2 and (imm_val % 4) == 1, rs2_val == 2048 +// opcode: sh; op1:x10; op2:x11; op2val:0x800; immval:-0x7; align:2 +TEST_STORE(x1,x3,0,x10,x11,0x800,-0x7,104,sh,2) + +inst_44: +// ea_align == 2 and (imm_val % 4) == 2, +// opcode: sh; op1:x10; op2:x11; op2val:0x3; immval:-0x556; align:2 +TEST_STORE(x1,x3,0,x10,x11,0x3,-0x556,108,sh,2) + +inst_45: +// ea_align == 2 and (imm_val % 4) == 3, +// opcode: sh; op1:x10; op2:x11; op2val:-0x6; immval:-0x1; align:2 +TEST_STORE(x1,x3,0,x10,x11,-0x6,-0x1,112,sh,2) + +inst_46: +// rs2_val == 0, +// opcode: sh; op1:x10; op2:x11; op2val:0x0; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x0,-0x81,116,sh,0) + +inst_47: +// rs2_val == 16777216, +// opcode: sh; op1:x10; op2:x11; op2val:0x1000000; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000000,-0x9,120,sh,0) + +inst_48: +// rs2_val == 8388608, +// opcode: sh; op1:x10; op2:x11; op2val:0x800000; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x2,124,sh,0) + +inst_49: +// rs2_val == 4194304, +// opcode: sh; op1:x10; op2:x11; op2val:0x400000; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400000,-0x11,128,sh,0) + +inst_50: +// rs2_val == 2097152, +// opcode: sh; op1:x10; op2:x11; op2val:0x200000; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200000,0x9,132,sh,0) + +inst_51: +// rs2_val == 1048576, +// opcode: sh; op1:x10; op2:x11; op2val:0x100000; immval:0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100000,0x4,136,sh,0) + +inst_52: +// rs2_val == 524288, +// opcode: sh; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80000,-0x3,140,sh,0) + +inst_53: +// rs2_val == 262144, +// opcode: sh; op1:x10; op2:x11; op2val:0x40000; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000,0x100,144,sh,0) + +inst_54: +// rs2_val == 131072, +// opcode: sh; op1:x10; op2:x11; op2val:0x20000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x201,148,sh,0) + +inst_55: +// rs2_val == 65536, +// opcode: sh; op1:x10; op2:x11; op2val:0x10000; immval:-0x6; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x6,152,sh,0) + +inst_56: +// rs2_val == 32768, +// opcode: sh; op1:x10; op2:x11; op2val:0x8000; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000,0x100,156,sh,0) + +inst_57: +// rs2_val == 16384, +// opcode: sh; op1:x10; op2:x11; op2val:0x4000; immval:-0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x400,160,sh,0) + +inst_58: +// rs2_val == 8192, +// opcode: sh; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,164,sh,0) + +inst_59: +// rs2_val == 4096, +// opcode: sh; op1:x10; op2:x11; op2val:0x1000; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000,-0x3,168,sh,0) + +inst_60: +// rs2_val == 1024, +// opcode: sh; op1:x10; op2:x11; op2val:0x400; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400,-0x81,172,sh,0) + +inst_61: +// rs2_val == 512, +// opcode: sh; op1:x10; op2:x11; op2val:0x200; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200,0x40,176,sh,0) + +inst_62: +// rs2_val == 256, +// opcode: sh; op1:x10; op2:x11; op2val:0x100; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100,-0x800,180,sh,0) + +inst_63: +// rs2_val == 128, +// opcode: sh; op1:x10; op2:x11; op2val:0x80; immval:-0x6; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80,-0x6,184,sh,0) + +inst_64: +// rs2_val == 64, +// opcode: sh; op1:x10; op2:x11; op2val:0x40; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40,-0x11,188,sh,0) + +inst_65: +// rs2_val == 32, +// opcode: sh; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,192,sh,0) + +inst_66: +// rs2_val == 16, +// opcode: sh; op1:x10; op2:x11; op2val:0x10; immval:-0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10,-0x7,196,sh,0) + +inst_67: +// rs2_val == 8, +// opcode: sh; op1:x10; op2:x11; op2val:0x8; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8,-0x3,200,sh,0) + +inst_68: +// rs2_val == 4, +// opcode: sh; op1:x10; op2:x11; op2val:0x4; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4,-0x4,204,sh,0) + +inst_69: +// rs2_val == 2, +// opcode: sh; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2,0x400,208,sh,0) + +inst_70: +// rs2_val == -524289, +// opcode: sh; op1:x10; op2:x11; op2val:-0x80001; immval:-0x5; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80001,-0x5,212,sh,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x8_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x8_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 54*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S new file mode 100644 index 000000000..f219b7af4 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S @@ -0,0 +1,521 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sll instruction of the RISC-V E extension for the sll covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sll) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x13, rd==x1, rs1_val < 0 and rs2_val == 0, +// opcode: sll ; op1:x6; op2:x13; dest:x1; op1val:-0x40000000; op2val:0x0 +TEST_RR_OP(sll, x1, x6, x13, 0xc0000000, -0x40000000, 0x0, x2, 0, x7) + +inst_1: +// rs1 == rd != rs2, rs1==x4, rs2==x1, rd==x4, rs2_val == 15, rs1_val == -17, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x4; op2:x1; dest:x4; op1val:-0x11; op2val:0xf +TEST_RR_OP(sll, x4, x4, x1, 0xfff78000, -0x11, 0xf, x2, 4, x7) + +inst_2: +// rs2 == rd != rs1, rs1==x9, rs2==x11, rd==x11, rs2_val == 23, rs1_val == -257 +// opcode: sll ; op1:x9; op2:x11; dest:x11; op1val:-0x101; op2val:0x17 +TEST_RR_OP(sll, x11, x9, x11, 0x7f800000, -0x101, 0x17, x2, 8, x7) + +inst_3: +// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x9, rs2_val == 27, rs1_val==-46340 +// opcode: sll ; op1:x12; op2:x12; dest:x9; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(sll, x9, x12, x12, 0xc0000000, -0xb504, -0xb504, x2, 12, x7) + +inst_4: +// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 +TEST_RR_OP(sll, x0, x0, x0, 0, 0x0, 0x0, x2, 16, x7) + +inst_5: +// rs1==x5, rs2==x4, rd==x15, rs2_val == 30, rs1_val == -65 +// opcode: sll ; op1:x5; op2:x4; dest:x15; op1val:-0x41; op2val:0x1e +TEST_RR_OP(sll, x15, x5, x4, 0xc0000000, -0x41, 0x1e, x2, 20, x7) + +inst_6: +// rs1==x1, rs2==x10, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x1; op2:x10; dest:x3; op1val:0x7fffffff; op2val:0x9 +TEST_RR_OP(sll, x3, x1, x10, 0xfffffe00, 0x7fffffff, 0x9, x2, 24, x7) + +inst_7: +// rs1==x8, rs2==x15, rd==x10, rs1_val == -1073741825, +// opcode: sll ; op1:x8; op2:x15; dest:x10; op1val:-0x40000001; op2val:0x11 +TEST_RR_OP(sll, x10, x8, x15, 0xfffe0000, -0x40000001, 0x11, x2, 28, x4) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_8: +// rs1==x13, rs2==x6, rd==x12, rs1_val == -536870913, rs2_val == 1 +// opcode: sll ; op1:x13; op2:x6; dest:x12; op1val:-0x20000001; op2val:0x1 +TEST_RR_OP(sll, x12, x13, x6, 0xbffffffe, -0x20000001, 0x1, x1, 0, x4) + +inst_9: +// rs1==x3, rs2==x9, rd==x5, rs1_val == -268435457, +// opcode: sll ; op1:x3; op2:x9; dest:x5; op1val:-0x10000001; op2val:0xe +TEST_RR_OP(sll, x5, x3, x9, 0xffffc000, -0x10000001, 0xe, x1, 4, x4) + +inst_10: +// rs1==x7, rs2==x2, rd==x13, rs1_val == -134217729, +// opcode: sll ; op1:x7; op2:x2; dest:x13; op1val:-0x8000001; op2val:0x1e +TEST_RR_OP(sll, x13, x7, x2, 0xc0000000, -0x8000001, 0x1e, x1, 8, x4) + +inst_11: +// rs1==x11, rs2==x7, rd==x8, rs1_val == -67108865, +// opcode: sll ; op1:x11; op2:x7; dest:x8; op1val:-0x4000001; op2val:0x17 +TEST_RR_OP(sll, x8, x11, x7, 0xff800000, -0x4000001, 0x17, x1, 12, x4) + +inst_12: +// rs1==x10, rs2==x14, rd==x7, rs1_val == -33554433, rs2_val == 8 +// opcode: sll ; op1:x10; op2:x14; dest:x7; op1val:-0x2000001; op2val:0x8 +TEST_RR_OP(sll, x7, x10, x14, 0xffffff00, -0x2000001, 0x8, x1, 16, x4) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_13: +// rs1==x14, rs2==x8, rd==x6, rs1_val == -16777217, +// opcode: sll ; op1:x14; op2:x8; dest:x6; op1val:-0x1000001; op2val:0xd +TEST_RR_OP(sll, x6, x14, x8, 0xffffe000, -0x1000001, 0xd, x1, 0, x4) + +inst_14: +// rs1==x15, rs2==x3, rd==x2, rs1_val == -8388609, rs2_val == 21 +// opcode: sll ; op1:x15; op2:x3; dest:x2; op1val:-0x800001; op2val:0x15 +TEST_RR_OP(sll, x2, x15, x3, 0xffe00000, -0x800001, 0x15, x1, 4, x4) + +inst_15: +// rs1==x2, rs2==x5, rd==x14, rs1_val == -4194305, +// opcode: sll ; op1:x2; op2:x5; dest:x14; op1val:-0x400001; op2val:0xb +TEST_RR_OP(sll, x14, x2, x5, 0xfffff800, -0x400001, 0xb, x1, 8, x4) + +inst_16: +// rs1_val == -2097153, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xb +TEST_RR_OP(sll, x12, x10, x11, 0xfffff800, -0x200001, 0xb, x1, 12, x4) + +inst_17: +// rs1_val == -1048577, rs2_val == 2 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xffbffffc, -0x100001, 0x2, x1, 16, x2) + +inst_18: +// rs1_val == -524289, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x9 +TEST_RR_OP(sll, x12, x10, x11, 0xeffffe00, -0x80001, 0x9, x1, 20, x2) + +inst_19: +// rs1_val == -262145, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xf +TEST_RR_OP(sll, x12, x10, x11, 0xffff8000, -0x40001, 0xf, x1, 24, x2) + +inst_20: +// rs1_val == -131073, rs2_val == 10 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0xf7fffc00, -0x20001, 0xa, x1, 28, x2) + +inst_21: +// rs1_val == -65537, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xfffbfffc, -0x10001, 0x2, x1, 32, x2) + +inst_22: +// rs1_val == -32769, rs2_val == 4 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0xfff7fff0, -0x8001, 0x4, x1, 36, x2) + +inst_23: +// rs1_val == -16385, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0xffffbfff, -0x4001, 0x0, x1, 40, x2) + +inst_24: +// rs1_val == -8193, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xd +TEST_RR_OP(sll, x12, x10, x11, 0xfbffe000, -0x2001, 0xd, x1, 44, x2) + +inst_25: +// rs1_val == -4097, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1e +TEST_RR_OP(sll, x12, x10, x11, 0xc0000000, -0x1001, 0x1e, x1, 48, x2) + +inst_26: +// rs1_val == -2049, rs2_val == 16 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xf7ff0000, -0x801, 0x10, x1, 52, x2) + +inst_27: +// rs1_val == -1025, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0xffff7fe0, -0x401, 0x5, x1, 56, x2) + +inst_28: +// rs1_val == -513, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0xffffbfe0, -0x201, 0x5, x1, 60, x2) + +inst_29: +// rs1_val == -129, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xff7f0000, -0x81, 0x10, x1, 64, x2) + +inst_30: +// rs1_val == -33, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xffdf0000, -0x21, 0x10, x1, 68, x2) + +inst_31: +// rs1_val == -9, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xfff70000, -0x9, 0x10, x1, 72, x2) + +inst_32: +// rs1_val == -5, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xf +TEST_RR_OP(sll, x12, x10, x11, 0xfffd8000, -0x5, 0xf, x1, 76, x2) + +inst_33: +// rs1_val == -3, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0xffffffd0, -0x3, 0x4, x1, 80, x2) + +inst_34: +// rs1_val == -2, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xfffffff8, -0x2, 0x2, x1, 84, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, -0x80000000, 0x1f, x1, 88, x2) + +inst_36: +// rs1_val == 1073741824, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000000, 0x17, x1, 92, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xb +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000000, 0xb, x1, 96, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 +TEST_RR_OP(sll, x12, x10, x11, 0x20000000, 0x10000000, 0x1, x1, 100, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8000000, 0x13, x1, 104, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4000000, 0x5, x1, 108, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 +TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2000000, 0x3, x1, 112, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x1000000, 0xa, x1, 116, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x800000, 0x4, x1, 120, x2) + +inst_44: +// rs1_val == 4194304, rs1_val > 0 and rs2_val == 0 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x400000, 0x0, x1, 124, x2) + +inst_45: +// rs1_val == 2097152, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200000, 0x12, x1, 128, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x100000, 0x1f, x1, 132, x2) + +inst_47: +// rs1_val == 524288, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7 +TEST_RR_OP(sll, x12, x10, x11, 0x4000000, 0x80000, 0x7, x1, 136, x2) + +inst_48: +// rs1_val == 262144, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000, 0x1b, x1, 140, x2) + +inst_49: +// rs1_val == 131072, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000, 0x10, x1, 144, x2) + +inst_50: +// rs1_val == 65536, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x10000, 0x11, x1, 148, x2) + +inst_51: +// rs1_val == 32768, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xc +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x8000, 0xc, x1, 152, x2) + +inst_52: +// rs1_val == 16384, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x4000, 0x12, x1, 156, x2) + +inst_53: +// rs1_val == 8192, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x2000, 0x8, x1, 160, x2) + +inst_54: +// rs1_val == 4096, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x1000, 0xa, x1, 164, x2) + +inst_55: +// rs1_val == 2048, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x800, 0xa, x1, 168, x2) + +inst_56: +// rs1_val == 1024, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x400, 0x11, x1, 172, x2) + +inst_57: +// rs1_val == 512, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200, 0x17, x1, 176, x2) + +inst_58: +// rs1_val == 256, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x7 +TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x100, 0x7, x1, 180, x2) + +inst_59: +// rs1_val == 128, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x80, 0x1f, x1, 184, x2) + +inst_60: +// rs1_val == 64, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x10000, 0x40, 0xa, x1, 188, x2) + +inst_61: +// rs1_val == 32, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x20, 0xa, x1, 192, x2) + +inst_62: +// rs1_val == 16, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x10, 0x17, x1, 196, x2) + +inst_63: +// rs1_val == 8, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x1d +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8, 0x1d, x1, 200, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2, 0x1b, x1, 204, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x1, 0x1f, x1, 208, x2) + +inst_66: +// rs1_val==46341, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0xa8280000, 0xb505, 0x13, x1, 212, x2) + +inst_67: +// rs1_val==-46339, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0xff4afd00, -0xb503, 0x8, x1, 216, x2) + +inst_68: +// rs1_val==1717986919, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0x9999999c, 0x66666667, 0x2, x1, 220, x2) + +inst_69: +// rs1_val==858993460, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0x66666680, 0x33333334, 0x5, x1, 224, x2) + +inst_70: +// rs1_val==6, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0xc0000, 0x6, 0x11, x1, 228, x2) + +inst_71: +// rs1_val==-1431655765, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x55560000, -0x55555555, 0x11, x1, 232, x2) + +inst_72: +// rs1_val==1431655766, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0xaab00000, 0x55555556, 0x13, x1, 236, x2) + +inst_73: +// rs1_val==46339, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 240, x2) + +inst_74: +// rs1_val==3, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x60000, 0x3, 0x11, x1, 244, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xaaaa0000, -0x55555556, 0x10, x1, 248, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x55540000, 0x55555555, 0x12, x1, 252, x2) + +inst_77: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x0, 0xc, x1, 256, x2) + +inst_78: +// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0x8, 0x2, 0x2, x1, 260, x2) + +inst_79: +// rs1_val==1717986917, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0x66666500, 0x66666665, 0x8, x1, 264, x2) + +inst_80: +// rs1_val==858993458, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xe +TEST_RR_OP(sll, x12, x10, x11, 0xcccc8000, 0x33333332, 0xe, x1, 268, x2) + +inst_81: +// rs1_val==1431655764, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 272, x2) + +inst_82: +// rs1_val==46340, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0xd4100000, 0xb504, 0x12, x1, 276, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x15 +TEST_RR_OP(sll, x12, x10, x11, 0xccc00000, 0x66666666, 0x15, x1, 280, x2) + +inst_84: +// rs1_val==858993459, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sll, x12, x10, x11, 0xccccccc0, 0x33333333, 0x6, x1, 284, x2) + +inst_85: +// rs1_val==5, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x1400, 0x5, 0xa, x1, 288, x2) + +inst_86: +// rs2_val == 27, rs1_val==-46340 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0xe0000000, -0xb504, 0x1b, x1, 292, x2) + +inst_87: +// rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1d +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4, 0x1d, x1, 296, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 75*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S new file mode 100644 index 000000000..754c14a5d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S @@ -0,0 +1,526 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slli instruction of the RISC-V E extension for the slli covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slli) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 != rd, rs1==x2, rd==x10, rs1_val < 0 and imm_val == (xlen-1), rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x2; dest:x10; op1val:-0x1; immval:0x1f +TEST_IMM_OP( slli, x10, x2, 0x80000000, -0x1, 0x1f, x5, 0, x12) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, imm_val == 27, rs1_val > 0 and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0x1b +TEST_IMM_OP( slli, x3, x3, 0xf8000000, 0x7fffffff, 0x1b, x5, 4, x12) + +inst_2: +// rs1==x9, rd==x14, rs1_val == -1073741825, +// opcode: slli ; op1:x9; dest:x14; op1val:-0x40000001; immval:0x7 +TEST_IMM_OP( slli, x14, x9, 0xffffff80, -0x40000001, 0x7, x5, 8, x12) + +inst_3: +// rs1==x7, rd==x8, rs1_val == -536870913, imm_val == 1 +// opcode: slli ; op1:x7; dest:x8; op1val:-0x20000001; immval:0x1 +TEST_IMM_OP( slli, x8, x7, 0xbffffffe, -0x20000001, 0x1, x5, 12, x12) + +inst_4: +// rs1==x4, rd==x6, rs1_val == -268435457, +// opcode: slli ; op1:x4; dest:x6; op1val:-0x10000001; immval:0x12 +TEST_IMM_OP( slli, x6, x4, 0xfffc0000, -0x10000001, 0x12, x5, 16, x12) + +inst_5: +// rs1==x11, rd==x7, rs1_val == -134217729, rs1_val < 0 and imm_val == 0 +// opcode: slli ; op1:x11; dest:x7; op1val:-0x8000001; immval:0x0 +TEST_IMM_OP( slli, x7, x11, 0xf7ffffff, -0x8000001, 0x0, x5, 20, x12) + +inst_6: +// rs1==x0, rd==x1, rs1_val == -67108865, +// opcode: slli ; op1:x0; dest:x1; op1val:0x0; immval:0x7 +TEST_IMM_OP( slli, x1, x0, 0x0, 0x0, 0x7, x5, 24, x12) + +inst_7: +// rs1==x1, rd==x11, rs1_val == -33554433, imm_val == 15 +// opcode: slli ; op1:x1; dest:x11; op1val:-0x2000001; immval:0xf +TEST_IMM_OP( slli, x11, x1, 0xffff8000, -0x2000001, 0xf, x5, 28, x3) + +inst_8: +// rs1==x10, rd==x12, rs1_val == -16777217, +// opcode: slli ; op1:x10; dest:x12; op1val:-0x1000001; immval:0x13 +TEST_IMM_OP( slli, x12, x10, 0xfff80000, -0x1000001, 0x13, x5, 32, x3) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_9: +// rs1==x13, rd==x9, rs1_val == -8388609, imm_val == 16 +// opcode: slli ; op1:x13; dest:x9; op1val:-0x800001; immval:0x10 +TEST_IMM_OP( slli, x9, x13, 0xffff0000, -0x800001, 0x10, x1, 0, x3) + +inst_10: +// rs1==x5, rd==x13, rs1_val == -4194305, +// opcode: slli ; op1:x5; dest:x13; op1val:-0x400001; immval:0x1f +TEST_IMM_OP( slli, x13, x5, 0x80000000, -0x400001, 0x1f, x1, 4, x3) + +inst_11: +// rs1==x8, rd==x15, rs1_val == -2097153, +// opcode: slli ; op1:x8; dest:x15; op1val:-0x200001; immval:0x1b +TEST_IMM_OP( slli, x15, x8, 0xf8000000, -0x200001, 0x1b, x1, 8, x3) + +inst_12: +// rs1==x15, rd==x4, rs1_val == -1048577, +// opcode: slli ; op1:x15; dest:x4; op1val:-0x100001; immval:0xc +TEST_IMM_OP( slli, x4, x15, 0xfffff000, -0x100001, 0xc, x1, 12, x3) + +inst_13: +// rs1==x14, rd==x5, rs1_val == -524289, +// opcode: slli ; op1:x14; dest:x5; op1val:-0x80001; immval:0x9 +TEST_IMM_OP( slli, x5, x14, 0xeffffe00, -0x80001, 0x9, x1, 16, x3) + +inst_14: +// rs1==x6, rd==x2, rs1_val == -262145, +// opcode: slli ; op1:x6; dest:x2; op1val:-0x40001; immval:0x0 +TEST_IMM_OP( slli, x2, x6, 0xfffbffff, -0x40001, 0x0, x1, 20, x3) + +inst_15: +// rs1==x12, rd==x0, rs1_val == -131073, +// opcode: slli ; op1:x12; dest:x0; op1val:-0x20001; immval:0x10 +TEST_IMM_OP( slli, x0, x12, 0, -0x20001, 0x10, x1, 24, x2) + +inst_16: +// rs1_val == -65537, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0xf7fff800, -0x10001, 0xb, x1, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_17: +// rs1_val == -32769, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xfffe0000, -0x8001, 0x11, x1, 0, x2) + +inst_18: +// rs1_val == -16385, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0xf8000000, -0x4001, 0x1b, x1, 4, x2) + +inst_19: +// rs1_val == -8193, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xbffe0000, -0x2001, 0x11, x1, 8, x2) + +inst_20: +// rs1_val == -4097, imm_val == 29 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0xe0000000, -0x1001, 0x1d, x1, 12, x2) + +inst_21: +// rs1_val == -2049, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x801; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xfdffc000, -0x801, 0xe, x1, 16, x2) + +inst_22: +// rs1_val == -1025, imm_val == 23 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x401; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0xff800000, -0x401, 0x17, x1, 20, x2) + +inst_23: +// rs1_val == -513, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x201; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xff7fc000, -0x201, 0xe, x1, 24, x2) + +inst_24: +// rs1_val == -257, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x101; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xfdfe0000, -0x101, 0x11, x1, 28, x2) + +inst_25: +// rs1_val == -129, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xffdfc000, -0x81, 0xe, x1, 32, x2) + +inst_26: +// rs1_val == -65, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x41; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xffefc000, -0x41, 0xe, x1, 36, x2) + +inst_27: +// rs1_val == -33, imm_val == 8 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 +TEST_IMM_OP( slli, x11, x10, 0xffffdf00, -0x21, 0x8, x1, 40, x2) + +inst_28: +// rs1_val == -17, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0xfffffbc0, -0x11, 0x6, x1, 44, x2) + +inst_29: +// rs1_val == -9, imm_val == 4 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 +TEST_IMM_OP( slli, x11, x10, 0xffffff70, -0x9, 0x4, x1, 48, x2) + +inst_30: +// rs1_val == -5, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x5; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xfffb0000, -0x5, 0x10, x1, 52, x2) + +inst_31: +// rs1_val == -3, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 +TEST_IMM_OP( slli, x11, x10, 0xfffffa00, -0x3, 0x9, x1, 56, x2) + +inst_32: +// rs1_val == -2, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x2; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xffffff00, -0x2, 0x7, x1, 60, x2) + +inst_33: +// imm_val == 30, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 +// opcode: slli ; op1:x10; dest:x11; op1val:0x0; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x0, 0x1e, x1, 64, x2) + +inst_34: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0x0, -0x80000000, 0x17, x1, 68, x2) + +inst_35: +// rs1_val == 1073741824, imm_val == 21 +// opcode: slli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000000, 0x15, x1, 72, x2) + +inst_36: +// rs1_val == 536870912, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000000, 0x10, x1, 76, x2) + +inst_37: +// rs1_val == 268435456, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x10000000, 0x7, x1, 80, x2) + +inst_38: +// rs1_val == 134217728, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8000000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x8000000, 0x1e, x1, 84, x2) + +inst_39: +// rs1_val == 67108864, +// opcode: slli ; op1:x10; dest:x11; op1val:0x4000000; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x0, 0x4000000, 0xf, x1, 88, x2) + +inst_40: +// rs1_val == 33554432, +// opcode: slli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x2000000, 0x1e, x1, 92, x2) + +inst_41: +// rs1_val == 16777216, rs1_val > 0 and imm_val == (xlen-1) +// opcode: slli ; op1:x10; dest:x11; op1val:0x1000000; immval:0x1f +TEST_IMM_OP( slli, x11, x10, 0x0, 0x1000000, 0x1f, x1, 96, x2) + +inst_42: +// rs1_val == 8388608, +// opcode: slli ; op1:x10; dest:x11; op1val:0x800000; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x800000, 0x15, x1, 100, x2) + +inst_43: +// rs1_val == 4194304, rs1_val > 0 and imm_val == 0 +// opcode: slli ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400000, 0x0, x1, 104, x2) + +inst_44: +// rs1_val == 2097152, +// opcode: slli ; op1:x10; dest:x11; op1val:0x200000; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x200000, 0x6, x1, 108, x2) + +inst_45: +// rs1_val == 1048576, +// opcode: slli ; op1:x10; dest:x11; op1val:0x100000; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x100000, 0x11, x1, 112, x2) + +inst_46: +// rs1_val == 524288, +// opcode: slli ; op1:x10; dest:x11; op1val:0x80000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x80000, 0x1e, x1, 116, x2) + +inst_47: +// rs1_val == 262144, +// opcode: slli ; op1:x10; dest:x11; op1val:0x40000; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000, 0x13, x1, 120, x2) + +inst_48: +// rs1_val == 131072, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20000; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000, 0xf, x1, 124, x2) + +inst_49: +// rs1_val == 65536, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10000; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0x40000000, 0x10000, 0xe, x1, 128, x2) + +inst_50: +// rs1_val == 32768, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8000; immval:0xc +TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x8000, 0xc, x1, 132, x2) + +inst_51: +// rs1_val == 16384, +// opcode: slli ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x4000, 0x4000, 0x0, x1, 136, x2) + +inst_52: +// rs1_val == 8192, +// opcode: slli ; op1:x10; dest:x11; op1val:0x2000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x2000, 0x2000, 0x0, x1, 140, x2) + +inst_53: +// rs1_val == 4096, +// opcode: slli ; op1:x10; dest:x11; op1val:0x1000; immval:0x1 +TEST_IMM_OP( slli, x11, x10, 0x2000, 0x1000, 0x1, x1, 144, x2) + +inst_54: +// rs1_val == 2048, +// opcode: slli ; op1:x10; dest:x11; op1val:0x800; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x800, 0x15, x1, 148, x2) + +inst_55: +// rs1_val == 1024, +// opcode: slli ; op1:x10; dest:x11; op1val:0x400; immval:0xc +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400, 0xc, x1, 152, x2) + +inst_56: +// rs1_val == 512, +// opcode: slli ; op1:x10; dest:x11; op1val:0x200; immval:0x3 +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x200, 0x3, x1, 156, x2) + +inst_57: +// rs1_val == 256, +// opcode: slli ; op1:x10; dest:x11; op1val:0x100; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x20000000, 0x100, 0x15, x1, 160, x2) + +inst_58: +// rs1_val == 128, +// opcode: slli ; op1:x10; dest:x11; op1val:0x80; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0x0, 0x80, 0x1d, x1, 164, x2) + +inst_59: +// rs1_val == 64, +// opcode: slli ; op1:x10; dest:x11; op1val:0x40; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x40, 0x6, x1, 168, x2) + +inst_60: +// rs1_val == 32, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x10000, 0x20, 0xb, x1, 172, x2) + +inst_61: +// rs1_val == 16, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x10, 0x10, 0x0, x1, 176, x2) + +inst_62: +// rs1_val == 8, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x8, 0x13, x1, 180, x2) + +inst_63: +// rs1_val == 4, rs1_val==4, rs1_val == imm_val and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( slli, x11, x10, 0x40, 0x4, 0x4, x1, 184, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: slli ; op1:x10; dest:x11; op1val:0x2; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x2, 0xb, x1, 188, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:0x1; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0x4000, 0x1, 0xe, x1, 192, x2) + +inst_66: +// imm_val == 2, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0x2 +TEST_IMM_OP( slli, x11, x10, 0xfffffdfc, -0x81, 0x2, x1, 196, x2) + +inst_67: +// rs1_val==46341, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb505; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x5a828000, 0xb505, 0xf, x1, 200, x2) + +inst_68: +// rs1_val==-46339, +// opcode: slli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0x7e800000, -0xb503, 0x17, x1, 204, x2) + +inst_69: +// rs1_val==1717986919, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0x38000000, 0x66666667, 0x1b, x1, 208, x2) + +inst_70: +// rs1_val==858993460, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333334; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x99a00000, 0x33333334, 0x13, x1, 212, x2) + +inst_71: +// rs1_val==6, +// opcode: slli ; op1:x10; dest:x11; op1val:0x6; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x3000, 0x6, 0xb, x1, 216, x2) + +inst_72: +// rs1_val==-1431655765, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x12 +TEST_IMM_OP( slli, x11, x10, 0xaaac0000, -0x55555555, 0x12, x1, 220, x2) + +inst_73: +// rs1_val==1431655766, +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555556, 0x1e, x1, 224, x2) + +inst_74: +// rs1_val==3, +// opcode: slli ; op1:x10; dest:x11; op1val:0x3; immval:0x12 +TEST_IMM_OP( slli, x11, x10, 0xc0000, 0x3, 0x12, x1, 228, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xaaaa0000, -0x55555556, 0x10, x1, 232, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555555; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xaaaaaa80, 0x55555555, 0x7, x1, 236, x2) + +inst_77: +// imm_val == 10, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa +TEST_IMM_OP( slli, x11, x10, 0xffbffc00, -0x1001, 0xa, x1, 240, x2) + +inst_78: +// rs1_val==46339, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x2d40c0, 0xb503, 0x6, x1, 244, x2) + +inst_79: +// rs1_val==1717986917, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666665; immval:0xd +TEST_IMM_OP( slli, x11, x10, 0xcccca000, 0x66666665, 0xd, x1, 248, x2) + +inst_80: +// rs1_val==858993458, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 +TEST_IMM_OP( slli, x11, x10, 0x66666664, 0x33333332, 0x1, x1, 252, x2) + +inst_81: +// rs1_val==1431655764, +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555554, 0x1d, x1, 256, x2) + +inst_82: +// rs1_val==46340, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb504; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0x6a080000, 0xb504, 0x11, x1, 260, x2) + +inst_83: +// rs1_val==-46340, +// opcode: slli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x57e00000, -0xb504, 0x13, x1, 264, x2) + +inst_84: +// rs1_val==1717986918, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0xc0000000, 0x66666666, 0x1d, x1, 268, x2) + +inst_85: +// rs1_val==858993459, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333333; immval:0xa +TEST_IMM_OP( slli, x11, x10, 0xcccccc00, 0x33333333, 0xa, x1, 272, x2) + +inst_86: +// rs1_val==5, +// opcode: slli ; op1:x10; dest:x11; op1val:0x5; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0x28000000, 0x5, 0x1b, x1, 276, x2) + +inst_87: +// rs1_val == -67108865, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x4000001; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xffffff80, -0x4000001, 0x7, x1, 280, x2) + +inst_88: +// rs1_val == -131073, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x20001; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xffff0000, -0x20001, 0x10, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S new file mode 100644 index 000000000..f7c57a553 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S @@ -0,0 +1,2991 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slt instruction of the RISC-V E extension for the slt covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slt) + +RVTEST_SIGBASE( x12,signature_x12_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x10, rd==x7, rs1_val != rs2_val, rs2_val == -65537, rs1_val == -129, rs1_val < 0 and rs2_val < 0 +// opcode: slt ; op1:x5; op2:x10; dest:x7; op1val:-0x81; op2val:-0x10001 +TEST_RR_OP(slt, x7, x5, x10, 0x0, -0x81, -0x10001, x12, 0, x13) + +inst_1: +// rs1 == rd != rs2, rs1==x0, rs2==x6, rd==x0, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: slt ; op1:x0; op2:x6; dest:x0; op1val:0x0; op2val:0x7fffffff +TEST_RR_OP(slt, x0, x0, x6, 0, 0x0, 0x7fffffff, x12, 4, x13) + +inst_2: +// rs2 == rd != rs1, rs1==x9, rs2==x8, rd==x8, rs2_val == -1073741825, rs1_val > 0 and rs2_val < 0, rs1_val == 512 +// opcode: slt ; op1:x9; op2:x8; dest:x8; op1val:0x200; op2val:-0x40000001 +TEST_RR_OP(slt, x8, x9, x8, 0x0, 0x200, -0x40000001, x12, 8, x13) + +inst_3: +// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x11, rs2_val == -536870913, rs1_val == -4097 +// opcode: slt ; op1:x4; op2:x4; dest:x11; op1val:-0x1001; op2val:-0x1001 +TEST_RR_OP(slt, x11, x4, x4, 0x0, -0x1001, -0x1001, x12, 12, x13) + +inst_4: +// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs2_val == -268435457, +// opcode: slt ; op1:x3; op2:x3; dest:x3; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x3, x3, x3, 0x0, -0x55555555, -0x55555555, x12, 16, x13) + +inst_5: +// rs1==x1, rs2==x0, rd==x4, rs2_val == -134217729, rs1_val == -65537 +// opcode: slt ; op1:x1; op2:x0; dest:x4; op1val:-0x10001; op2val:0x0 +TEST_RR_OP(slt, x4, x1, x0, 0x1, -0x10001, 0x0, x12, 20, x13) + +inst_6: +// rs1==x6, rs2==x2, rd==x5, rs2_val == -67108865, rs1_val == -33554433 +// opcode: slt ; op1:x6; op2:x2; dest:x5; op1val:-0x2000001; op2val:-0x4000001 +TEST_RR_OP(slt, x5, x6, x2, 0x0, -0x2000001, -0x4000001, x12, 24, x13) + +inst_7: +// rs1==x7, rs2==x11, rd==x13, rs2_val == -33554433, rs1_val == -2 +// opcode: slt ; op1:x7; op2:x11; dest:x13; op1val:-0x2; op2val:-0x2000001 +TEST_RR_OP(slt, x13, x7, x11, 0x0, -0x2, -0x2000001, x12, 28, x1) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x15, rs2==x7, rd==x12, rs2_val == -16777217, rs1_val == 4096 +// opcode: slt ; op1:x15; op2:x7; dest:x12; op1val:0x1000; op2val:-0x1000001 +TEST_RR_OP(slt, x12, x15, x7, 0x0, 0x1000, -0x1000001, x3, 0, x1) + +inst_9: +// rs1==x13, rs2==x5, rd==x2, rs2_val == -8388609, rs1_val == -268435457 +// opcode: slt ; op1:x13; op2:x5; dest:x2; op1val:-0x10000001; op2val:-0x800001 +TEST_RR_OP(slt, x2, x13, x5, 0x1, -0x10000001, -0x800001, x3, 4, x1) + +inst_10: +// rs1==x2, rs2==x9, rd==x15, rs2_val == -4194305, rs1_val == -1431655766 +// opcode: slt ; op1:x2; op2:x9; dest:x15; op1val:-0x55555556; op2val:-0x400001 +TEST_RR_OP(slt, x15, x2, x9, 0x1, -0x55555556, -0x400001, x3, 8, x1) + +inst_11: +// rs1==x8, rs2==x15, rd==x14, rs2_val == -2097153, rs1_val == -513 +// opcode: slt ; op1:x8; op2:x15; dest:x14; op1val:-0x201; op2val:-0x200001 +TEST_RR_OP(slt, x14, x8, x15, 0x0, -0x201, -0x200001, x3, 12, x1) + +inst_12: +// rs1==x10, rs2==x13, rd==x9, rs2_val == -1048577, rs1_val == 524288 +// opcode: slt ; op1:x10; op2:x13; dest:x9; op1val:0x80000; op2val:-0x100001 +TEST_RR_OP(slt, x9, x10, x13, 0x0, 0x80000, -0x100001, x3, 16, x1) + +inst_13: +// rs1==x12, rs2==x14, rd==x6, rs2_val == -524289, rs1_val == 0 +// opcode: slt ; op1:x12; op2:x14; dest:x6; op1val:0x0; op2val:-0x80001 +TEST_RR_OP(slt, x6, x12, x14, 0x0, 0x0, -0x80001, x3, 20, x4) + +inst_14: +// rs1==x14, rs2==x1, rd==x10, rs2_val == -262145, rs1_val == -2049 +// opcode: slt ; op1:x14; op2:x1; dest:x10; op1val:-0x801; op2val:-0x40001 +TEST_RR_OP(slt, x10, x14, x1, 0x0, -0x801, -0x40001, x3, 24, x4) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_15: +// rs1==x11, rs2==x12, rd==x1, rs2_val == -131073, +// opcode: slt ; op1:x11; op2:x12; dest:x1; op1val:0x0; op2val:-0x20001 +TEST_RR_OP(slt, x1, x11, x12, 0x0, 0x0, -0x20001, x2, 0, x4) + +inst_16: +// rs2_val == -32769, rs1_val == 16384 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x8001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000, -0x8001, x2, 4, x4) + +inst_17: +// rs2_val == -16385, rs1_val == 1073741824 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x4001, x2, 8, x4) + +inst_18: +// rs2_val == -8193, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x2001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x801, -0x2001, x2, 12, x4) + +inst_19: +// rs2_val == -4097, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x1001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x1001, x2, 16, x4) + +inst_20: +// rs2_val == -2049, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x801 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x801, x2, 20, x4) + +inst_21: +// rs2_val == -1025, rs1_val == -16385 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x401 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4001, -0x401, x2, 24, x4) + +inst_22: +// rs2_val == -513, rs1_val == 8192 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2000, -0x201, x2, 28, x4) + +inst_23: +// rs2_val == -257, rs1_val == -5 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x101 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x5, -0x101, x2, 32, x4) + +inst_24: +// rs2_val == -129, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x81 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x81, x2, 36, x4) + +inst_25: +// rs2_val == -65, rs1_val == -32769 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8001, -0x41, x2, 40, x4) + +inst_26: +// rs2_val == -33, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x21 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x10000001, -0x21, x2, 44, x4) + +inst_27: +// rs2_val == -17, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x11 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x11, x2, 48, x4) + +inst_28: +// rs2_val == -9, rs1_val == 536870912 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x9 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000000, -0x9, x2, 52, x4) + +inst_29: +// rs2_val == -5, rs1_val == 128 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x80, -0x5, x2, 56, x4) + +inst_30: +// rs2_val == -3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x9, -0x3, x2, 60, x4) + +inst_31: +// rs2_val == -2, rs1_val == -65 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x41, -0x2, x2, 64, x4) + +inst_32: +// rs1_val == 2147483647, rs2_val == 16777216, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x7fffffff, 0x1000000, x2, 68, x4) + +inst_33: +// rs1_val == -1073741825, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40000001, -0x8001, x2, 72, x4) + +inst_34: +// rs1_val == -536870913, rs2_val == 128 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20000001, 0x80, x2, 76, x4) + +inst_35: +// rs1_val == -134217729, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, -0x3, x2, 80, x4) + +inst_36: +// rs1_val == -67108865, rs2_val == 8192 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x2000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x2000, x2, 84, x4) + +inst_37: +// rs1_val == -16777217, rs2_val == 2048 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x800 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x1000001, 0x800, x2, 88, x4) + +inst_38: +// rs1_val == -8388609, rs2_val == -1431655766 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x800001, -0x55555556, x2, 92, x4) + +inst_39: +// rs1_val == -2097153, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x1000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x200001, -0x1000001, x2, 96, x4) + +inst_40: +// rs1_val == -1048577, rs1_val == rs2_val +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x100001, -0x100001, x2, 100, x4) + +inst_41: +// rs1_val == -524289, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x81 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80001, -0x81, x2, 104, x4) + +inst_42: +// rs1_val == -262145, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40001, 0x66666665, x2, 108, x4) + +inst_43: +// rs1_val == -131073, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x7fffffff, x2, 112, x4) + +inst_44: +// rs1_val == -8193, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x2001, -0x4, x2, 116, x4) + +inst_45: +// rs1_val == -1025, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x401, -0x2, x2, 120, x4) + +inst_46: +// rs1_val == -257, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x101, 0x66666667, x2, 124, x4) + +inst_47: +// rs1_val == -33, rs2_val == 512 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x200 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x21, 0x200, x2, 128, x4) + +inst_48: +// rs1_val == -17, rs2_val == 4 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x4, x2, 132, x4) + +inst_49: +// rs1_val == -9, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x20000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x9, -0x20000001, x2, 136, x4) + +inst_50: +// rs1_val == -3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x3, -0x6, x2, 140, x4) + +inst_51: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 8 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8, -0x80000000, x2, 144, x4) + +inst_52: +// rs2_val == 1073741824, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, 0x40000000, x2, 148, x4) + +inst_53: +// rs2_val == 536870912, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x20000000, x2, 152, x4) + +inst_54: +// rs2_val == 268435456, rs1_val == 65536 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10000, 0x10000000, x2, 156, x4) + +inst_55: +// rs2_val == 134217728, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x8000000, x2, 160, x4) + +inst_56: +// rs2_val == 67108864, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4000000, x2, 164, x4) + +inst_57: +// rs2_val == 33554432, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2000000, x2, 168, x4) + +inst_58: +// rs2_val == 8388608, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x800000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x7, 0x800000, x2, 172, x4) + +inst_59: +// rs2_val == 4194304, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x400000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x400000, x2, 176, x4) + +inst_60: +// rs2_val == 2097152, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x200000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x80, 0x200000, x2, 180, x4) + +inst_61: +// rs2_val == 1048576, rs1_val == 16 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 184, x4) + +inst_62: +// rs2_val == 524288, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x80000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x80000, x2, 188, x4) + +inst_63: +// rs2_val == 262144, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x40000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x5, 0x40000, x2, 192, x4) + +inst_64: +// rs2_val == 131072, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x20000, x2, 196, x4) + +inst_65: +// rs2_val == 65536, rs1_val == 2097152 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x10000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x200000, 0x10000, x2, 200, x4) + +inst_66: +// rs2_val == 32768, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 204, x4) + +inst_67: +// rs2_val == 16384, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x4000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x4000, x2, 208, x4) + +inst_68: +// rs2_val == 4096, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x1000, x2, 212, x4) + +inst_69: +// rs2_val == 1024, rs1_val == 32768 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x400 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000, 0x400, x2, 216, x4) + +inst_70: +// rs2_val == 256, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x100 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3fffffff, 0x100, x2, 220, x4) + +inst_71: +// rs2_val == 64, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x40 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x40, x2, 224, x4) + +inst_72: +// rs2_val == 32, rs1_val == 262144 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x20 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000, 0x20, x2, 228, x4) + +inst_73: +// rs2_val == 16, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x10, x2, 232, x4) + +inst_74: +// rs2_val == 8, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x8 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x8, x2, 236, x4) + +inst_75: +// rs2_val == 2, rs1_val==2 and rs2_val==2, rs1_val == 2 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x2, x2, 240, x4) + +inst_76: +// rs2_val == 1, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 244, x4) + +inst_77: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80000000, 0x3, x2, 248, x4) + +inst_78: +// rs1_val == 268435456, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000000, -0x100001, x2, 252, x4) + +inst_79: +// rs1_val == 134217728, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000000, -0xb504, x2, 256, x4) + +inst_80: +// rs1_val == 67108864, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000000, -0x3, x2, 260, x4) + +inst_81: +// rs1_val == 33554432, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2000000, 0x66666667, x2, 264, x4) + +inst_82: +// rs1_val == 16777216, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x200000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x1000000, 0x200000, x2, 268, x4) + +inst_83: +// rs1_val == 8388608, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x8000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800000, 0x8000000, x2, 272, x4) + +inst_84: +// rs1_val == 4194304, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400000, -0x100001, x2, 276, x4) + +inst_85: +// rs1_val == 1048576, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x100000, 0x6, x2, 280, x4) + +inst_86: +// rs1_val == 131072, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000, 0x800, x2, 284, x4) + +inst_87: +// rs1_val == 2048, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800, 0x66666665, x2, 288, x4) + +inst_88: +// rs1_val == 1024, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400, -0x40000000, x2, 292, x4) + +inst_89: +// rs1_val == 256, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x100, 0x40000000, x2, 296, x4) + +inst_90: +// rs1_val == 64, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x80000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40, -0x80000000, x2, 300, x4) + +inst_91: +// rs1_val == 32, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20, -0x3, x2, 304, x4) + +inst_92: +// rs1_val == 4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x7fffffff, x2, 308, x4) + +inst_93: +// rs1_val == 1, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x1, 0x2000, x2, 312, x4) + +inst_94: +// rs1_val==46341 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 316, x4) + +inst_95: +// rs1_val==46341 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb503, x2, 320, x4) + +inst_96: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 324, x4) + +inst_97: +// rs1_val==46341 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 328, x4) + +inst_98: +// rs1_val==46341 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 332, x4) + +inst_99: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555555, x2, 336, x4) + +inst_100: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 340, x4) + +inst_101: +// rs1_val==46341 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 344, x4) + +inst_102: +// rs1_val==46341 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 348, x4) + +inst_103: +// rs1_val==46341 and rs2_val==0, rs2_val == 0 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 352, x4) + +inst_104: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 356, x4) + +inst_105: +// rs1_val==46341 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 360, x4) + +inst_106: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 364, x4) + +inst_107: +// rs1_val==46341 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 368, x4) + +inst_108: +// rs1_val==46341 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 372, x4) + +inst_109: +// rs1_val==46341 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb504, x2, 376, x4) + +inst_110: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 380, x4) + +inst_111: +// rs1_val==46341 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 384, x4) + +inst_112: +// rs1_val==46341 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 388, x4) + +inst_113: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555556, x2, 392, x4) + +inst_114: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 396, x4) + +inst_115: +// rs1_val==46341 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 400, x4) + +inst_116: +// rs1_val==-46339 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb505, x2, 404, x4) + +inst_117: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 408, x4) + +inst_118: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666667, x2, 412, x4) + +inst_119: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333334, x2, 416, x4) + +inst_120: +// rs1_val==-46339 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x6, x2, 420, x4) + +inst_121: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555555, x2, 424, x4) + +inst_122: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555556, x2, 428, x4) + +inst_123: +// rs1_val==-46339 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x4, x2, 432, x4) + +inst_124: +// rs1_val==-46339 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb503, x2, 436, x4) + +inst_125: +// rs1_val==-46339 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x0, x2, 440, x4) + +inst_126: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666665, x2, 444, x4) + +inst_127: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333332, x2, 448, x4) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555554, x2, 452, x4) + +inst_129: +// rs1_val==-46339 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2, x2, 456, x4) + +inst_130: +// rs1_val==-46339 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb504, x2, 460, x4) + +inst_131: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 464, x4) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666666, x2, 468, x4) + +inst_133: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333333, x2, 472, x4) + +inst_134: +// rs1_val==-46339 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x5, x2, 476, x4) + +inst_135: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555556, x2, 480, x4) + +inst_136: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555555, x2, 484, x4) + +inst_137: +// rs1_val==-46339 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x3, x2, 488, x4) + +inst_138: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 492, x4) + +inst_139: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb503, x2, 496, x4) + +inst_140: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 500, x4) + +inst_141: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 504, x4) + +inst_142: +// rs1_val==1717986919 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 508, x4) + +inst_143: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555555, x2, 512, x4) + +inst_144: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 516, x4) + +inst_145: +// rs1_val==1717986919 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 520, x4) + +inst_146: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 524, x4) + +inst_147: +// rs1_val==1717986919 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 528, x4) + +inst_148: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 532, x4) + +inst_149: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 536, x4) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 540, x4) + +inst_151: +// rs1_val==1717986919 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 544, x4) + +inst_152: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 548, x4) + +inst_153: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb504, x2, 552, x4) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 556, x4) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 560, x4) + +inst_156: +// rs1_val==1717986919 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 564, x4) + +inst_157: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555556, x2, 568, x4) + +inst_158: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 572, x4) + +inst_159: +// rs1_val==1717986919 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 576, x4) + +inst_160: +// rs1_val==858993460 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 580, x4) + +inst_161: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb503, x2, 584, x4) + +inst_162: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 588, x4) + +inst_163: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 592, x4) + +inst_164: +// rs1_val==858993460 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 596, x4) + +inst_165: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x2, 600, x4) + +inst_166: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 604, x4) + +inst_167: +// rs1_val==858993460 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 608, x4) + +inst_168: +// rs1_val==858993460 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 612, x4) + +inst_169: +// rs1_val==858993460 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 616, x4) + +inst_170: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 620, x4) + +inst_171: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 624, x4) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 628, x4) + +inst_173: +// rs1_val==858993460 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 632, x4) + +inst_174: +// rs1_val==858993460 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 636, x4) + +inst_175: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb504, x2, 640, x4) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 644, x4) + +inst_177: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 648, x4) + +inst_178: +// rs1_val==858993460 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 652, x4) + +inst_179: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x2, 656, x4) + +inst_180: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 660, x4) + +inst_181: +// rs1_val==858993460 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 664, x4) + +inst_182: +// rs1_val==6 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 668, x4) + +inst_183: +// rs1_val==6 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb503, x2, 672, x4) + +inst_184: +// rs1_val==6 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 676, x4) + +inst_185: +// rs1_val==6 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 680, x4) + +inst_186: +// rs1_val==6 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x6, x2, 684, x4) + +inst_187: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555555, x2, 688, x4) + +inst_188: +// rs1_val==6 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 692, x4) + +inst_189: +// rs1_val==6 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x4, x2, 696, x4) + +inst_190: +// rs1_val==6 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 700, x4) + +inst_191: +// rs1_val==6 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x0, x2, 704, x4) + +inst_192: +// rs1_val==6 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 708, x4) + +inst_193: +// rs1_val==6 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 712, x4) + +inst_194: +// rs1_val==6 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 716, x4) + +inst_195: +// rs1_val==6 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x2, x2, 720, x4) + +inst_196: +// rs1_val==6 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 724, x4) + +inst_197: +// rs1_val==6 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb504, x2, 728, x4) + +inst_198: +// rs1_val==6 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 732, x4) + +inst_199: +// rs1_val==6 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 736, x4) + +inst_200: +// rs1_val==6 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x5, x2, 740, x4) + +inst_201: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555556, x2, 744, x4) + +inst_202: +// rs1_val==6 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 748, x4) + +inst_203: +// rs1_val==6 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x3, x2, 752, x4) + +inst_204: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb505, x2, 756, x4) + +inst_205: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb503, x2, 760, x4) + +inst_206: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666667, x2, 764, x4) + +inst_207: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333334, x2, 768, x4) + +inst_208: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x6, x2, 772, x4) + +inst_209: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 776, x4) + +inst_210: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x2, 780, x4) + +inst_211: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x4, x2, 784, x4) + +inst_212: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb503, x2, 788, x4) + +inst_213: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x0, x2, 792, x4) + +inst_214: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666665, x2, 796, x4) + +inst_215: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333332, x2, 800, x4) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555554, x2, 804, x4) + +inst_217: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x2, x2, 808, x4) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb504, x2, 812, x4) + +inst_219: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb504, x2, 816, x4) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666666, x2, 820, x4) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333333, x2, 824, x4) + +inst_222: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x5, x2, 828, x4) + +inst_223: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x2, 832, x4) + +inst_224: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x2, 836, x4) + +inst_225: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x3, x2, 840, x4) + +inst_226: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 844, x4) + +inst_227: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb503, x2, 848, x4) + +inst_228: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 852, x4) + +inst_229: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 856, x4) + +inst_230: +// rs1_val==1431655766 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 860, x4) + +inst_231: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555555, x2, 864, x4) + +inst_232: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 868, x4) + +inst_233: +// rs1_val==1431655766 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 872, x4) + +inst_234: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 876, x4) + +inst_235: +// rs1_val==1431655766 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 880, x4) + +inst_236: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 884, x4) + +inst_237: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 888, x4) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 892, x4) + +inst_239: +// rs1_val==1431655766 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 896, x4) + +inst_240: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 900, x4) + +inst_241: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb504, x2, 904, x4) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 908, x4) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 912, x4) + +inst_244: +// rs1_val==1431655766 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 916, x4) + +inst_245: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 920, x4) + +inst_246: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 924, x4) + +inst_247: +// rs1_val==1431655766 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 928, x4) + +inst_248: +// rs1_val==4 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 932, x4) + +inst_249: +// rs1_val==4 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb503, x2, 936, x4) + +inst_250: +// rs1_val==4 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 940, x4) + +inst_251: +// rs1_val==4 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 944, x4) + +inst_252: +// rs1_val==4 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x6, x2, 948, x4) + +inst_253: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555555, x2, 952, x4) + +inst_254: +// rs1_val==4 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 956, x4) + +inst_255: +// rs1_val==4 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x4, x2, 960, x4) + +inst_256: +// rs1_val==4 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 964, x4) + +inst_257: +// rs1_val==4 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x0, x2, 968, x4) + +inst_258: +// rs1_val==4 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 972, x4) + +inst_259: +// rs1_val==4 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 976, x4) + +inst_260: +// rs1_val==4 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 980, x4) + +inst_261: +// rs1_val==4 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x2, x2, 984, x4) + +inst_262: +// rs1_val==4 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 988, x4) + +inst_263: +// rs1_val==4 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb504, x2, 992, x4) + +inst_264: +// rs1_val==4 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 996, x4) + +inst_265: +// rs1_val==4 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1000, x4) + +inst_266: +// rs1_val==4 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1004, x4) + +inst_267: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555556, x2, 1008, x4) + +inst_268: +// rs1_val==4 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1012, x4) + +inst_269: +// rs1_val==4 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1016, x4) + +inst_270: +// rs1_val==46339 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1020, x4) + +inst_271: +// rs1_val==46339 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1024, x4) + +inst_272: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1028, x4) + +inst_273: +// rs1_val==46339 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1032, x4) + +inst_274: +// rs1_val==46339 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1036, x4) + +inst_275: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555555, x2, 1040, x4) + +inst_276: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1044, x4) + +inst_277: +// rs1_val==46339 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1048, x4) + +inst_278: +// rs1_val==46339 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1052, x4) + +inst_279: +// rs1_val==46339 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1056, x4) + +inst_280: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1060, x4) + +inst_281: +// rs1_val==46339 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1064, x4) + +inst_282: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1068, x4) + +inst_283: +// rs1_val==46339 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1072, x4) + +inst_284: +// rs1_val==46339 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1076, x4) + +inst_285: +// rs1_val==46339 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb504, x2, 1080, x4) + +inst_286: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1084, x4) + +inst_287: +// rs1_val==46339 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1088, x4) + +inst_288: +// rs1_val==46339 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1092, x4) + +inst_289: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555556, x2, 1096, x4) + +inst_290: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1100, x4) + +inst_291: +// rs1_val==46339 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1104, x4) + +inst_292: +// rs1_val==0 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1108, x4) + +inst_293: +// rs1_val==0 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1112, x4) + +inst_294: +// rs1_val==0 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1116, x4) + +inst_295: +// rs1_val==0 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1120, x4) + +inst_296: +// rs1_val==0 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1124, x4) + +inst_297: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1128, x4) + +inst_298: +// rs1_val==0 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1132, x4) + +inst_299: +// rs1_val==0 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1136, x4) + +inst_300: +// rs1_val==0 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1140, x4) + +inst_301: +// rs1_val==0 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1144, x4) + +inst_302: +// rs1_val==0 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1148, x4) + +inst_303: +// rs1_val==0 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1152, x4) + +inst_304: +// rs1_val==0 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1156, x4) + +inst_305: +// rs1_val==0 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1160, x4) + +inst_306: +// rs1_val==0 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1164, x4) + +inst_307: +// rs1_val==0 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1168, x4) + +inst_308: +// rs1_val==0 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1172, x4) + +inst_309: +// rs1_val==0 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1176, x4) + +inst_310: +// rs1_val==0 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1180, x4) + +inst_311: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1184, x4) + +inst_312: +// rs1_val==0 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1188, x4) + +inst_313: +// rs1_val==0 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1192, x4) + +inst_314: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1196, x4) + +inst_315: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb503, x2, 1200, x4) + +inst_316: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1204, x4) + +inst_317: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1208, x4) + +inst_318: +// rs1_val==1717986917 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1212, x4) + +inst_319: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555555, x2, 1216, x4) + +inst_320: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1220, x4) + +inst_321: +// rs1_val==1717986917 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1224, x4) + +inst_322: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1228, x4) + +inst_323: +// rs1_val==858993459 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1232, x4) + +inst_324: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 1236, x4) + +inst_325: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 1240, x4) + +inst_326: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 1244, x4) + +inst_327: +// rs1_val==858993459 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1248, x4) + +inst_328: +// rs1_val==858993459 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 1252, x4) + +inst_329: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb504, x2, 1256, x4) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 1260, x4) + +inst_331: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1264, x4) + +inst_332: +// rs1_val==858993459 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1268, x4) + +inst_333: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x2, 1272, x4) + +inst_334: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 1276, x4) + +inst_335: +// rs1_val==858993459 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1280, x4) + +inst_336: +// rs1_val==5 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 1284, x4) + +inst_337: +// rs1_val==5 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb503, x2, 1288, x4) + +inst_338: +// rs1_val==5 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 1292, x4) + +inst_339: +// rs1_val==5 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1296, x4) + +inst_340: +// rs1_val==5 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x6, x2, 1300, x4) + +inst_341: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555555, x2, 1304, x4) + +inst_342: +// rs1_val==5 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1308, x4) + +inst_343: +// rs1_val==5 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1312, x4) + +inst_344: +// rs1_val==5 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 1316, x4) + +inst_345: +// rs1_val==5 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1320, x4) + +inst_346: +// rs1_val==5 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1324, x4) + +inst_347: +// rs1_val==5 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 1328, x4) + +inst_348: +// rs1_val==5 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1332, x4) + +inst_349: +// rs1_val==5 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1336, x4) + +inst_350: +// rs1_val==5 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 1340, x4) + +inst_351: +// rs1_val==5 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb504, x2, 1344, x4) + +inst_352: +// rs1_val==5 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1348, x4) + +inst_353: +// rs1_val==5 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 1352, x4) + +inst_354: +// rs1_val==5 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1356, x4) + +inst_355: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555556, x2, 1360, x4) + +inst_356: +// rs1_val==5 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1364, x4) + +inst_357: +// rs1_val==5 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1368, x4) + +inst_358: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb505, x2, 1372, x4) + +inst_359: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb503, x2, 1376, x4) + +inst_360: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666667, x2, 1380, x4) + +inst_361: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333334, x2, 1384, x4) + +inst_362: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x6, x2, 1388, x4) + +inst_363: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x2, 1392, x4) + +inst_364: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555556, x2, 1396, x4) + +inst_365: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x4, x2, 1400, x4) + +inst_366: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb503, x2, 1404, x4) + +inst_367: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x0, x2, 1408, x4) + +inst_368: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666665, x2, 1412, x4) + +inst_369: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333332, x2, 1416, x4) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555554, x2, 1420, x4) + +inst_371: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x2, x2, 1424, x4) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb504, x2, 1428, x4) + +inst_373: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb504, x2, 1432, x4) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666666, x2, 1436, x4) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333333, x2, 1440, x4) + +inst_376: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x5, x2, 1444, x4) + +inst_377: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1448, x4) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555555, x2, 1452, x4) + +inst_379: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x3, x2, 1456, x4) + +inst_380: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 1460, x4) + +inst_381: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb503, x2, 1464, x4) + +inst_382: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 1468, x4) + +inst_383: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 1472, x4) + +inst_384: +// rs1_val==1431655765 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 1476, x4) + +inst_385: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1480, x4) + +inst_386: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 1484, x4) + +inst_387: +// rs1_val==1431655765 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 1488, x4) + +inst_388: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 1492, x4) + +inst_389: +// rs1_val==1431655765 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1496, x4) + +inst_390: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 1500, x4) + +inst_391: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 1504, x4) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 1508, x4) + +inst_393: +// rs1_val==1431655765 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1512, x4) + +inst_394: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 1516, x4) + +inst_395: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb504, x2, 1520, x4) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 1524, x4) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 1528, x4) + +inst_398: +// rs1_val==1431655765 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1532, x4) + +inst_399: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x2, 1536, x4) + +inst_400: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1540, x4) + +inst_401: +// rs1_val==1431655765 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1544, x4) + +inst_402: +// rs1_val==3 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 1548, x4) + +inst_403: +// rs1_val==3 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb503, x2, 1552, x4) + +inst_404: +// rs1_val==3 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1556, x4) + +inst_405: +// rs1_val==3 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 1560, x4) + +inst_406: +// rs1_val==3 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x6, x2, 1564, x4) + +inst_407: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555555, x2, 1568, x4) + +inst_408: +// rs1_val==3 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1572, x4) + +inst_409: +// rs1_val==3 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4, x2, 1576, x4) + +inst_410: +// rs1_val==3 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 1580, x4) + +inst_411: +// rs1_val==3 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1584, x4) + +inst_412: +// rs1_val==3 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1588, x4) + +inst_413: +// rs1_val==3 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 1592, x4) + +inst_414: +// rs1_val==3 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 1596, x4) + +inst_415: +// rs1_val==3 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1600, x4) + +inst_416: +// rs1_val==3 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 1604, x4) + +inst_417: +// rs1_val==3 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb504, x2, 1608, x4) + +inst_418: +// rs1_val==3 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1612, x4) + +inst_419: +// rs1_val==3 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 1616, x4) + +inst_420: +// rs1_val==3 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x5, x2, 1620, x4) + +inst_421: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555556, x2, 1624, x4) + +inst_422: +// rs1_val==3 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 1628, x4) + +inst_423: +// rs1_val==3 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1632, x4) + +inst_424: +// rs1_val==1717986917 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1636, x4) + +inst_425: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1640, x4) + +inst_426: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1644, x4) + +inst_427: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1648, x4) + +inst_428: +// rs1_val==1717986917 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1652, x4) + +inst_429: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1656, x4) + +inst_430: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb504, x2, 1660, x4) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1664, x4) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1668, x4) + +inst_433: +// rs1_val==1717986917 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1672, x4) + +inst_434: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555556, x2, 1676, x4) + +inst_435: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1680, x4) + +inst_436: +// rs1_val==1717986917 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1684, x4) + +inst_437: +// rs1_val==858993458 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1688, x4) + +inst_438: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb503, x2, 1692, x4) + +inst_439: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1696, x4) + +inst_440: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1700, x4) + +inst_441: +// rs1_val==858993458 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1704, x4) + +inst_442: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x2, 1708, x4) + +inst_443: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1712, x4) + +inst_444: +// rs1_val==858993458 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1716, x4) + +inst_445: +// rs1_val==858993458 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1720, x4) + +inst_446: +// rs1_val==858993458 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1724, x4) + +inst_447: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1728, x4) + +inst_448: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1732, x4) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1736, x4) + +inst_450: +// rs1_val==858993458 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1740, x4) + +inst_451: +// rs1_val==858993458 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1744, x4) + +inst_452: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb504, x2, 1748, x4) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1752, x4) + +inst_454: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1756, x4) + +inst_455: +// rs1_val==858993458 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1760, x4) + +inst_456: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x2, 1764, x4) + +inst_457: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1768, x4) + +inst_458: +// rs1_val==858993458 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1772, x4) + +inst_459: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 1776, x4) + +inst_460: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb503, x2, 1780, x4) + +inst_461: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 1784, x4) + +inst_462: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 1788, x4) + +inst_463: +// rs1_val==1431655764 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1792, x4) + +inst_464: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x2, 1796, x4) + +inst_465: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 1800, x4) + +inst_466: +// rs1_val==1431655764 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1804, x4) + +inst_467: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1808, x4) + +inst_468: +// rs1_val==1431655764 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1812, x4) + +inst_469: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1816, x4) + +inst_470: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1820, x4) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1824, x4) + +inst_472: +// rs1_val==1431655764 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1828, x4) + +inst_473: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1832, x4) + +inst_474: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb504, x2, 1836, x4) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1840, x4) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1844, x4) + +inst_477: +// rs1_val==1431655764 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1848, x4) + +inst_478: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x2, 1852, x4) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1856, x4) + +inst_480: +// rs1_val==1431655764 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1860, x4) + +inst_481: +// rs1_val==2 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1864, x4) + +inst_482: +// rs1_val==2 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb503, x2, 1868, x4) + +inst_483: +// rs1_val==2 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1872, x4) + +inst_484: +// rs1_val==2 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1876, x4) + +inst_485: +// rs1_val==2 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1880, x4) + +inst_486: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555555, x2, 1884, x4) + +inst_487: +// rs1_val==2 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1888, x4) + +inst_488: +// rs1_val==2 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1892, x4) + +inst_489: +// rs1_val==2 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1896, x4) + +inst_490: +// rs1_val==2 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1900, x4) + +inst_491: +// rs1_val==2 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1904, x4) + +inst_492: +// rs1_val==2 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1908, x4) + +inst_493: +// rs1_val==2 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1912, x4) + +inst_494: +// rs1_val==2 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1916, x4) + +inst_495: +// rs1_val==2 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb504, x2, 1920, x4) + +inst_496: +// rs1_val==2 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1924, x4) + +inst_497: +// rs1_val==2 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1928, x4) + +inst_498: +// rs1_val==2 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1932, x4) + +inst_499: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555556, x2, 1936, x4) + +inst_500: +// rs1_val==2 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1940, x4) + +inst_501: +// rs1_val==2 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1944, x4) + +inst_502: +// rs1_val==46340 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 1948, x4) + +inst_503: +// rs1_val==46340 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb503, x2, 1952, x4) + +inst_504: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 1956, x4) + +inst_505: +// rs1_val==46340 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 1960, x4) + +inst_506: +// rs1_val==46340 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 1964, x4) + +inst_507: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555555, x2, 1968, x4) + +inst_508: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 1972, x4) + +inst_509: +// rs1_val==46340 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 1976, x4) + +inst_510: +// rs1_val==46340 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 1980, x4) + +inst_511: +// rs1_val==46340 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 1984, x4) + +inst_512: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 1988, x4) + +inst_513: +// rs1_val==46340 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 1992, x4) + +inst_514: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 1996, x4) + +inst_515: +// rs1_val==46340 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2000, x4) + +inst_516: +// rs1_val==46340 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2004, x4) + +inst_517: +// rs1_val==46340 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2008, x4) + +inst_518: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 2012, x4) + +inst_519: +// rs1_val==46340 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 2016, x4) + +inst_520: +// rs1_val==46340 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 2020, x4) + +inst_521: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555556, x2, 2024, x4) + +inst_522: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 2028, x4) + +inst_523: +// rs1_val==46340 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 2032, x4) + +inst_524: +// rs1_val==-46340 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb505, x2, 2036, x4) + +inst_525: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, -0xb503, x2, 2040, x4) + +inst_526: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666667, x2, 2044, x4) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_527: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333334, x2, 0, x4) + +inst_528: +// rs1_val==-46340 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x6, x2, 4, x4) + +inst_529: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555555, x2, 8, x4) + +inst_530: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555556, x2, 12, x4) + +inst_531: +// rs1_val==-46340 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x4, x2, 16, x4) + +inst_532: +// rs1_val==-46340 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb503, x2, 20, x4) + +inst_533: +// rs1_val==-46340 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x0, x2, 24, x4) + +inst_534: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666665, x2, 28, x4) + +inst_535: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333332, x2, 32, x4) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555554, x2, 36, x4) + +inst_537: +// rs1_val==-46340 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x2, x2, 40, x4) + +inst_538: +// rs1_val==-46340 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb504, x2, 44, x4) + +inst_539: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 48, x4) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666666, x2, 52, x4) + +inst_541: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333333, x2, 56, x4) + +inst_542: +// rs1_val==-46340 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x5, x2, 60, x4) + +inst_543: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555556, x2, 64, x4) + +inst_544: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555555, x2, 68, x4) + +inst_545: +// rs1_val==-46340 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x3, x2, 72, x4) + +inst_546: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 76, x4) + +inst_547: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb503, x2, 80, x4) + +inst_548: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 84, x4) + +inst_549: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 88, x4) + +inst_550: +// rs1_val==1717986918 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 92, x4) + +inst_551: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555555, x2, 96, x4) + +inst_552: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 100, x4) + +inst_553: +// rs1_val==1717986918 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 104, x4) + +inst_554: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 108, x4) + +inst_555: +// rs1_val==1717986918 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 112, x4) + +inst_556: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 116, x4) + +inst_557: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 120, x4) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 124, x4) + +inst_559: +// rs1_val==1717986918 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 128, x4) + +inst_560: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 132, x4) + +inst_561: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb504, x2, 136, x4) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 140, x4) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 144, x4) + +inst_564: +// rs1_val==1717986918 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 148, x4) + +inst_565: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555556, x2, 152, x4) + +inst_566: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 156, x4) + +inst_567: +// rs1_val==1717986918 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 160, x4) + +inst_568: +// rs1_val==858993459 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 164, x4) + +inst_569: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb503, x2, 168, x4) + +inst_570: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 172, x4) + +inst_571: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 176, x4) + +inst_572: +// rs1_val==858993459 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 180, x4) + +inst_573: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x2, 184, x4) + +inst_574: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 188, x4) + +inst_575: +// rs1_val==858993459 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 192, x4) + +inst_576: +// rs1_val==858993459 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 196, x4) + +inst_577: +// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x400001, 0x7fffffff, x2, 200, x4) + +inst_578: +// rs2_val == -536870913, rs1_val == -4097 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x20000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x1001, -0x20000001, x2, 204, x4) + +inst_579: +// rs2_val == -268435457, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x10000001 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0x10000001, x2, 208, x4) + +inst_580: +// rs2_val == -134217729, rs1_val == -65537 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x8000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x10001, -0x8000001, x2, 212, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x12_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x12_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 54*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S new file mode 100644 index 000000000..c0a3feccd --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S @@ -0,0 +1,2891 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slti instruction of the RISC-V E extension for the slti covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slti) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x3, rd==x11, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, rs1_val == 512, imm_val == -2048 +// opcode: slti ; op1:x3; dest:x11; op1val:0x200; immval:-0x800 +TEST_IMM_OP( slti, x11, x3, 0x0, 0x200, -0x800, x4, 0, x8) + +inst_1: +// rs1 == rd, rs1==x7, rd==x7, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: slti ; op1:x7; dest:x7; op1val:0x7fffffff; immval:0x665 +TEST_IMM_OP( slti, x7, x7, 0x0, 0x7fffffff, 0x665, x4, 4, x8) + +inst_2: +// rs1==x13, rd==x3, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 +// opcode: slti ; op1:x13; dest:x3; op1val:-0x40000001; immval:-0x800 +TEST_IMM_OP( slti, x3, x13, 0x1, -0x40000001, -0x800, x4, 8, x8) + +inst_3: +// rs1==x0, rd==x14, rs1_val == -536870913, +// opcode: slti ; op1:x0; dest:x14; op1val:0x0; immval:-0x6 +TEST_IMM_OP( slti, x14, x0, 0x0, 0x0, -0x6, x4, 12, x8) + +inst_4: +// rs1==x15, rd==x10, rs1_val == -268435457, imm_val == -513 +// opcode: slti ; op1:x15; dest:x10; op1val:-0x10000001; immval:-0x201 +TEST_IMM_OP( slti, x10, x15, 0x1, -0x10000001, -0x201, x4, 16, x8) + +inst_5: +// rs1==x6, rd==x5, rs1_val == -134217729, rs1_val < 0 and imm_val > 0, imm_val == 2 +// opcode: slti ; op1:x6; dest:x5; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( slti, x5, x6, 0x1, -0x8000001, 0x2, x4, 20, x8) + +inst_6: +// rs1==x12, rd==x13, rs1_val == -67108865, imm_val == 1024 +// opcode: slti ; op1:x12; dest:x13; op1val:-0x4000001; immval:0x400 +TEST_IMM_OP( slti, x13, x12, 0x1, -0x4000001, 0x400, x4, 24, x8) + +inst_7: +// rs1==x2, rd==x1, rs1_val == -33554433, +// opcode: slti ; op1:x2; dest:x1; op1val:-0x2000001; immval:-0x6 +TEST_IMM_OP( slti, x1, x2, 0x1, -0x2000001, -0x6, x4, 28, x8) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x9, rd==x6, rs1_val == -16777217, +// opcode: slti ; op1:x9; dest:x6; op1val:-0x1000001; immval:0x7 +TEST_IMM_OP( slti, x6, x9, 0x1, -0x1000001, 0x7, x3, 0, x7) + +inst_9: +// rs1==x8, rd==x4, rs1_val == -8388609, imm_val == 512 +// opcode: slti ; op1:x8; dest:x4; op1val:-0x800001; immval:0x200 +TEST_IMM_OP( slti, x4, x8, 0x1, -0x800001, 0x200, x3, 4, x7) + +inst_10: +// rs1==x10, rd==x0, rs1_val == -4194305, +// opcode: slti ; op1:x10; dest:x0; op1val:-0x400001; immval:0x2d +TEST_IMM_OP( slti, x0, x10, 0, -0x400001, 0x2d, x3, 8, x7) + +inst_11: +// rs1==x1, rd==x2, rs1_val == -2097153, +// opcode: slti ; op1:x1; dest:x2; op1val:-0x200001; immval:0x665 +TEST_IMM_OP( slti, x2, x1, 0x1, -0x200001, 0x665, x3, 12, x7) + +inst_12: +// rs1==x14, rd==x8, rs1_val == -1048577, imm_val == 4 +// opcode: slti ; op1:x14; dest:x8; op1val:-0x100001; immval:0x4 +TEST_IMM_OP( slti, x8, x14, 0x1, -0x100001, 0x4, x3, 16, x7) + +inst_13: +// rs1==x5, rd==x12, rs1_val == -524289, imm_val == -1366 +// opcode: slti ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x556 +TEST_IMM_OP( slti, x12, x5, 0x1, -0x80001, -0x556, x3, 20, x7) + +inst_14: +// rs1==x4, rd==x9, rs1_val == -262145, imm_val == 1 +// opcode: slti ; op1:x4; dest:x9; op1val:-0x40001; immval:0x1 +TEST_IMM_OP( slti, x9, x4, 0x1, -0x40001, 0x1, x3, 24, x7) + +inst_15: +// rs1==x11, rd==x15, rs1_val == -131073, +// opcode: slti ; op1:x11; dest:x15; op1val:-0x20001; immval:0x4 +TEST_IMM_OP( slti, x15, x11, 0x1, -0x20001, 0x4, x3, 28, x7) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_16: +// rs1_val == -65537, imm_val == 32 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x10001; immval:0x20 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x10001, 0x20, x1, 0, x2) + +inst_17: +// rs1_val == -32769, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x8001; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x8001, 0x554, x1, 4, x2) + +inst_18: +// rs1_val == -16385, imm_val == -1025 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x401 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x4001, -0x401, x1, 8, x2) + +inst_19: +// rs1_val == -8193, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2001; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x2001, 0x2c, x1, 12, x2) + +inst_20: +// rs1_val == -4097, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x1001, -0x6, x1, 16, x2) + +inst_21: +// rs1_val == -2049, imm_val == 0 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x801; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x801, 0x0, x1, 20, x2) + +inst_22: +// rs1_val == -1025, rs1_val == imm_val +// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x401 +TEST_IMM_OP( slti, x11, x10, 0x0, -0x401, -0x401, x1, 24, x2) + +inst_23: +// rs1_val == -513, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x201, -0x6, x1, 28, x2) + +inst_24: +// rs1_val == -257, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x101, 0x3, x1, 32, x2) + +inst_25: +// rs1_val == -129, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x81; immval:0x200 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x81, 0x200, x1, 36, x2) + +inst_26: +// rs1_val == -65, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x41, -0x2c, x1, 40, x2) + +inst_27: +// rs1_val == -33, imm_val == 8 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x21, 0x8, x1, 44, x2) + +inst_28: +// rs1_val == -17, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x11; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x11, 0x332, x1, 48, x2) + +inst_29: +// rs1_val == -9, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x9; immval:0x3ff +TEST_IMM_OP( slti, x11, x10, 0x1, -0x9, 0x3ff, x1, 52, x2) + +inst_30: +// rs1_val == -5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x5; immval:0x400 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x5, 0x400, x1, 56, x2) + +inst_31: +// rs1_val == -3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x2, x1, 60, x2) + +inst_32: +// rs1_val == -2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, -0x2, -0xa, x1, 64, x2) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1) +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x7ff +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x7ff, x1, 68, x2) + +inst_34: +// imm_val == -257, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x400001; immval:-0x101 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x400001, -0x101, x1, 72, x2) + +inst_35: +// imm_val == -129, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x4; immval:-0x81 +TEST_IMM_OP( slti, x11, x10, 0x0, -0x4, -0x81, x1, 76, x2) + +inst_36: +// imm_val == -65, +// opcode: slti ; op1:x10; dest:x11; op1val:0x7fffffff; immval:-0x41 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x7fffffff, -0x41, x1, 80, x2) + +inst_37: +// imm_val == -33, +// opcode: slti ; op1:x10; dest:x11; op1val:0x7; immval:-0x21 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x7, -0x21, x1, 84, x2) + +inst_38: +// imm_val == -17, rs1_val == 32 +// opcode: slti ; op1:x10; dest:x11; op1val:0x20; immval:-0x11 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20, -0x11, x1, 88, x2) + +inst_39: +// imm_val == -9, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2000001; immval:-0x9 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x2000001, -0x9, x1, 92, x2) + +inst_40: +// imm_val == -5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x401, -0x5, x1, 96, x2) + +inst_41: +// imm_val == -3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x3, x1, 100, x2) + +inst_42: +// imm_val == -2, rs1_val == 0 +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2, x1, 104, x2) + +inst_43: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: slti ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x80000000, 0x667, x1, 108, x2) + +inst_44: +// rs1_val == 1073741824, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40000000; immval:0x9 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000000, 0x9, x1, 112, x2) + +inst_45: +// rs1_val == 536870912, +// opcode: slti ; op1:x10; dest:x11; op1val:0x20000000; immval:-0x8 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000000, -0x8, x1, 116, x2) + +inst_46: +// rs1_val == 268435456, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000000, 0x7, x1, 120, x2) + +inst_47: +// rs1_val == 134217728, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000000, -0x4, x1, 124, x2) + +inst_48: +// rs1_val == 67108864, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x2e, x1, 128, x2) + +inst_49: +// rs1_val == 33554432, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000000, 0x556, x1, 132, x2) + +inst_50: +// rs1_val == 16777216, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000000, -0x556, x1, 136, x2) + +inst_51: +// rs1_val == 8388608, +// opcode: slti ; op1:x10; dest:x11; op1val:0x800000; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x800000, 0x4, x1, 140, x2) + +inst_52: +// rs1_val == 4194304, imm_val == 1365 +// opcode: slti ; op1:x10; dest:x11; op1val:0x400000; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x400000, 0x555, x1, 144, x2) + +inst_53: +// rs1_val == 2097152, +// opcode: slti ; op1:x10; dest:x11; op1val:0x200000; immval:-0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x200000, -0x400, x1, 148, x2) + +inst_54: +// rs1_val == 1048576, +// opcode: slti ; op1:x10; dest:x11; op1val:0x100000; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x100000, 0x2c, x1, 152, x2) + +inst_55: +// rs1_val == 524288, +// opcode: slti ; op1:x10; dest:x11; op1val:0x80000; immval:-0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x80000, -0x4, x1, 156, x2) + +inst_56: +// rs1_val == 262144, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40000; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000, 0x2, x1, 160, x2) + +inst_57: +// rs1_val == 131072, +// opcode: slti ; op1:x10; dest:x11; op1val:0x20000; immval:0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000, 0x400, x1, 164, x2) + +inst_58: +// rs1_val == 65536, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10000; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000, -0x2c, x1, 168, x2) + +inst_59: +// rs1_val == 32768, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8000; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000, 0x6, x1, 172, x2) + +inst_60: +// rs1_val == 16384, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000, 0x0, x1, 176, x2) + +inst_61: +// rs1_val == 8192, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2000; immval:0x200 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000, 0x200, x1, 180, x2) + +inst_62: +// rs1_val == 4096, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1000; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000, 0x3, x1, 184, x2) + +inst_63: +// rs1_val == 2048, +// opcode: slti ; op1:x10; dest:x11; op1val:0x800; immval:-0x81 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x800, -0x81, x1, 188, x2) + +inst_64: +// rs1_val == 1024, +// opcode: slti ; op1:x10; dest:x11; op1val:0x400; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, 0x400, -0xa, x1, 192, x2) + +inst_65: +// rs1_val == 256, +// opcode: slti ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff +TEST_IMM_OP( slti, x11, x10, 0x1, 0x100, 0x3ff, x1, 196, x2) + +inst_66: +// rs1_val == 128, +// opcode: slti ; op1:x10; dest:x11; op1val:0x80; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x80, 0x4, x1, 200, x2) + +inst_67: +// rs1_val == 64, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40; immval:-0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40, -0x400, x1, 204, x2) + +inst_68: +// rs1_val == 16, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x10, 0x2e, x1, 208, x2) + +inst_69: +// rs1_val == 8, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8, 0x3, x1, 212, x2) + +inst_70: +// rs1_val == 4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0xa, x1, 216, x2) + +inst_71: +// rs1_val == 2, rs1_val==2 and imm_val==45 +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2d, x1, 220, x2) + +inst_72: +// rs1_val == 1, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1, -0x3, x1, 224, x2) + +inst_73: +// imm_val == 256, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x100 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x100, x1, 228, x2) + +inst_74: +// imm_val == 128, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x80 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x80, x1, 232, x2) + +inst_75: +// imm_val == 64, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x40 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x40, x1, 236, x2) + +inst_76: +// imm_val == 16, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x10 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x10, x1, 240, x2) + +inst_77: +// rs1_val==46341 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2e, x1, 244, x2) + +inst_78: +// rs1_val==46341 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2c, x1, 248, x2) + +inst_79: +// rs1_val==46341 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x667, x1, 252, x2) + +inst_80: +// rs1_val==46341 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x334, x1, 256, x2) + +inst_81: +// rs1_val==46341 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x6, x1, 260, x2) + +inst_82: +// rs1_val==46341 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x555, x1, 264, x2) + +inst_83: +// rs1_val==46341 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x556, x1, 268, x2) + +inst_84: +// rs1_val==46341 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x4, x1, 272, x2) + +inst_85: +// rs1_val==46341 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2c, x1, 276, x2) + +inst_86: +// rs1_val==46341 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x0, x1, 280, x2) + +inst_87: +// rs1_val==46341 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x665, x1, 284, x2) + +inst_88: +// rs1_val==46341 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x332, x1, 288, x2) + +inst_89: +// rs1_val==46341 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x554, x1, 292, x2) + +inst_90: +// rs1_val==46341 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2, x1, 296, x2) + +inst_91: +// rs1_val==46341 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2d, x1, 300, x2) + +inst_92: +// rs1_val==46341 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2d, x1, 304, x2) + +inst_93: +// rs1_val==46341 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x666, x1, 308, x2) + +inst_94: +// rs1_val==46341 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x333, x1, 312, x2) + +inst_95: +// rs1_val==46341 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x5, x1, 316, x2) + +inst_96: +// rs1_val==46341 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x556, x1, 320, x2) + +inst_97: +// rs1_val==46341 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x555, x1, 324, x2) + +inst_98: +// rs1_val==46341 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x3, x1, 328, x2) + +inst_99: +// rs1_val==-46339 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2e, x1, 332, x2) + +inst_100: +// rs1_val==-46339 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2c, x1, 336, x2) + +inst_101: +// rs1_val==-46339 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x667, x1, 340, x2) + +inst_102: +// rs1_val==-46339 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x334, x1, 344, x2) + +inst_103: +// rs1_val==-46339 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x6, x1, 348, x2) + +inst_104: +// rs1_val==-46339 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x555, x1, 352, x2) + +inst_105: +// rs1_val==-46339 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x556, x1, 356, x2) + +inst_106: +// rs1_val==-46339 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x4, x1, 360, x2) + +inst_107: +// rs1_val==-46339 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2c, x1, 364, x2) + +inst_108: +// rs1_val==-46339 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x0, x1, 368, x2) + +inst_109: +// rs1_val==-46339 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x665, x1, 372, x2) + +inst_110: +// rs1_val==-46339 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x332, x1, 376, x2) + +inst_111: +// rs1_val==-46339 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x554, x1, 380, x2) + +inst_112: +// rs1_val==-46339 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2, x1, 384, x2) + +inst_113: +// rs1_val==-46339 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2d, x1, 388, x2) + +inst_114: +// rs1_val==-46339 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2d, x1, 392, x2) + +inst_115: +// rs1_val==-46339 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x666, x1, 396, x2) + +inst_116: +// rs1_val==-46339 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x333, x1, 400, x2) + +inst_117: +// rs1_val==-46339 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x5, x1, 404, x2) + +inst_118: +// rs1_val==-46339 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x556, x1, 408, x2) + +inst_119: +// rs1_val==-46339 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x555, x1, 412, x2) + +inst_120: +// rs1_val==-46339 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x3, x1, 416, x2) + +inst_121: +// rs1_val==1717986919 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2e, x1, 420, x2) + +inst_122: +// rs1_val==1717986919 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2c, x1, 424, x2) + +inst_123: +// rs1_val==1717986919 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x667, x1, 428, x2) + +inst_124: +// rs1_val==1717986919 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x334, x1, 432, x2) + +inst_125: +// rs1_val==1717986919 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x6, x1, 436, x2) + +inst_126: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x555, x1, 440, x2) + +inst_127: +// rs1_val==1717986919 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x556, x1, 444, x2) + +inst_128: +// rs1_val==1717986919 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x4, x1, 448, x2) + +inst_129: +// rs1_val==1717986919 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2c, x1, 452, x2) + +inst_130: +// rs1_val==1717986919 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x0, x1, 456, x2) + +inst_131: +// rs1_val==1717986919 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x665, x1, 460, x2) + +inst_132: +// rs1_val==1717986919 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x332, x1, 464, x2) + +inst_133: +// rs1_val==1717986919 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x554, x1, 468, x2) + +inst_134: +// rs1_val==1717986919 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2, x1, 472, x2) + +inst_135: +// rs1_val==1717986919 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2d, x1, 476, x2) + +inst_136: +// rs1_val==1717986919 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2d, x1, 480, x2) + +inst_137: +// rs1_val==1717986919 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x666, x1, 484, x2) + +inst_138: +// rs1_val==1717986919 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x333, x1, 488, x2) + +inst_139: +// rs1_val==1717986919 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x5, x1, 492, x2) + +inst_140: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x556, x1, 496, x2) + +inst_141: +// rs1_val==1717986919 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x555, x1, 500, x2) + +inst_142: +// rs1_val==1717986919 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x3, x1, 504, x2) + +inst_143: +// rs1_val==858993460 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2e, x1, 508, x2) + +inst_144: +// rs1_val==858993460 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2c, x1, 512, x2) + +inst_145: +// rs1_val==858993460 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x667, x1, 516, x2) + +inst_146: +// rs1_val==858993460 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x334, x1, 520, x2) + +inst_147: +// rs1_val==858993460 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x6, x1, 524, x2) + +inst_148: +// rs1_val==858993460 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x555, x1, 528, x2) + +inst_149: +// rs1_val==858993460 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x556, x1, 532, x2) + +inst_150: +// rs1_val==858993460 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x4, x1, 536, x2) + +inst_151: +// rs1_val==858993460 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2c, x1, 540, x2) + +inst_152: +// rs1_val==858993460 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x0, x1, 544, x2) + +inst_153: +// rs1_val==858993460 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x665, x1, 548, x2) + +inst_154: +// rs1_val==858993460 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x332, x1, 552, x2) + +inst_155: +// rs1_val==858993460 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x554, x1, 556, x2) + +inst_156: +// rs1_val==858993460 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2, x1, 560, x2) + +inst_157: +// rs1_val==858993460 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2d, x1, 564, x2) + +inst_158: +// rs1_val==858993460 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2d, x1, 568, x2) + +inst_159: +// rs1_val==858993460 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x666, x1, 572, x2) + +inst_160: +// rs1_val==858993460 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x333, x1, 576, x2) + +inst_161: +// rs1_val==858993460 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x5, x1, 580, x2) + +inst_162: +// rs1_val==858993460 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x556, x1, 584, x2) + +inst_163: +// rs1_val==858993460 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x555, x1, 588, x2) + +inst_164: +// rs1_val==858993460 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x3, x1, 592, x2) + +inst_165: +// rs1_val==6 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2e, x1, 596, x2) + +inst_166: +// rs1_val==6 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2c, x1, 600, x2) + +inst_167: +// rs1_val==6 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x667, x1, 604, x2) + +inst_168: +// rs1_val==6 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x334, x1, 608, x2) + +inst_169: +// rs1_val==6 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x6, x1, 612, x2) + +inst_170: +// rs1_val==6 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x555, x1, 616, x2) + +inst_171: +// rs1_val==6 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x556, x1, 620, x2) + +inst_172: +// rs1_val==6 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x4, x1, 624, x2) + +inst_173: +// rs1_val==6 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2c, x1, 628, x2) + +inst_174: +// rs1_val==6 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x0, x1, 632, x2) + +inst_175: +// rs1_val==6 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x665, x1, 636, x2) + +inst_176: +// rs1_val==6 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x332, x1, 640, x2) + +inst_177: +// rs1_val==6 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x554, x1, 644, x2) + +inst_178: +// rs1_val==6 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x2, x1, 648, x2) + +inst_179: +// rs1_val==6 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2d, x1, 652, x2) + +inst_180: +// rs1_val==6 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2d, x1, 656, x2) + +inst_181: +// rs1_val==6 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x666, x1, 660, x2) + +inst_182: +// rs1_val==6 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x333, x1, 664, x2) + +inst_183: +// rs1_val==6 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x5, x1, 668, x2) + +inst_184: +// rs1_val==6 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x556, x1, 672, x2) + +inst_185: +// rs1_val==6 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x555, x1, 676, x2) + +inst_186: +// rs1_val==6 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x3, x1, 680, x2) + +inst_187: +// rs1_val==-1431655765 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2e, x1, 684, x2) + +inst_188: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2c, x1, 688, x2) + +inst_189: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x667, x1, 692, x2) + +inst_190: +// rs1_val==-1431655765 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x334, x1, 696, x2) + +inst_191: +// rs1_val==-1431655765 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x6, x1, 700, x2) + +inst_192: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x555, x1, 704, x2) + +inst_193: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x556, x1, 708, x2) + +inst_194: +// rs1_val==-1431655765 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x4, x1, 712, x2) + +inst_195: +// rs1_val==-1431655765 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2c, x1, 716, x2) + +inst_196: +// rs1_val==-1431655765 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x0, x1, 720, x2) + +inst_197: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x665, x1, 724, x2) + +inst_198: +// rs1_val==-1431655765 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x332, x1, 728, x2) + +inst_199: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x554, x1, 732, x2) + +inst_200: +// rs1_val==-1431655765 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2, x1, 736, x2) + +inst_201: +// rs1_val==-1431655765 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2d, x1, 740, x2) + +inst_202: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2d, x1, 744, x2) + +inst_203: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x666, x1, 748, x2) + +inst_204: +// rs1_val==-1431655765 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x333, x1, 752, x2) + +inst_205: +// rs1_val==-1431655765 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x5, x1, 756, x2) + +inst_206: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x556, x1, 760, x2) + +inst_207: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x555, x1, 764, x2) + +inst_208: +// rs1_val==-1431655765 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x3, x1, 768, x2) + +inst_209: +// rs1_val==1431655766 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2e, x1, 772, x2) + +inst_210: +// rs1_val==1431655766 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2c, x1, 776, x2) + +inst_211: +// rs1_val==1431655766 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x667, x1, 780, x2) + +inst_212: +// rs1_val==1431655766 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x334, x1, 784, x2) + +inst_213: +// rs1_val==1431655766 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x6, x1, 788, x2) + +inst_214: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x555, x1, 792, x2) + +inst_215: +// rs1_val==1431655766 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x556, x1, 796, x2) + +inst_216: +// rs1_val==1431655766 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x4, x1, 800, x2) + +inst_217: +// rs1_val==1431655766 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2c, x1, 804, x2) + +inst_218: +// rs1_val==1431655766 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x0, x1, 808, x2) + +inst_219: +// rs1_val==1431655766 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x665, x1, 812, x2) + +inst_220: +// rs1_val==1431655766 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x332, x1, 816, x2) + +inst_221: +// rs1_val==1431655766 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x554, x1, 820, x2) + +inst_222: +// rs1_val==1431655766 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2, x1, 824, x2) + +inst_223: +// rs1_val==1431655766 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2d, x1, 828, x2) + +inst_224: +// rs1_val==1431655766 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2d, x1, 832, x2) + +inst_225: +// rs1_val==1431655766 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x666, x1, 836, x2) + +inst_226: +// rs1_val==1431655766 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x333, x1, 840, x2) + +inst_227: +// rs1_val==1431655766 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x5, x1, 844, x2) + +inst_228: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x556, x1, 848, x2) + +inst_229: +// rs1_val==1431655766 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x555, x1, 852, x2) + +inst_230: +// rs1_val==1431655766 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x3, x1, 856, x2) + +inst_231: +// rs1_val==4 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2e, x1, 860, x2) + +inst_232: +// rs1_val==4 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2c, x1, 864, x2) + +inst_233: +// rs1_val==4 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x667, x1, 868, x2) + +inst_234: +// rs1_val==4 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x334, x1, 872, x2) + +inst_235: +// rs1_val==4 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x6, x1, 876, x2) + +inst_236: +// rs1_val==4 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x555, x1, 880, x2) + +inst_237: +// rs1_val==4 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x556, x1, 884, x2) + +inst_238: +// rs1_val==4 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x4, x1, 888, x2) + +inst_239: +// rs1_val==4 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2c, x1, 892, x2) + +inst_240: +// rs1_val==4 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x0, x1, 896, x2) + +inst_241: +// rs1_val==4 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x665, x1, 900, x2) + +inst_242: +// rs1_val==4 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x332, x1, 904, x2) + +inst_243: +// rs1_val==4 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x554, x1, 908, x2) + +inst_244: +// rs1_val==4 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x2, x1, 912, x2) + +inst_245: +// rs1_val==4 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2d, x1, 916, x2) + +inst_246: +// rs1_val==4 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2d, x1, 920, x2) + +inst_247: +// rs1_val==4 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x666, x1, 924, x2) + +inst_248: +// rs1_val==4 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x333, x1, 928, x2) + +inst_249: +// rs1_val==4 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x5, x1, 932, x2) + +inst_250: +// rs1_val==4 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x556, x1, 936, x2) + +inst_251: +// rs1_val==4 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x555, x1, 940, x2) + +inst_252: +// rs1_val==4 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x3, x1, 944, x2) + +inst_253: +// rs1_val==46339 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2e, x1, 948, x2) + +inst_254: +// rs1_val==46339 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2c, x1, 952, x2) + +inst_255: +// rs1_val==46339 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x667, x1, 956, x2) + +inst_256: +// rs1_val==46339 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x334, x1, 960, x2) + +inst_257: +// rs1_val==46339 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x6, x1, 964, x2) + +inst_258: +// rs1_val==46339 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x555, x1, 968, x2) + +inst_259: +// rs1_val==46339 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x556, x1, 972, x2) + +inst_260: +// rs1_val==46339 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x4, x1, 976, x2) + +inst_261: +// rs1_val==46339 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2c, x1, 980, x2) + +inst_262: +// rs1_val==46339 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x0, x1, 984, x2) + +inst_263: +// rs1_val==46339 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x665, x1, 988, x2) + +inst_264: +// rs1_val==46339 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x332, x1, 992, x2) + +inst_265: +// rs1_val==46339 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x554, x1, 996, x2) + +inst_266: +// rs1_val==46339 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2, x1, 1000, x2) + +inst_267: +// rs1_val==46339 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2d, x1, 1004, x2) + +inst_268: +// rs1_val==46339 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2d, x1, 1008, x2) + +inst_269: +// rs1_val==46339 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x666, x1, 1012, x2) + +inst_270: +// rs1_val==46339 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x333, x1, 1016, x2) + +inst_271: +// rs1_val==46339 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x5, x1, 1020, x2) + +inst_272: +// rs1_val==46339 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x556, x1, 1024, x2) + +inst_273: +// rs1_val==46339 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x555, x1, 1028, x2) + +inst_274: +// rs1_val==46339 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x3, x1, 1032, x2) + +inst_275: +// rs1_val==0 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2e, x1, 1036, x2) + +inst_276: +// rs1_val==0 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2c, x1, 1040, x2) + +inst_277: +// rs1_val==0 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x667, x1, 1044, x2) + +inst_278: +// rs1_val==0 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x334, x1, 1048, x2) + +inst_279: +// rs1_val==0 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x6, x1, 1052, x2) + +inst_280: +// rs1_val==0 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x555, x1, 1056, x2) + +inst_281: +// rs1_val==0 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x556, x1, 1060, x2) + +inst_282: +// rs1_val==0 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x4, x1, 1064, x2) + +inst_283: +// rs1_val==0 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2c, x1, 1068, x2) + +inst_284: +// rs1_val==0 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, 0x0, x1, 1072, x2) + +inst_285: +// rs1_val==0 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x665, x1, 1076, x2) + +inst_286: +// rs1_val==0 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x332, x1, 1080, x2) + +inst_287: +// rs1_val==0 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x554, x1, 1084, x2) + +inst_288: +// rs1_val==0 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2, x1, 1088, x2) + +inst_289: +// rs1_val==0 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2d, x1, 1092, x2) + +inst_290: +// rs1_val==0 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2d, x1, 1096, x2) + +inst_291: +// rs1_val==0 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x666, x1, 1100, x2) + +inst_292: +// rs1_val==0 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x333, x1, 1104, x2) + +inst_293: +// rs1_val==0 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x5, x1, 1108, x2) + +inst_294: +// rs1_val==0 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x556, x1, 1112, x2) + +inst_295: +// rs1_val==0 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x555, x1, 1116, x2) + +inst_296: +// rs1_val==0 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x3, x1, 1120, x2) + +inst_297: +// rs1_val==1717986917 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1124, x2) + +inst_298: +// rs1_val==1717986917 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2c, x1, 1128, x2) + +inst_299: +// rs1_val==1717986917 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x667, x1, 1132, x2) + +inst_300: +// rs1_val==1717986917 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x334, x1, 1136, x2) + +inst_301: +// rs1_val==1717986917 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x6, x1, 1140, x2) + +inst_302: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x555, x1, 1144, x2) + +inst_303: +// rs1_val==1717986917 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x556, x1, 1148, x2) + +inst_304: +// rs1_val==1717986917 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x4, x1, 1152, x2) + +inst_305: +// rs1_val==1717986917 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1156, x2) + +inst_306: +// rs1_val==1717986917 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x0, x1, 1160, x2) + +inst_307: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x555, x1, 1164, x2) + +inst_308: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x556, x1, 1168, x2) + +inst_309: +// rs1_val==-1431655766 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x4, x1, 1172, x2) + +inst_310: +// rs1_val==-1431655766 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2c, x1, 1176, x2) + +inst_311: +// rs1_val==-1431655766 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x0, x1, 1180, x2) + +inst_312: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x665, x1, 1184, x2) + +inst_313: +// rs1_val==-1431655766 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x332, x1, 1188, x2) + +inst_314: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x554, x1, 1192, x2) + +inst_315: +// rs1_val==-1431655766 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2, x1, 1196, x2) + +inst_316: +// rs1_val==-1431655766 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2d, x1, 1200, x2) + +inst_317: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2d, x1, 1204, x2) + +inst_318: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x666, x1, 1208, x2) + +inst_319: +// rs1_val==-1431655766 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x333, x1, 1212, x2) + +inst_320: +// rs1_val==-1431655766 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x5, x1, 1216, x2) + +inst_321: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x556, x1, 1220, x2) + +inst_322: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x555, x1, 1224, x2) + +inst_323: +// rs1_val==-1431655766 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x3, x1, 1228, x2) + +inst_324: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2e, x1, 1232, x2) + +inst_325: +// rs1_val==1431655765 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2c, x1, 1236, x2) + +inst_326: +// rs1_val==1431655765 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x667, x1, 1240, x2) + +inst_327: +// rs1_val==1431655765 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x334, x1, 1244, x2) + +inst_328: +// rs1_val==1431655765 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x6, x1, 1248, x2) + +inst_329: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x555, x1, 1252, x2) + +inst_330: +// rs1_val==1431655765 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x556, x1, 1256, x2) + +inst_331: +// rs1_val==1431655765 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x4, x1, 1260, x2) + +inst_332: +// rs1_val==1431655765 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2c, x1, 1264, x2) + +inst_333: +// rs1_val==1431655765 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x0, x1, 1268, x2) + +inst_334: +// rs1_val==1431655765 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x665, x1, 1272, x2) + +inst_335: +// rs1_val==1431655765 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x332, x1, 1276, x2) + +inst_336: +// rs1_val==1431655765 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x554, x1, 1280, x2) + +inst_337: +// rs1_val==1431655765 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2, x1, 1284, x2) + +inst_338: +// rs1_val==1431655765 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2d, x1, 1288, x2) + +inst_339: +// rs1_val==1431655765 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2d, x1, 1292, x2) + +inst_340: +// rs1_val==1431655765 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x666, x1, 1296, x2) + +inst_341: +// rs1_val==1431655765 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x333, x1, 1300, x2) + +inst_342: +// rs1_val==1431655765 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x5, x1, 1304, x2) + +inst_343: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x556, x1, 1308, x2) + +inst_344: +// rs1_val==1431655765 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x555, x1, 1312, x2) + +inst_345: +// rs1_val==1431655765 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x3, x1, 1316, x2) + +inst_346: +// rs1_val==3 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2e, x1, 1320, x2) + +inst_347: +// rs1_val==3 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2c, x1, 1324, x2) + +inst_348: +// rs1_val==3 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x667, x1, 1328, x2) + +inst_349: +// rs1_val==3 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x334, x1, 1332, x2) + +inst_350: +// rs1_val==3 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x6, x1, 1336, x2) + +inst_351: +// rs1_val==3 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x555, x1, 1340, x2) + +inst_352: +// rs1_val==3 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x556, x1, 1344, x2) + +inst_353: +// rs1_val==3 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x4, x1, 1348, x2) + +inst_354: +// rs1_val==3 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2c, x1, 1352, x2) + +inst_355: +// rs1_val==3 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x0, x1, 1356, x2) + +inst_356: +// rs1_val==3 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x665, x1, 1360, x2) + +inst_357: +// rs1_val==3 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x332, x1, 1364, x2) + +inst_358: +// rs1_val==3 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x554, x1, 1368, x2) + +inst_359: +// rs1_val==3 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x2, x1, 1372, x2) + +inst_360: +// rs1_val==3 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2d, x1, 1376, x2) + +inst_361: +// rs1_val==3 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2d, x1, 1380, x2) + +inst_362: +// rs1_val==3 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x666, x1, 1384, x2) + +inst_363: +// rs1_val==3 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x333, x1, 1388, x2) + +inst_364: +// rs1_val==3 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x5, x1, 1392, x2) + +inst_365: +// rs1_val==3 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x556, x1, 1396, x2) + +inst_366: +// rs1_val==3 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x555, x1, 1400, x2) + +inst_367: +// rs1_val==3 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x3, x1, 1404, x2) + +inst_368: +// rs1_val==1717986917 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x665, x1, 1408, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x332, x1, 1412, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x554, x1, 1416, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2, x1, 1420, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1424, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2d, x1, 1428, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x666, x1, 1432, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x333, x1, 1436, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x5, x1, 1440, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x556, x1, 1444, x2) + +inst_378: +// rs1_val==1717986917 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x555, x1, 1448, x2) + +inst_379: +// rs1_val==1717986917 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x3, x1, 1452, x2) + +inst_380: +// rs1_val==858993458 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1456, x2) + +inst_381: +// rs1_val==858993458 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2c, x1, 1460, x2) + +inst_382: +// rs1_val==858993458 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x667, x1, 1464, x2) + +inst_383: +// rs1_val==858993458 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x334, x1, 1468, x2) + +inst_384: +// rs1_val==858993458 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x6, x1, 1472, x2) + +inst_385: +// rs1_val==858993458 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x555, x1, 1476, x2) + +inst_386: +// rs1_val==858993458 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x556, x1, 1480, x2) + +inst_387: +// rs1_val==858993458 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x4, x1, 1484, x2) + +inst_388: +// rs1_val==858993458 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1488, x2) + +inst_389: +// rs1_val==858993458 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x0, x1, 1492, x2) + +inst_390: +// rs1_val==858993458 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x665, x1, 1496, x2) + +inst_391: +// rs1_val==858993458 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x332, x1, 1500, x2) + +inst_392: +// rs1_val==858993458 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x554, x1, 1504, x2) + +inst_393: +// rs1_val==858993458 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2, x1, 1508, x2) + +inst_394: +// rs1_val==858993458 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1512, x2) + +inst_395: +// rs1_val==858993458 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2d, x1, 1516, x2) + +inst_396: +// rs1_val==858993458 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x666, x1, 1520, x2) + +inst_397: +// rs1_val==858993458 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x333, x1, 1524, x2) + +inst_398: +// rs1_val==858993458 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x5, x1, 1528, x2) + +inst_399: +// rs1_val==858993458 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x556, x1, 1532, x2) + +inst_400: +// rs1_val==858993458 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x555, x1, 1536, x2) + +inst_401: +// rs1_val==858993458 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x3, x1, 1540, x2) + +inst_402: +// rs1_val==1431655764 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2e, x1, 1544, x2) + +inst_403: +// rs1_val==1431655764 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2c, x1, 1548, x2) + +inst_404: +// rs1_val==1431655764 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x667, x1, 1552, x2) + +inst_405: +// rs1_val==1431655764 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x334, x1, 1556, x2) + +inst_406: +// rs1_val==1431655764 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x6, x1, 1560, x2) + +inst_407: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x555, x1, 1564, x2) + +inst_408: +// rs1_val==1431655764 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x556, x1, 1568, x2) + +inst_409: +// rs1_val==1431655764 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x4, x1, 1572, x2) + +inst_410: +// rs1_val==1431655764 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2c, x1, 1576, x2) + +inst_411: +// rs1_val==1431655764 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x0, x1, 1580, x2) + +inst_412: +// rs1_val==1431655764 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x665, x1, 1584, x2) + +inst_413: +// rs1_val==1431655764 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x332, x1, 1588, x2) + +inst_414: +// rs1_val==1431655764 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x554, x1, 1592, x2) + +inst_415: +// rs1_val==1431655764 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2, x1, 1596, x2) + +inst_416: +// rs1_val==1431655764 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2d, x1, 1600, x2) + +inst_417: +// rs1_val==1431655764 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2d, x1, 1604, x2) + +inst_418: +// rs1_val==1431655764 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x666, x1, 1608, x2) + +inst_419: +// rs1_val==1431655764 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x333, x1, 1612, x2) + +inst_420: +// rs1_val==1431655764 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x5, x1, 1616, x2) + +inst_421: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x556, x1, 1620, x2) + +inst_422: +// rs1_val==1431655764 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x555, x1, 1624, x2) + +inst_423: +// rs1_val==1431655764 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x3, x1, 1628, x2) + +inst_424: +// rs1_val==2 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2e, x1, 1632, x2) + +inst_425: +// rs1_val==2 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2c, x1, 1636, x2) + +inst_426: +// rs1_val==2 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x667, x1, 1640, x2) + +inst_427: +// rs1_val==2 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x334, x1, 1644, x2) + +inst_428: +// rs1_val==2 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x6, x1, 1648, x2) + +inst_429: +// rs1_val==2 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x555, x1, 1652, x2) + +inst_430: +// rs1_val==2 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x556, x1, 1656, x2) + +inst_431: +// rs1_val==2 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x4, x1, 1660, x2) + +inst_432: +// rs1_val==2 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2c, x1, 1664, x2) + +inst_433: +// rs1_val==2 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x0, x1, 1668, x2) + +inst_434: +// rs1_val==2 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x665, x1, 1672, x2) + +inst_435: +// rs1_val==2 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x332, x1, 1676, x2) + +inst_436: +// rs1_val==2 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x554, x1, 1680, x2) + +inst_437: +// rs1_val==2 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x2, x1, 1684, x2) + +inst_438: +// rs1_val==2 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2d, x1, 1688, x2) + +inst_439: +// rs1_val==2 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x666, x1, 1692, x2) + +inst_440: +// rs1_val==2 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x333, x1, 1696, x2) + +inst_441: +// rs1_val==2 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x5, x1, 1700, x2) + +inst_442: +// rs1_val==2 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x556, x1, 1704, x2) + +inst_443: +// rs1_val==2 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x555, x1, 1708, x2) + +inst_444: +// rs1_val==2 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x3, x1, 1712, x2) + +inst_445: +// rs1_val==46340 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2e, x1, 1716, x2) + +inst_446: +// rs1_val==46340 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2c, x1, 1720, x2) + +inst_447: +// rs1_val==46340 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x667, x1, 1724, x2) + +inst_448: +// rs1_val==46340 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x334, x1, 1728, x2) + +inst_449: +// rs1_val==46340 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x6, x1, 1732, x2) + +inst_450: +// rs1_val==46340 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x555, x1, 1736, x2) + +inst_451: +// rs1_val==46340 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x556, x1, 1740, x2) + +inst_452: +// rs1_val==46340 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x4, x1, 1744, x2) + +inst_453: +// rs1_val==46340 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2c, x1, 1748, x2) + +inst_454: +// rs1_val==46340 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x0, x1, 1752, x2) + +inst_455: +// rs1_val==46340 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x665, x1, 1756, x2) + +inst_456: +// rs1_val==46340 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x332, x1, 1760, x2) + +inst_457: +// rs1_val==46340 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x554, x1, 1764, x2) + +inst_458: +// rs1_val==46340 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2, x1, 1768, x2) + +inst_459: +// rs1_val==46340 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2d, x1, 1772, x2) + +inst_460: +// rs1_val==46340 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2d, x1, 1776, x2) + +inst_461: +// rs1_val==46340 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x666, x1, 1780, x2) + +inst_462: +// rs1_val==46340 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x333, x1, 1784, x2) + +inst_463: +// rs1_val==46340 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x5, x1, 1788, x2) + +inst_464: +// rs1_val==46340 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x556, x1, 1792, x2) + +inst_465: +// rs1_val==46340 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x555, x1, 1796, x2) + +inst_466: +// rs1_val==46340 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x3, x1, 1800, x2) + +inst_467: +// rs1_val==-46340 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2e, x1, 1804, x2) + +inst_468: +// rs1_val==-46340 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2c, x1, 1808, x2) + +inst_469: +// rs1_val==-46340 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x667, x1, 1812, x2) + +inst_470: +// rs1_val==-46340 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x334, x1, 1816, x2) + +inst_471: +// rs1_val==-46340 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x6, x1, 1820, x2) + +inst_472: +// rs1_val==-46340 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x555, x1, 1824, x2) + +inst_473: +// rs1_val==-46340 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x556, x1, 1828, x2) + +inst_474: +// rs1_val==-46340 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x4, x1, 1832, x2) + +inst_475: +// rs1_val==-46340 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2c, x1, 1836, x2) + +inst_476: +// rs1_val==-46340 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x0, x1, 1840, x2) + +inst_477: +// rs1_val==-46340 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x665, x1, 1844, x2) + +inst_478: +// rs1_val==-46340 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x332, x1, 1848, x2) + +inst_479: +// rs1_val==-46340 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x554, x1, 1852, x2) + +inst_480: +// rs1_val==-46340 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2, x1, 1856, x2) + +inst_481: +// rs1_val==-46340 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2d, x1, 1860, x2) + +inst_482: +// rs1_val==-46340 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2d, x1, 1864, x2) + +inst_483: +// rs1_val==-46340 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x666, x1, 1868, x2) + +inst_484: +// rs1_val==-46340 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x333, x1, 1872, x2) + +inst_485: +// rs1_val==-46340 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x5, x1, 1876, x2) + +inst_486: +// rs1_val==-46340 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x556, x1, 1880, x2) + +inst_487: +// rs1_val==-46340 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x555, x1, 1884, x2) + +inst_488: +// rs1_val==-46340 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x3, x1, 1888, x2) + +inst_489: +// rs1_val==1717986918 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1892, x2) + +inst_490: +// rs1_val==1717986918 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2c, x1, 1896, x2) + +inst_491: +// rs1_val==1717986918 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x667, x1, 1900, x2) + +inst_492: +// rs1_val==1717986918 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x334, x1, 1904, x2) + +inst_493: +// rs1_val==1717986918 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x6, x1, 1908, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x555, x1, 1912, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x556, x1, 1916, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x4, x1, 1920, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1924, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x0, x1, 1928, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x665, x1, 1932, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x332, x1, 1936, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x554, x1, 1940, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2, x1, 1944, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2d, x1, 1948, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2d, x1, 1952, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x666, x1, 1956, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x333, x1, 1960, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x5, x1, 1964, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x556, x1, 1968, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x555, x1, 1972, x2) + +inst_510: +// rs1_val==1717986918 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x3, x1, 1976, x2) + +inst_511: +// rs1_val==858993459 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2e, x1, 1980, x2) + +inst_512: +// rs1_val==858993459 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2c, x1, 1984, x2) + +inst_513: +// rs1_val==858993459 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x667, x1, 1988, x2) + +inst_514: +// rs1_val==858993459 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x334, x1, 1992, x2) + +inst_515: +// rs1_val==858993459 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x6, x1, 1996, x2) + +inst_516: +// rs1_val==858993459 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x555, x1, 2000, x2) + +inst_517: +// rs1_val==858993459 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x556, x1, 2004, x2) + +inst_518: +// rs1_val==858993459 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x4, x1, 2008, x2) + +inst_519: +// rs1_val==858993459 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2c, x1, 2012, x2) + +inst_520: +// rs1_val==858993459 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x0, x1, 2016, x2) + +inst_521: +// rs1_val==858993459 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x665, x1, 2020, x2) + +inst_522: +// rs1_val==858993459 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x332, x1, 2024, x2) + +inst_523: +// rs1_val==858993459 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x554, x1, 2028, x2) + +inst_524: +// rs1_val==858993459 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2, x1, 2032, x2) + +inst_525: +// rs1_val==858993459 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2d, x1, 2036, x2) + +inst_526: +// rs1_val==858993459 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2d, x1, 2040, x2) + +inst_527: +// rs1_val==858993459 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x666, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_528: +// rs1_val==858993459 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x333, x1, 0, x2) + +inst_529: +// rs1_val==858993459 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x5, x1, 4, x2) + +inst_530: +// rs1_val==858993459 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x556, x1, 8, x2) + +inst_531: +// rs1_val==858993459 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x555, x1, 12, x2) + +inst_532: +// rs1_val==858993459 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x3, x1, 16, x2) + +inst_533: +// rs1_val==5 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2e, x1, 20, x2) + +inst_534: +// rs1_val==5 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2c, x1, 24, x2) + +inst_535: +// rs1_val==5 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x667, x1, 28, x2) + +inst_536: +// rs1_val==5 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x334, x1, 32, x2) + +inst_537: +// rs1_val==5 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x6, x1, 36, x2) + +inst_538: +// rs1_val==5 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x555, x1, 40, x2) + +inst_539: +// rs1_val==5 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x556, x1, 44, x2) + +inst_540: +// rs1_val==5 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x4, x1, 48, x2) + +inst_541: +// rs1_val==5 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2c, x1, 52, x2) + +inst_542: +// rs1_val==5 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x0, x1, 56, x2) + +inst_543: +// rs1_val==5 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x665, x1, 60, x2) + +inst_544: +// rs1_val==5 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x332, x1, 64, x2) + +inst_545: +// rs1_val==5 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x554, x1, 68, x2) + +inst_546: +// rs1_val==5 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x2, x1, 72, x2) + +inst_547: +// rs1_val==5 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2d, x1, 76, x2) + +inst_548: +// rs1_val==5 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2d, x1, 80, x2) + +inst_549: +// rs1_val==5 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x666, x1, 84, x2) + +inst_550: +// rs1_val==5 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x333, x1, 88, x2) + +inst_551: +// rs1_val==5 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x5, x1, 92, x2) + +inst_552: +// rs1_val==5 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x556, x1, 96, x2) + +inst_553: +// rs1_val==5 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x555, x1, 100, x2) + +inst_554: +// rs1_val==5 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x3, x1, 104, x2) + +inst_555: +// rs1_val==-1431655766 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2e, x1, 108, x2) + +inst_556: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2c, x1, 112, x2) + +inst_557: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x667, x1, 116, x2) + +inst_558: +// rs1_val==-1431655766 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x334, x1, 120, x2) + +inst_559: +// rs1_val==-1431655766 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x6, x1, 124, x2) + +inst_560: +// rs1_val == -536870913, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x20000001; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x20000001, -0x6, x1, 128, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 33*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S new file mode 100644 index 000000000..79336c4f0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S @@ -0,0 +1,3566 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sltiu instruction of the RISC-V E extension for the sltiu covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltiu) + +RVTEST_SIGBASE( x14,signature_x14_1) + +inst_0: +// rs1 != rd, rs1==x7, rd==x2, imm_val == (2**(12)-1), rs1_val != imm_val and rs1_val > 0 and imm_val > 0 +// opcode: sltiu ; op1:x7; dest:x2; op1val:0x9; immval:0xfff +TEST_IMM_OP( sltiu, x2, x7, 0x1, 0x9, 0xfff, x14, 0, x9) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, imm_val == 3839 +// opcode: sltiu ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0xeff +TEST_IMM_OP( sltiu, x3, x3, 0x1, 0x7fffffff, 0xeff, x14, 4, x9) + +inst_2: +// rs1==x10, rd==x4, rs1_val == 3221225471, imm_val == 32 +// opcode: sltiu ; op1:x10; dest:x4; op1val:0xbfffffff; immval:0x20 +TEST_IMM_OP( sltiu, x4, x10, 0x0, 0xbfffffff, 0x20, x14, 8, x9) + +inst_3: +// rs1==x4, rd==x6, rs1_val == 3758096383, +// opcode: sltiu ; op1:x4; dest:x6; op1val:0xdfffffff; immval:0x5 +TEST_IMM_OP( sltiu, x6, x4, 0x0, 0xdfffffff, 0x5, x14, 12, x9) + +inst_4: +// rs1==x6, rd==x13, rs1_val == 4026531839, +// opcode: sltiu ; op1:x6; dest:x13; op1val:0xefffffff; immval:0x667 +TEST_IMM_OP( sltiu, x13, x6, 0x0, 0xefffffff, 0x667, x14, 16, x9) + +inst_5: +// rs1==x1, rd==x7, rs1_val == 4160749567, imm_val == 16 +// opcode: sltiu ; op1:x1; dest:x7; op1val:0xf7ffffff; immval:0x10 +TEST_IMM_OP( sltiu, x7, x1, 0x0, 0xf7ffffff, 0x10, x14, 20, x9) + +inst_6: +// rs1==x2, rd==x8, rs1_val == 4227858431, imm_val == 0 +// opcode: sltiu ; op1:x2; dest:x8; op1val:0xfbffffff; immval:0x0 +TEST_IMM_OP( sltiu, x8, x2, 0x0, 0xfbffffff, 0x0, x14, 24, x9) + +inst_7: +// rs1==x0, rd==x1, rs1_val == 4261412863, +// opcode: sltiu ; op1:x0; dest:x1; op1val:0x0; immval:0xc +TEST_IMM_OP( sltiu, x1, x0, 0x1, 0x0, 0xc, x14, 28, x9) + +inst_8: +// rs1==x5, rd==x12, rs1_val == 4278190079, imm_val == 512 +// opcode: sltiu ; op1:x5; dest:x12; op1val:0xfeffffff; immval:0x200 +TEST_IMM_OP( sltiu, x12, x5, 0x0, 0xfeffffff, 0x200, x14, 32, x9) + +inst_9: +// rs1==x11, rd==x9, rs1_val == 4286578687, +// opcode: sltiu ; op1:x11; dest:x9; op1val:0xff7fffff; immval:0xf +TEST_IMM_OP( sltiu, x9, x11, 0x0, 0xff7fffff, 0xf, x14, 36, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x12, rd==x10, rs1_val == 4290772991, imm_val == 4093 +// opcode: sltiu ; op1:x12; dest:x10; op1val:0xffbfffff; immval:0xffd +TEST_IMM_OP( sltiu, x10, x12, 0x1, 0xffbfffff, 0xffd, x1, 0, x2) + +inst_11: +// rs1==x9, rd==x14, rs1_val == 4292870143, +// opcode: sltiu ; op1:x9; dest:x14; op1val:0xffdfffff; immval:0xaab +TEST_IMM_OP( sltiu, x14, x9, 0x1, 0xffdfffff, 0xaab, x1, 4, x2) + +inst_12: +// rs1==x14, rd==x15, rs1_val == 4293918719, +// opcode: sltiu ; op1:x14; dest:x15; op1val:0xffefffff; immval:0x11 +TEST_IMM_OP( sltiu, x15, x14, 0x0, 0xffefffff, 0x11, x1, 8, x2) + +inst_13: +// rs1==x15, rd==x0, rs1_val == 4294443007, +// opcode: sltiu ; op1:x15; dest:x0; op1val:0xfff7ffff; immval:0xa +TEST_IMM_OP( sltiu, x0, x15, 0, 0xfff7ffff, 0xa, x1, 12, x2) + +inst_14: +// rs1==x13, rd==x11, rs1_val == 4294705151, +// opcode: sltiu ; op1:x13; dest:x11; op1val:0xfffbffff; immval:0x13 +TEST_IMM_OP( sltiu, x11, x13, 0x0, 0xfffbffff, 0x13, x1, 16, x2) + +inst_15: +// rs1==x8, rd==x5, rs1_val == 4294836223, +// opcode: sltiu ; op1:x8; dest:x5; op1val:0xfffdffff; immval:0x0 +TEST_IMM_OP( sltiu, x5, x8, 0x0, 0xfffdffff, 0x0, x1, 20, x2) + +inst_16: +// rs1_val == 4294901759, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffeffff; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffeffff, 0x3, x1, 24, x2) + +inst_17: +// rs1_val == 4294934527, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff7fff; immval:0xfff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff7fff, 0xfff, x1, 28, x2) + +inst_18: +// rs1_val == 4294950911, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffbfff; immval:0xf +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffbfff, 0xf, x1, 32, x2) + +inst_19: +// rs1_val == 4294959103, imm_val == 4087 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffdfff; immval:0xff7 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffdfff, 0xff7, x1, 36, x2) + +inst_20: +// rs1_val == 4294963199, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffefff; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffefff, 0x334, x1, 40, x2) + +inst_21: +// rs1_val == 4294965247, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffff7ff; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffff7ff, 0x666, x1, 44, x2) + +inst_22: +// rs1_val == 4294966271, imm_val == 3071 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffbff; immval:0xbff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffbff, 0xbff, x1, 48, x2) + +inst_23: +// rs1_val == 4294966783, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffdff; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffdff, 0x332, x1, 52, x2) + +inst_24: +// rs1_val == 4294967039, imm_val == 3583 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffeff; immval:0xdff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffeff, 0xdff, x1, 56, x2) + +inst_25: +// rs1_val == 4294967167, imm_val == 4094 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffff7f; immval:0xffe +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffff7f, 0xffe, x1, 60, x2) + +inst_26: +// rs1_val == 4294967231, imm_val == 128 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffbf; immval:0x80 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffbf, 0x80, x1, 64, x2) + +inst_27: +// rs1_val == 4294967263, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xc +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xc, x1, 68, x2) + +inst_28: +// rs1_val == 4294967279, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffef; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffef, 0xaab, x1, 72, x2) + +inst_29: +// rs1_val == 4294967287, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffff7; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffff7, 0x554, x1, 76, x2) + +inst_30: +// rs1_val == 4294967291, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffb; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffb, 0x667, x1, 80, x2) + +inst_31: +// rs1_val == 4294967293, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffd; immval:0xdff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffd, 0xdff, x1, 84, x2) + +inst_32: +// rs1_val == 4294967294, imm_val == 4079 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0xfef +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0xfef, x1, 88, x2) + +inst_33: +// imm_val == 2047, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0x7ff, x1, 92, x2) + +inst_34: +// imm_val == 3967, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xf7f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xf7f, x1, 96, x2) + +inst_35: +// imm_val == 4031, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xfbf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xfbf, x1, 100, x2) + +inst_36: +// imm_val == 4063, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffbffff; immval:0xfdf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffbffff, 0xfdf, x1, 104, x2) + +inst_37: +// imm_val == 4091, rs1_val == 524288 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000; immval:0xffb +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80000, 0xffb, x1, 108, x2) + +inst_38: +// rs1_val == 2147483648, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000000; immval:0x200 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x80000000, 0x200, x1, 112, x2) + +inst_39: +// rs1_val == 1073741824, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40000000, 0x7ff, x1, 116, x2) + +inst_40: +// rs1_val == 536870912, imm_val == 2 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000000, 0x2, x1, 120, x2) + +inst_41: +// rs1_val == 268435456, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000000; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000000, 0x3, x1, 124, x2) + +inst_42: +// rs1_val == 134217728, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000000; immval:0xeff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000000, 0xeff, x1, 128, x2) + +inst_43: +// rs1_val == 67108864, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000000; immval:0xe +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000000, 0xe, x1, 132, x2) + +inst_44: +// rs1_val == 33554432, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000000; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000000, 0x5, x1, 136, x2) + +inst_45: +// rs1_val == 16777216, imm_val == 2048 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000000; immval:0x800 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1000000, 0x800, x1, 140, x2) + +inst_46: +// rs1_val == 8388608, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x800000, 0x7, x1, 144, x2) + +inst_47: +// rs1_val == 4194304, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400000; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x400000, 0xaab, x1, 148, x2) + +inst_48: +// rs1_val == 2097152, imm_val == 1 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200000; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200000, 0x1, x1, 152, x2) + +inst_49: +// rs1_val == 1048576, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100000; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100000, 0x7ff, x1, 156, x2) + +inst_50: +// rs1_val == 262144, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000; immval:0xff7 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x40000, 0xff7, x1, 160, x2) + +inst_51: +// rs1_val == 131072, imm_val == 4 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000, 0x4, x1, 164, x2) + +inst_52: +// rs1_val == 65536, rs1_val==65536 and imm_val==3 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3, x1, 168, x2) + +inst_53: +// rs1_val == 32768, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000; immval:0xffb +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000, 0xffb, x1, 172, x2) + +inst_54: +// rs1_val == 16384, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000, 0x2, x1, 176, x2) + +inst_55: +// rs1_val == 8192, imm_val == 8 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000; immval:0x8 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000, 0x8, x1, 180, x2) + +inst_56: +// rs1_val == 4096, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1000, 0x2d, x1, 184, x2) + +inst_57: +// rs1_val == 2048, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800; immval:0xfbf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x800, 0xfbf, x1, 188, x2) + +inst_58: +// rs1_val == 1024, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400; immval:0x20 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x400, 0x20, x1, 192, x2) + +inst_59: +// rs1_val == 512, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200; immval:0x9 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200, 0x9, x1, 196, x2) + +inst_60: +// rs1_val == 256, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100; immval:0x7 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100, 0x7, x1, 200, x2) + +inst_61: +// rs1_val == 128, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80; immval:0x800 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80, 0x800, x1, 204, x2) + +inst_62: +// rs1_val == 64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40, 0x3e, x1, 208, x2) + +inst_63: +// rs1_val == 32, imm_val == 2730 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x20, 0xaaa, x1, 212, x2) + +inst_64: +// rs1_val == 16, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10; immval:0xfef +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10, 0xfef, x1, 216, x2) + +inst_65: +// rs1_val == 8, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x8, 0x0, x1, 220, x2) + +inst_66: +// rs1_val == 4, rs1_val==4 and imm_val==4, rs1_val == imm_val and rs1_val > 0 and imm_val > 0 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x4, x1, 224, x2) + +inst_67: +// rs1_val == 2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xbff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xbff, x1, 228, x2) + +inst_68: +// rs1_val == 1, rs1_val==1 and imm_val==1638 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x666, x1, 232, x2) + +inst_69: +// imm_val == 1024, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x400 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x400, x1, 236, x2) + +inst_70: +// imm_val == 256, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xdfffffff; immval:0x100 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xdfffffff, 0x100, x1, 240, x2) + +inst_71: +// imm_val == 64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x12; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x12, 0x40, x1, 244, x2) + +inst_72: +// rs1_val==65536 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x40, x1, 248, x2) + +inst_73: +// rs1_val==65536 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x1, x1, 252, x2) + +inst_74: +// rs1_val==65536 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2e, x1, 256, x2) + +inst_75: +// rs1_val==65536 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x667, x1, 260, x2) + +inst_76: +// rs1_val==65536 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x334, x1, 264, x2) + +inst_77: +// rs1_val==65536 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x6, x1, 268, x2) + +inst_78: +// rs1_val==65536 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaab, x1, 272, x2) + +inst_79: +// rs1_val==65536 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x556, x1, 276, x2) + +inst_80: +// rs1_val==65536 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x4, x1, 280, x2) + +inst_81: +// rs1_val==65536 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3e, x1, 284, x2) + +inst_82: +// rs1_val==65536 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x0, x1, 288, x2) + +inst_83: +// rs1_val==65536 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2c, x1, 292, x2) + +inst_84: +// rs1_val==65536 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x665, x1, 296, x2) + +inst_85: +// rs1_val==65536 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x332, x1, 300, x2) + +inst_86: +// rs1_val==65536 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaa9, x1, 304, x2) + +inst_87: +// rs1_val==65536 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x554, x1, 308, x2) + +inst_88: +// rs1_val==65536 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2, x1, 312, x2) + +inst_89: +// rs1_val==65536 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3f, x1, 316, x2) + +inst_90: +// rs1_val==65536 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2d, x1, 320, x2) + +inst_91: +// rs1_val==65536 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x666, x1, 324, x2) + +inst_92: +// rs1_val==65536 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x333, x1, 328, x2) + +inst_93: +// rs1_val==65536 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x5, x1, 332, x2) + +inst_94: +// rs1_val==65536 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaaa, x1, 336, x2) + +inst_95: +// rs1_val==65536 and imm_val==1365, imm_val == 1365 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x555, x1, 340, x2) + +inst_96: +// rs1_val==1 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x40, x1, 344, x2) + +inst_97: +// rs1_val==1 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x1, x1, 348, x2) + +inst_98: +// rs1_val==1 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2e, x1, 352, x2) + +inst_99: +// rs1_val==1 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x667, x1, 356, x2) + +inst_100: +// rs1_val==1 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x334, x1, 360, x2) + +inst_101: +// rs1_val==1 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x6, x1, 364, x2) + +inst_102: +// rs1_val==1 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaab, x1, 368, x2) + +inst_103: +// rs1_val==1 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x556, x1, 372, x2) + +inst_104: +// rs1_val==1 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x4, x1, 376, x2) + +inst_105: +// rs1_val==1 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3e, x1, 380, x2) + +inst_106: +// rs1_val==1 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x0, x1, 384, x2) + +inst_107: +// rs1_val==1 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2c, x1, 388, x2) + +inst_108: +// rs1_val==1 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x665, x1, 392, x2) + +inst_109: +// rs1_val==1 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x332, x1, 396, x2) + +inst_110: +// rs1_val==1 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaa9, x1, 400, x2) + +inst_111: +// rs1_val==1 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x554, x1, 404, x2) + +inst_112: +// rs1_val==1 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2, x1, 408, x2) + +inst_113: +// rs1_val==1 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3f, x1, 412, x2) + +inst_114: +// rs1_val==1 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2d, x1, 416, x2) + +inst_115: +// rs1_val==1 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x333, x1, 420, x2) + +inst_116: +// rs1_val==1 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x5, x1, 424, x2) + +inst_117: +// rs1_val==1 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaaa, x1, 428, x2) + +inst_118: +// rs1_val==1 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x555, x1, 432, x2) + +inst_119: +// rs1_val==1 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3, x1, 436, x2) + +inst_120: +// rs1_val==46341 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x40, x1, 440, x2) + +inst_121: +// rs1_val==46341 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x1, x1, 444, x2) + +inst_122: +// rs1_val==46341 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2e, x1, 448, x2) + +inst_123: +// rs1_val==46341 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x667, x1, 452, x2) + +inst_124: +// rs1_val==46341 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x334, x1, 456, x2) + +inst_125: +// rs1_val==46341 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x6, x1, 460, x2) + +inst_126: +// rs1_val==46341 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaab, x1, 464, x2) + +inst_127: +// rs1_val==46341 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x556, x1, 468, x2) + +inst_128: +// rs1_val==46341 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x4, x1, 472, x2) + +inst_129: +// rs1_val==46341 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3e, x1, 476, x2) + +inst_130: +// rs1_val==46341 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x0, x1, 480, x2) + +inst_131: +// rs1_val==46341 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2c, x1, 484, x2) + +inst_132: +// rs1_val==46341 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x665, x1, 488, x2) + +inst_133: +// rs1_val==46341 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x332, x1, 492, x2) + +inst_134: +// rs1_val==46341 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaa9, x1, 496, x2) + +inst_135: +// rs1_val==46341 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x554, x1, 500, x2) + +inst_136: +// rs1_val==46341 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2, x1, 504, x2) + +inst_137: +// rs1_val==46341 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3f, x1, 508, x2) + +inst_138: +// rs1_val==46341 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2d, x1, 512, x2) + +inst_139: +// rs1_val==46341 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x666, x1, 516, x2) + +inst_140: +// rs1_val==46341 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x333, x1, 520, x2) + +inst_141: +// rs1_val==46341 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x5, x1, 524, x2) + +inst_142: +// rs1_val==46341 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaaa, x1, 528, x2) + +inst_143: +// rs1_val==46341 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x555, x1, 532, x2) + +inst_144: +// rs1_val==46341 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3, x1, 536, x2) + +inst_145: +// rs1_val==1717986919 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x40, x1, 540, x2) + +inst_146: +// rs1_val==1717986919 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x1, x1, 544, x2) + +inst_147: +// rs1_val==1717986919 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2e, x1, 548, x2) + +inst_148: +// rs1_val==1717986919 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x667, x1, 552, x2) + +inst_149: +// rs1_val==1717986919 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x334, x1, 556, x2) + +inst_150: +// rs1_val==1717986919 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x6, x1, 560, x2) + +inst_151: +// rs1_val==1717986919 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaab, x1, 564, x2) + +inst_152: +// rs1_val==1717986919 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x556, x1, 568, x2) + +inst_153: +// rs1_val==1717986919 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x4, x1, 572, x2) + +inst_154: +// rs1_val==1717986919 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3e, x1, 576, x2) + +inst_155: +// rs1_val==1717986919 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x0, x1, 580, x2) + +inst_156: +// rs1_val==1717986919 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2c, x1, 584, x2) + +inst_157: +// rs1_val==1717986919 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x665, x1, 588, x2) + +inst_158: +// rs1_val==1717986919 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x332, x1, 592, x2) + +inst_159: +// rs1_val==1717986919 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaa9, x1, 596, x2) + +inst_160: +// rs1_val==1717986919 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x554, x1, 600, x2) + +inst_161: +// rs1_val==1717986919 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2, x1, 604, x2) + +inst_162: +// rs1_val==1717986919 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3f, x1, 608, x2) + +inst_163: +// rs1_val==1717986919 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2d, x1, 612, x2) + +inst_164: +// rs1_val==1717986919 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x666, x1, 616, x2) + +inst_165: +// rs1_val==1717986919 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x333, x1, 620, x2) + +inst_166: +// rs1_val==1717986919 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x5, x1, 624, x2) + +inst_167: +// rs1_val==1717986919 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaaa, x1, 628, x2) + +inst_168: +// rs1_val==1717986919 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x555, x1, 632, x2) + +inst_169: +// rs1_val==1717986919 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3, x1, 636, x2) + +inst_170: +// rs1_val==858993460 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x40, x1, 640, x2) + +inst_171: +// rs1_val==858993460 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x1, x1, 644, x2) + +inst_172: +// rs1_val==858993460 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2e, x1, 648, x2) + +inst_173: +// rs1_val==858993460 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x667, x1, 652, x2) + +inst_174: +// rs1_val==858993460 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x334, x1, 656, x2) + +inst_175: +// rs1_val==858993460 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x6, x1, 660, x2) + +inst_176: +// rs1_val==858993460 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaab, x1, 664, x2) + +inst_177: +// rs1_val==858993460 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x556, x1, 668, x2) + +inst_178: +// rs1_val==858993460 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x4, x1, 672, x2) + +inst_179: +// rs1_val==858993460 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3e, x1, 676, x2) + +inst_180: +// rs1_val==858993460 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x0, x1, 680, x2) + +inst_181: +// rs1_val==858993460 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2c, x1, 684, x2) + +inst_182: +// rs1_val==858993460 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x665, x1, 688, x2) + +inst_183: +// rs1_val==858993460 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x332, x1, 692, x2) + +inst_184: +// rs1_val==858993460 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaa9, x1, 696, x2) + +inst_185: +// rs1_val==858993460 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x554, x1, 700, x2) + +inst_186: +// rs1_val==858993460 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2, x1, 704, x2) + +inst_187: +// rs1_val==858993460 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3f, x1, 708, x2) + +inst_188: +// rs1_val==858993460 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2d, x1, 712, x2) + +inst_189: +// rs1_val==858993460 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x666, x1, 716, x2) + +inst_190: +// rs1_val==858993460 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x333, x1, 720, x2) + +inst_191: +// rs1_val==858993460 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x5, x1, 724, x2) + +inst_192: +// rs1_val==858993460 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaaa, x1, 728, x2) + +inst_193: +// rs1_val==858993460 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x555, x1, 732, x2) + +inst_194: +// rs1_val==858993460 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3, x1, 736, x2) + +inst_195: +// rs1_val==6 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x40, x1, 740, x2) + +inst_196: +// rs1_val==6 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x1, x1, 744, x2) + +inst_197: +// rs1_val==6 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2e, x1, 748, x2) + +inst_198: +// rs1_val==6 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x667, x1, 752, x2) + +inst_199: +// rs1_val==6 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x334, x1, 756, x2) + +inst_200: +// rs1_val==6 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x6, x1, 760, x2) + +inst_201: +// rs1_val==6 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaab, x1, 764, x2) + +inst_202: +// rs1_val==6 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x556, x1, 768, x2) + +inst_203: +// rs1_val==6 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x4, x1, 772, x2) + +inst_204: +// rs1_val==6 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3e, x1, 776, x2) + +inst_205: +// rs1_val==6 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x0, x1, 780, x2) + +inst_206: +// rs1_val==6 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2c, x1, 784, x2) + +inst_207: +// rs1_val==6 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x665, x1, 788, x2) + +inst_208: +// rs1_val==6 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x332, x1, 792, x2) + +inst_209: +// rs1_val==6 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaa9, x1, 796, x2) + +inst_210: +// rs1_val==6 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x554, x1, 800, x2) + +inst_211: +// rs1_val==6 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x2, x1, 804, x2) + +inst_212: +// rs1_val==6 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3f, x1, 808, x2) + +inst_213: +// rs1_val==6 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2d, x1, 812, x2) + +inst_214: +// rs1_val==6 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x666, x1, 816, x2) + +inst_215: +// rs1_val==6 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x333, x1, 820, x2) + +inst_216: +// rs1_val==6 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x5, x1, 824, x2) + +inst_217: +// rs1_val==6 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaaa, x1, 828, x2) + +inst_218: +// rs1_val==6 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x555, x1, 832, x2) + +inst_219: +// rs1_val==6 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x3, x1, 836, x2) + +inst_220: +// rs1_val==2863311531 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x40, x1, 840, x2) + +inst_221: +// rs1_val==2863311531 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x1, x1, 844, x2) + +inst_222: +// rs1_val==2863311531 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2e, x1, 848, x2) + +inst_223: +// rs1_val==2863311531 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x667, x1, 852, x2) + +inst_224: +// rs1_val==2863311531 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x334, x1, 856, x2) + +inst_225: +// rs1_val==2863311531 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x6, x1, 860, x2) + +inst_226: +// rs1_val==2863311531 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaab, x1, 864, x2) + +inst_227: +// rs1_val==2863311531 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x556, x1, 868, x2) + +inst_228: +// rs1_val==2863311531 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x4, x1, 872, x2) + +inst_229: +// rs1_val==2863311531 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3e, x1, 876, x2) + +inst_230: +// rs1_val==2863311531 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x0, x1, 880, x2) + +inst_231: +// rs1_val==2863311531 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2c, x1, 884, x2) + +inst_232: +// rs1_val==2863311531 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x665, x1, 888, x2) + +inst_233: +// rs1_val==2863311531 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x332, x1, 892, x2) + +inst_234: +// rs1_val==2863311531 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaa9, x1, 896, x2) + +inst_235: +// rs1_val==2863311531 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x554, x1, 900, x2) + +inst_236: +// rs1_val==2863311531 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2, x1, 904, x2) + +inst_237: +// rs1_val==2863311531 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3f, x1, 908, x2) + +inst_238: +// rs1_val==2863311531 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2d, x1, 912, x2) + +inst_239: +// rs1_val==2863311531 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x666, x1, 916, x2) + +inst_240: +// rs1_val==2863311531 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x333, x1, 920, x2) + +inst_241: +// rs1_val==2863311531 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x5, x1, 924, x2) + +inst_242: +// rs1_val==2863311531 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaaa, x1, 928, x2) + +inst_243: +// rs1_val==2863311531 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x555, x1, 932, x2) + +inst_244: +// rs1_val==2863311531 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3, x1, 936, x2) + +inst_245: +// rs1_val==1431655766 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x40, x1, 940, x2) + +inst_246: +// rs1_val==1431655766 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x1, x1, 944, x2) + +inst_247: +// rs1_val==1431655766 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2e, x1, 948, x2) + +inst_248: +// rs1_val==1431655766 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x667, x1, 952, x2) + +inst_249: +// rs1_val==1431655766 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x334, x1, 956, x2) + +inst_250: +// rs1_val==1431655766 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x6, x1, 960, x2) + +inst_251: +// rs1_val==1431655766 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaab, x1, 964, x2) + +inst_252: +// rs1_val==1431655766 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x556, x1, 968, x2) + +inst_253: +// rs1_val==1431655766 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x4, x1, 972, x2) + +inst_254: +// rs1_val==1431655766 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3e, x1, 976, x2) + +inst_255: +// rs1_val==1431655766 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x0, x1, 980, x2) + +inst_256: +// rs1_val==1431655766 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2c, x1, 984, x2) + +inst_257: +// rs1_val==1431655766 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x665, x1, 988, x2) + +inst_258: +// rs1_val==1431655766 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x332, x1, 992, x2) + +inst_259: +// rs1_val==1431655766 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaa9, x1, 996, x2) + +inst_260: +// rs1_val==1431655766 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x554, x1, 1000, x2) + +inst_261: +// rs1_val==1431655766 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2, x1, 1004, x2) + +inst_262: +// rs1_val==1431655766 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3f, x1, 1008, x2) + +inst_263: +// rs1_val==1431655766 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2d, x1, 1012, x2) + +inst_264: +// rs1_val==1431655766 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x666, x1, 1016, x2) + +inst_265: +// rs1_val==1431655766 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x333, x1, 1020, x2) + +inst_266: +// rs1_val==1431655766 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x5, x1, 1024, x2) + +inst_267: +// rs1_val==1431655766 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaaa, x1, 1028, x2) + +inst_268: +// rs1_val==1431655766 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x555, x1, 1032, x2) + +inst_269: +// rs1_val==1431655766 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3, x1, 1036, x2) + +inst_270: +// rs1_val==4 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x40, x1, 1040, x2) + +inst_271: +// rs1_val==4 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x1, x1, 1044, x2) + +inst_272: +// rs1_val==4 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2e, x1, 1048, x2) + +inst_273: +// rs1_val==4 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x667, x1, 1052, x2) + +inst_274: +// rs1_val==4 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x334, x1, 1056, x2) + +inst_275: +// rs1_val==4 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x6, x1, 1060, x2) + +inst_276: +// rs1_val==4 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaab, x1, 1064, x2) + +inst_277: +// rs1_val==4 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x556, x1, 1068, x2) + +inst_278: +// rs1_val==4 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3e, x1, 1072, x2) + +inst_279: +// rs1_val==4 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x0, x1, 1076, x2) + +inst_280: +// rs1_val==4 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2c, x1, 1080, x2) + +inst_281: +// rs1_val==4 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x665, x1, 1084, x2) + +inst_282: +// rs1_val==4 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x332, x1, 1088, x2) + +inst_283: +// rs1_val==4 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaa9, x1, 1092, x2) + +inst_284: +// rs1_val==4 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x554, x1, 1096, x2) + +inst_285: +// rs1_val==4 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x2, x1, 1100, x2) + +inst_286: +// rs1_val==4 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3f, x1, 1104, x2) + +inst_287: +// rs1_val==4 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2d, x1, 1108, x2) + +inst_288: +// rs1_val==4 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x666, x1, 1112, x2) + +inst_289: +// rs1_val==4 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x333, x1, 1116, x2) + +inst_290: +// rs1_val==4 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x5, x1, 1120, x2) + +inst_291: +// rs1_val==4 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaaa, x1, 1124, x2) + +inst_292: +// rs1_val==4 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x555, x1, 1128, x2) + +inst_293: +// rs1_val==4 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x3, x1, 1132, x2) + +inst_294: +// rs1_val==65534 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x40, x1, 1136, x2) + +inst_295: +// rs1_val==65534 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x1, x1, 1140, x2) + +inst_296: +// rs1_val==65534 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2e, x1, 1144, x2) + +inst_297: +// rs1_val==65534 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x667, x1, 1148, x2) + +inst_298: +// rs1_val==65534 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x334, x1, 1152, x2) + +inst_299: +// rs1_val==65534 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x6, x1, 1156, x2) + +inst_300: +// rs1_val==65534 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaab, x1, 1160, x2) + +inst_301: +// rs1_val==65534 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x556, x1, 1164, x2) + +inst_302: +// rs1_val==65534 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x4, x1, 1168, x2) + +inst_303: +// rs1_val==65534 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3e, x1, 1172, x2) + +inst_304: +// rs1_val==65534 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x0, x1, 1176, x2) + +inst_305: +// rs1_val==65534 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2c, x1, 1180, x2) + +inst_306: +// rs1_val==65534 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x665, x1, 1184, x2) + +inst_307: +// rs1_val==65534 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x332, x1, 1188, x2) + +inst_308: +// rs1_val==65534 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaa9, x1, 1192, x2) + +inst_309: +// rs1_val==65534 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x554, x1, 1196, x2) + +inst_310: +// rs1_val==65534 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2, x1, 1200, x2) + +inst_311: +// rs1_val==65534 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3f, x1, 1204, x2) + +inst_312: +// rs1_val==65534 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2d, x1, 1208, x2) + +inst_313: +// rs1_val==65534 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x666, x1, 1212, x2) + +inst_314: +// rs1_val==65534 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x333, x1, 1216, x2) + +inst_315: +// rs1_val==65534 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x5, x1, 1220, x2) + +inst_316: +// rs1_val==65534 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaaa, x1, 1224, x2) + +inst_317: +// rs1_val==65534 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x555, x1, 1228, x2) + +inst_318: +// rs1_val==65534 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3, x1, 1232, x2) + +inst_319: +// rs1_val==0 and imm_val==64, rs1_val == 0 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x40, x1, 1236, x2) + +inst_320: +// rs1_val==0 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x1, x1, 1240, x2) + +inst_321: +// rs1_val==0 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2e, x1, 1244, x2) + +inst_322: +// rs1_val==0 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x667, x1, 1248, x2) + +inst_323: +// rs1_val==0 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x334, x1, 1252, x2) + +inst_324: +// rs1_val==0 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x6, x1, 1256, x2) + +inst_325: +// rs1_val==0 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaab, x1, 1260, x2) + +inst_326: +// rs1_val==0 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x556, x1, 1264, x2) + +inst_327: +// rs1_val==0 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x4, x1, 1268, x2) + +inst_328: +// rs1_val==0 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3e, x1, 1272, x2) + +inst_329: +// rs1_val==0 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x0, 0x0, x1, 1276, x2) + +inst_330: +// rs1_val==0 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2c, x1, 1280, x2) + +inst_331: +// rs1_val==0 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x665, x1, 1284, x2) + +inst_332: +// rs1_val==0 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x332, x1, 1288, x2) + +inst_333: +// rs1_val==0 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaa9, x1, 1292, x2) + +inst_334: +// rs1_val==0 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x554, x1, 1296, x2) + +inst_335: +// rs1_val==0 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2, x1, 1300, x2) + +inst_336: +// rs1_val==0 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3f, x1, 1304, x2) + +inst_337: +// rs1_val==0 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2d, x1, 1308, x2) + +inst_338: +// rs1_val==0 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x666, x1, 1312, x2) + +inst_339: +// rs1_val==0 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x333, x1, 1316, x2) + +inst_340: +// rs1_val==0 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x5, x1, 1320, x2) + +inst_341: +// rs1_val==0 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaaa, x1, 1324, x2) + +inst_342: +// rs1_val==0 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x555, x1, 1328, x2) + +inst_343: +// rs1_val==0 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3, x1, 1332, x2) + +inst_344: +// rs1_val==46339 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x40, x1, 1336, x2) + +inst_345: +// rs1_val==46339 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x1, x1, 1340, x2) + +inst_346: +// rs1_val==46339 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2e, x1, 1344, x2) + +inst_347: +// rs1_val==46339 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x667, x1, 1348, x2) + +inst_348: +// rs1_val==46339 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x334, x1, 1352, x2) + +inst_349: +// rs1_val==46339 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x6, x1, 1356, x2) + +inst_350: +// rs1_val==46339 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaab, x1, 1360, x2) + +inst_351: +// rs1_val==46339 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x556, x1, 1364, x2) + +inst_352: +// rs1_val==46339 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x4, x1, 1368, x2) + +inst_353: +// rs1_val==46339 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3e, x1, 1372, x2) + +inst_354: +// rs1_val==46339 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x0, x1, 1376, x2) + +inst_355: +// rs1_val==46339 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2c, x1, 1380, x2) + +inst_356: +// rs1_val==46339 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x665, x1, 1384, x2) + +inst_357: +// rs1_val==46339 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x332, x1, 1388, x2) + +inst_358: +// rs1_val==46339 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaa9, x1, 1392, x2) + +inst_359: +// rs1_val==46339 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x554, x1, 1396, x2) + +inst_360: +// rs1_val==46339 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2, x1, 1400, x2) + +inst_361: +// rs1_val==46339 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3f, x1, 1404, x2) + +inst_362: +// rs1_val==46339 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2d, x1, 1408, x2) + +inst_363: +// rs1_val==46339 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x666, x1, 1412, x2) + +inst_364: +// rs1_val==46339 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x333, x1, 1416, x2) + +inst_365: +// rs1_val==46339 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x5, x1, 1420, x2) + +inst_366: +// rs1_val==46339 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaaa, x1, 1424, x2) + +inst_367: +// rs1_val==46339 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x555, x1, 1428, x2) + +inst_368: +// rs1_val==46339 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3, x1, 1432, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x40, x1, 1436, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x1, x1, 1440, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1444, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x667, x1, 1448, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x334, x1, 1452, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x6, x1, 1456, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaab, x1, 1460, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x556, x1, 1464, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x4, x1, 1468, x2) + +inst_378: +// rs1_val==1717986917 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3e, x1, 1472, x2) + +inst_379: +// rs1_val==1717986917 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x0, x1, 1476, x2) + +inst_380: +// rs1_val==1717986917 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1480, x2) + +inst_381: +// rs1_val==1717986917 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x665, x1, 1484, x2) + +inst_382: +// rs1_val==1717986917 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x332, x1, 1488, x2) + +inst_383: +// rs1_val==1717986917 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaa9, x1, 1492, x2) + +inst_384: +// rs1_val==1717986917 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x554, x1, 1496, x2) + +inst_385: +// rs1_val==1717986917 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2, x1, 1500, x2) + +inst_386: +// rs1_val==1717986917 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3f, x1, 1504, x2) + +inst_387: +// rs1_val==1717986917 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1508, x2) + +inst_388: +// rs1_val==1717986917 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x666, x1, 1512, x2) + +inst_389: +// rs1_val==1717986917 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x333, x1, 1516, x2) + +inst_390: +// rs1_val==1717986917 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x5, x1, 1520, x2) + +inst_391: +// rs1_val==1717986917 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaaa, x1, 1524, x2) + +inst_392: +// rs1_val==1717986917 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x555, x1, 1528, x2) + +inst_393: +// rs1_val==1717986917 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3, x1, 1532, x2) + +inst_394: +// rs1_val==858993458 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x40, x1, 1536, x2) + +inst_395: +// rs1_val==858993458 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x1, x1, 1540, x2) + +inst_396: +// rs1_val==858993458 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1544, x2) + +inst_397: +// rs1_val==858993458 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x667, x1, 1548, x2) + +inst_398: +// rs1_val==858993458 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x334, x1, 1552, x2) + +inst_399: +// rs1_val==858993458 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x6, x1, 1556, x2) + +inst_400: +// rs1_val==858993458 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaab, x1, 1560, x2) + +inst_401: +// rs1_val==858993458 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x556, x1, 1564, x2) + +inst_402: +// rs1_val==858993458 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x4, x1, 1568, x2) + +inst_403: +// rs1_val==858993458 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3e, x1, 1572, x2) + +inst_404: +// rs1_val==858993458 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x0, x1, 1576, x2) + +inst_405: +// rs1_val==858993458 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1580, x2) + +inst_406: +// rs1_val==858993458 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x665, x1, 1584, x2) + +inst_407: +// rs1_val==858993458 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x332, x1, 1588, x2) + +inst_408: +// rs1_val==858993458 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaa9, x1, 1592, x2) + +inst_409: +// rs1_val==858993458 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x554, x1, 1596, x2) + +inst_410: +// rs1_val==858993458 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2, x1, 1600, x2) + +inst_411: +// rs1_val==858993458 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3f, x1, 1604, x2) + +inst_412: +// rs1_val==858993458 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1608, x2) + +inst_413: +// rs1_val==858993458 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x666, x1, 1612, x2) + +inst_414: +// rs1_val==858993458 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x333, x1, 1616, x2) + +inst_415: +// rs1_val==858993458 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x5, x1, 1620, x2) + +inst_416: +// rs1_val==858993458 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaaa, x1, 1624, x2) + +inst_417: +// rs1_val==858993458 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x555, x1, 1628, x2) + +inst_418: +// rs1_val==858993458 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3, x1, 1632, x2) + +inst_419: +// rs1_val==2863311529 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x40, x1, 1636, x2) + +inst_420: +// rs1_val==2863311529 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x1, x1, 1640, x2) + +inst_421: +// rs1_val==2863311529 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2e, x1, 1644, x2) + +inst_422: +// rs1_val==2863311529 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x667, x1, 1648, x2) + +inst_423: +// rs1_val==2863311529 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x334, x1, 1652, x2) + +inst_424: +// rs1_val==2863311529 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x6, x1, 1656, x2) + +inst_425: +// rs1_val==2863311529 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaab, x1, 1660, x2) + +inst_426: +// rs1_val==2863311529 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x556, x1, 1664, x2) + +inst_427: +// rs1_val==2863311529 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x4, x1, 1668, x2) + +inst_428: +// rs1_val==2863311529 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3e, x1, 1672, x2) + +inst_429: +// rs1_val==2863311529 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x0, x1, 1676, x2) + +inst_430: +// rs1_val==2863311529 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2c, x1, 1680, x2) + +inst_431: +// rs1_val==2863311529 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x665, x1, 1684, x2) + +inst_432: +// rs1_val==2863311529 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x332, x1, 1688, x2) + +inst_433: +// rs1_val==2863311529 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaa9, x1, 1692, x2) + +inst_434: +// rs1_val==2863311529 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x554, x1, 1696, x2) + +inst_435: +// rs1_val==2863311529 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2, x1, 1700, x2) + +inst_436: +// rs1_val==2863311529 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3f, x1, 1704, x2) + +inst_437: +// rs1_val==2863311529 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2d, x1, 1708, x2) + +inst_438: +// rs1_val==2863311529 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x666, x1, 1712, x2) + +inst_439: +// rs1_val==2863311529 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x333, x1, 1716, x2) + +inst_440: +// rs1_val==2863311529 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x5, x1, 1720, x2) + +inst_441: +// rs1_val==2863311529 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaaa, x1, 1724, x2) + +inst_442: +// rs1_val==2 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x555, x1, 1728, x2) + +inst_443: +// rs1_val==2 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3, x1, 1732, x2) + +inst_444: +// rs1_val==65535 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x40, x1, 1736, x2) + +inst_445: +// rs1_val==65535 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x1, x1, 1740, x2) + +inst_446: +// rs1_val==65535 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2e, x1, 1744, x2) + +inst_447: +// rs1_val==65535 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x667, x1, 1748, x2) + +inst_448: +// rs1_val==65535 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x334, x1, 1752, x2) + +inst_449: +// rs1_val==65535 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x6, x1, 1756, x2) + +inst_450: +// rs1_val==65535 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaab, x1, 1760, x2) + +inst_451: +// rs1_val==65535 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x556, x1, 1764, x2) + +inst_452: +// rs1_val==65535 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x4, x1, 1768, x2) + +inst_453: +// rs1_val==65535 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3e, x1, 1772, x2) + +inst_454: +// rs1_val==65535 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x0, x1, 1776, x2) + +inst_455: +// rs1_val==65535 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2c, x1, 1780, x2) + +inst_456: +// rs1_val==65535 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x665, x1, 1784, x2) + +inst_457: +// rs1_val==65535 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x332, x1, 1788, x2) + +inst_458: +// rs1_val==65535 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaa9, x1, 1792, x2) + +inst_459: +// rs1_val==65535 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x554, x1, 1796, x2) + +inst_460: +// rs1_val==65535 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2, x1, 1800, x2) + +inst_461: +// rs1_val==65535 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3f, x1, 1804, x2) + +inst_462: +// rs1_val==65535 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2d, x1, 1808, x2) + +inst_463: +// rs1_val==65535 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x666, x1, 1812, x2) + +inst_464: +// rs1_val==65535 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x333, x1, 1816, x2) + +inst_465: +// rs1_val==65535 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x5, x1, 1820, x2) + +inst_466: +// rs1_val==65535 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaaa, x1, 1824, x2) + +inst_467: +// rs1_val==65535 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x555, x1, 1828, x2) + +inst_468: +// rs1_val==65535 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3, x1, 1832, x2) + +inst_469: +// rs1_val==46340 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x40, x1, 1836, x2) + +inst_470: +// rs1_val==46340 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x1, x1, 1840, x2) + +inst_471: +// rs1_val==46340 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2e, x1, 1844, x2) + +inst_472: +// rs1_val==46340 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x667, x1, 1848, x2) + +inst_473: +// rs1_val==46340 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x334, x1, 1852, x2) + +inst_474: +// rs1_val==46340 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x6, x1, 1856, x2) + +inst_475: +// rs1_val==46340 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaab, x1, 1860, x2) + +inst_476: +// rs1_val==46340 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x556, x1, 1864, x2) + +inst_477: +// rs1_val==46340 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x4, x1, 1868, x2) + +inst_478: +// rs1_val==46340 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3e, x1, 1872, x2) + +inst_479: +// rs1_val==46340 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x0, x1, 1876, x2) + +inst_480: +// rs1_val==46340 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2c, x1, 1880, x2) + +inst_481: +// rs1_val==46340 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x665, x1, 1884, x2) + +inst_482: +// rs1_val==46340 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x332, x1, 1888, x2) + +inst_483: +// rs1_val==46340 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaa9, x1, 1892, x2) + +inst_484: +// rs1_val==46340 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x554, x1, 1896, x2) + +inst_485: +// rs1_val==46340 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2, x1, 1900, x2) + +inst_486: +// rs1_val==46340 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3f, x1, 1904, x2) + +inst_487: +// rs1_val==46340 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2d, x1, 1908, x2) + +inst_488: +// rs1_val==46340 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x666, x1, 1912, x2) + +inst_489: +// rs1_val==46340 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x333, x1, 1916, x2) + +inst_490: +// rs1_val==46340 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x5, x1, 1920, x2) + +inst_491: +// rs1_val==46340 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaaa, x1, 1924, x2) + +inst_492: +// rs1_val==46340 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x555, x1, 1928, x2) + +inst_493: +// rs1_val==46340 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3, x1, 1932, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x40, x1, 1936, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x1, x1, 1940, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1944, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x667, x1, 1948, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x334, x1, 1952, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x6, x1, 1956, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaab, x1, 1960, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x556, x1, 1964, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x4, x1, 1968, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3e, x1, 1972, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x0, x1, 1976, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1980, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x665, x1, 1984, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x332, x1, 1988, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaa9, x1, 1992, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x554, x1, 1996, x2) + +inst_510: +// rs1_val==1717986918 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2, x1, 2000, x2) + +inst_511: +// rs1_val==1717986918 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3f, x1, 2004, x2) + +inst_512: +// rs1_val==1717986918 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2d, x1, 2008, x2) + +inst_513: +// rs1_val==1717986918 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x666, x1, 2012, x2) + +inst_514: +// rs1_val==1717986918 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x333, x1, 2016, x2) + +inst_515: +// rs1_val==1717986918 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x5, x1, 2020, x2) + +inst_516: +// rs1_val==1717986918 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaaa, x1, 2024, x2) + +inst_517: +// rs1_val==1717986918 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x555, x1, 2028, x2) + +inst_518: +// rs1_val==1717986918 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3, x1, 2032, x2) + +inst_519: +// rs1_val==858993459 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x40, x1, 2036, x2) + +inst_520: +// rs1_val==858993459 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x1, x1, 2040, x2) + +inst_521: +// rs1_val==858993459 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2e, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_522: +// rs1_val==858993459 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x667, x1, 0, x2) + +inst_523: +// rs1_val==858993459 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x334, x1, 4, x2) + +inst_524: +// rs1_val==858993459 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x6, x1, 8, x2) + +inst_525: +// rs1_val==858993459 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaab, x1, 12, x2) + +inst_526: +// rs1_val==858993459 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x556, x1, 16, x2) + +inst_527: +// rs1_val==858993459 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x4, x1, 20, x2) + +inst_528: +// rs1_val==858993459 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3e, x1, 24, x2) + +inst_529: +// rs1_val==858993459 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x0, x1, 28, x2) + +inst_530: +// rs1_val==858993459 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2c, x1, 32, x2) + +inst_531: +// rs1_val==858993459 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x665, x1, 36, x2) + +inst_532: +// rs1_val==858993459 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x332, x1, 40, x2) + +inst_533: +// rs1_val==858993459 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaa9, x1, 44, x2) + +inst_534: +// rs1_val==858993459 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x554, x1, 48, x2) + +inst_535: +// rs1_val==858993459 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2, x1, 52, x2) + +inst_536: +// rs1_val==858993459 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3f, x1, 56, x2) + +inst_537: +// rs1_val==858993459 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2d, x1, 60, x2) + +inst_538: +// rs1_val==858993459 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x666, x1, 64, x2) + +inst_539: +// rs1_val==858993459 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x333, x1, 68, x2) + +inst_540: +// rs1_val==858993459 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x5, x1, 72, x2) + +inst_541: +// rs1_val==858993459 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaaa, x1, 76, x2) + +inst_542: +// rs1_val==858993459 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x555, x1, 80, x2) + +inst_543: +// rs1_val==858993459 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3, x1, 84, x2) + +inst_544: +// rs1_val==5 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x40, x1, 88, x2) + +inst_545: +// rs1_val==5 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x1, x1, 92, x2) + +inst_546: +// rs1_val==5 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2e, x1, 96, x2) + +inst_547: +// rs1_val==5 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x667, x1, 100, x2) + +inst_548: +// rs1_val==5 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x334, x1, 104, x2) + +inst_549: +// rs1_val==5 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x6, x1, 108, x2) + +inst_550: +// rs1_val==5 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaab, x1, 112, x2) + +inst_551: +// rs1_val==5 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x556, x1, 116, x2) + +inst_552: +// rs1_val==5 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x4, x1, 120, x2) + +inst_553: +// rs1_val==5 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3e, x1, 124, x2) + +inst_554: +// rs1_val==5 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x0, x1, 128, x2) + +inst_555: +// rs1_val==5 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2c, x1, 132, x2) + +inst_556: +// rs1_val==5 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x665, x1, 136, x2) + +inst_557: +// rs1_val==5 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x332, x1, 140, x2) + +inst_558: +// rs1_val==5 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaa9, x1, 144, x2) + +inst_559: +// rs1_val==5 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x554, x1, 148, x2) + +inst_560: +// rs1_val==5 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x2, x1, 152, x2) + +inst_561: +// rs1_val==5 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3f, x1, 156, x2) + +inst_562: +// rs1_val==5 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2d, x1, 160, x2) + +inst_563: +// rs1_val==5 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x666, x1, 164, x2) + +inst_564: +// rs1_val==5 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x333, x1, 168, x2) + +inst_565: +// rs1_val==5 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x5, x1, 172, x2) + +inst_566: +// rs1_val==5 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaaa, x1, 176, x2) + +inst_567: +// rs1_val==5 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x555, x1, 180, x2) + +inst_568: +// rs1_val==5 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x3, x1, 184, x2) + +inst_569: +// rs1_val==2863311530 and imm_val==64, rs1_val == 2863311530 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x40, x1, 188, x2) + +inst_570: +// rs1_val==2 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaa9, x1, 192, x2) + +inst_571: +// rs1_val==2863311530 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x1, x1, 196, x2) + +inst_572: +// rs1_val==2863311530 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2e, x1, 200, x2) + +inst_573: +// rs1_val==2863311530 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x667, x1, 204, x2) + +inst_574: +// rs1_val==2863311530 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x334, x1, 208, x2) + +inst_575: +// rs1_val==2863311530 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x6, x1, 212, x2) + +inst_576: +// rs1_val==2863311530 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaab, x1, 216, x2) + +inst_577: +// rs1_val==2863311530 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x556, x1, 220, x2) + +inst_578: +// rs1_val==2863311530 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x4, x1, 224, x2) + +inst_579: +// rs1_val==2863311530 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3e, x1, 228, x2) + +inst_580: +// rs1_val==2863311530 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x0, x1, 232, x2) + +inst_581: +// rs1_val==2863311530 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2c, x1, 236, x2) + +inst_582: +// rs1_val==2863311530 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x665, x1, 240, x2) + +inst_583: +// rs1_val==2863311530 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x332, x1, 244, x2) + +inst_584: +// rs1_val==2863311530 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaa9, x1, 248, x2) + +inst_585: +// rs1_val==2863311530 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x554, x1, 252, x2) + +inst_586: +// rs1_val==2863311530 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2, x1, 256, x2) + +inst_587: +// rs1_val==2863311530 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3f, x1, 260, x2) + +inst_588: +// rs1_val==2863311530 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2d, x1, 264, x2) + +inst_589: +// rs1_val==2863311530 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x666, x1, 268, x2) + +inst_590: +// rs1_val==2863311530 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x333, x1, 272, x2) + +inst_591: +// rs1_val==2863311530 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x5, x1, 276, x2) + +inst_592: +// rs1_val==2863311530 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaaa, x1, 280, x2) + +inst_593: +// rs1_val==2863311530 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x555, x1, 284, x2) + +inst_594: +// rs1_val==2863311530 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3, x1, 288, x2) + +inst_595: +// rs1_val==1431655765 and imm_val==64, rs1_val == 1431655765 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x40, x1, 292, x2) + +inst_596: +// rs1_val==1431655765 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x1, x1, 296, x2) + +inst_597: +// rs1_val==1431655765 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2e, x1, 300, x2) + +inst_598: +// rs1_val==1431655765 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x667, x1, 304, x2) + +inst_599: +// rs1_val==1431655765 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x334, x1, 308, x2) + +inst_600: +// rs1_val==1431655765 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x6, x1, 312, x2) + +inst_601: +// rs1_val==1431655765 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaab, x1, 316, x2) + +inst_602: +// rs1_val==1431655765 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x556, x1, 320, x2) + +inst_603: +// rs1_val==1431655765 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x4, x1, 324, x2) + +inst_604: +// rs1_val==1431655765 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3e, x1, 328, x2) + +inst_605: +// rs1_val==1431655765 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x0, x1, 332, x2) + +inst_606: +// rs1_val==1431655765 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2c, x1, 336, x2) + +inst_607: +// rs1_val==1431655765 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x665, x1, 340, x2) + +inst_608: +// rs1_val==1431655765 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x332, x1, 344, x2) + +inst_609: +// rs1_val==1431655765 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaa9, x1, 348, x2) + +inst_610: +// rs1_val==1431655765 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x554, x1, 352, x2) + +inst_611: +// rs1_val==1431655765 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2, x1, 356, x2) + +inst_612: +// rs1_val==1431655765 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3f, x1, 360, x2) + +inst_613: +// rs1_val==1431655765 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2d, x1, 364, x2) + +inst_614: +// rs1_val==1431655765 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x666, x1, 368, x2) + +inst_615: +// rs1_val==1431655765 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x333, x1, 372, x2) + +inst_616: +// rs1_val==1431655765 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x5, x1, 376, x2) + +inst_617: +// rs1_val==1431655765 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaaa, x1, 380, x2) + +inst_618: +// rs1_val==1431655765 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x555, x1, 384, x2) + +inst_619: +// rs1_val==1431655765 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3, x1, 388, x2) + +inst_620: +// rs1_val==3 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x40, x1, 392, x2) + +inst_621: +// rs1_val==3 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x1, x1, 396, x2) + +inst_622: +// rs1_val==3 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2e, x1, 400, x2) + +inst_623: +// rs1_val==3 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x667, x1, 404, x2) + +inst_624: +// rs1_val==3 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x334, x1, 408, x2) + +inst_625: +// rs1_val==3 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x6, x1, 412, x2) + +inst_626: +// rs1_val==3 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaab, x1, 416, x2) + +inst_627: +// rs1_val==3 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x556, x1, 420, x2) + +inst_628: +// rs1_val==3 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x4, x1, 424, x2) + +inst_629: +// rs1_val==3 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3e, x1, 428, x2) + +inst_630: +// rs1_val==3 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x0, x1, 432, x2) + +inst_631: +// rs1_val==3 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2c, x1, 436, x2) + +inst_632: +// rs1_val==3 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x665, x1, 440, x2) + +inst_633: +// rs1_val==3 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x332, x1, 444, x2) + +inst_634: +// rs1_val==3 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaa9, x1, 448, x2) + +inst_635: +// rs1_val==3 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x554, x1, 452, x2) + +inst_636: +// rs1_val==3 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x2, x1, 456, x2) + +inst_637: +// rs1_val==3 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3f, x1, 460, x2) + +inst_638: +// rs1_val==3 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2d, x1, 464, x2) + +inst_639: +// rs1_val==3 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x666, x1, 468, x2) + +inst_640: +// rs1_val==3 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x333, x1, 472, x2) + +inst_641: +// rs1_val==3 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x5, x1, 476, x2) + +inst_642: +// rs1_val==3 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaaa, x1, 480, x2) + +inst_643: +// rs1_val==3 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x555, x1, 484, x2) + +inst_644: +// rs1_val==3 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x3, x1, 488, x2) + +inst_645: +// rs1_val==2863311529 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x555, x1, 492, x2) + +inst_646: +// rs1_val==2863311529 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3, x1, 496, x2) + +inst_647: +// rs1_val == (2**(xlen)-1), +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffff; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffff, 0x40, x1, 500, x2) + +inst_648: +// rs1_val==1431655764 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x40, x1, 504, x2) + +inst_649: +// rs1_val==1431655764 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x1, x1, 508, x2) + +inst_650: +// rs1_val==1431655764 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2e, x1, 512, x2) + +inst_651: +// rs1_val==1431655764 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x667, x1, 516, x2) + +inst_652: +// rs1_val==1431655764 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x334, x1, 520, x2) + +inst_653: +// rs1_val==1431655764 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x6, x1, 524, x2) + +inst_654: +// rs1_val==1431655764 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaab, x1, 528, x2) + +inst_655: +// rs1_val==1431655764 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x556, x1, 532, x2) + +inst_656: +// rs1_val==1431655764 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x4, x1, 536, x2) + +inst_657: +// rs1_val==1431655764 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3e, x1, 540, x2) + +inst_658: +// rs1_val==1431655764 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x0, x1, 544, x2) + +inst_659: +// rs1_val==1431655764 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2c, x1, 548, x2) + +inst_660: +// rs1_val==1431655764 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x665, x1, 552, x2) + +inst_661: +// rs1_val==1431655764 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x332, x1, 556, x2) + +inst_662: +// rs1_val==1431655764 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaa9, x1, 560, x2) + +inst_663: +// rs1_val==1431655764 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x554, x1, 564, x2) + +inst_664: +// rs1_val==1431655764 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2, x1, 568, x2) + +inst_665: +// rs1_val==1431655764 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3f, x1, 572, x2) + +inst_666: +// rs1_val==1431655764 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2d, x1, 576, x2) + +inst_667: +// rs1_val==1431655764 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x666, x1, 580, x2) + +inst_668: +// rs1_val==1431655764 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x333, x1, 584, x2) + +inst_669: +// rs1_val==1431655764 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x5, x1, 588, x2) + +inst_670: +// rs1_val==1431655764 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaaa, x1, 592, x2) + +inst_671: +// rs1_val==1431655764 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x555, x1, 596, x2) + +inst_672: +// rs1_val==1431655764 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3, x1, 600, x2) + +inst_673: +// rs1_val==2 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x40, x1, 604, x2) + +inst_674: +// rs1_val==2 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x1, x1, 608, x2) + +inst_675: +// rs1_val==2 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2e, x1, 612, x2) + +inst_676: +// rs1_val==2 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x667, x1, 616, x2) + +inst_677: +// rs1_val==2 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x334, x1, 620, x2) + +inst_678: +// rs1_val==2 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x6, x1, 624, x2) + +inst_679: +// rs1_val==2 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaab, x1, 628, x2) + +inst_680: +// rs1_val==2 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x556, x1, 632, x2) + +inst_681: +// rs1_val==2 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x4, x1, 636, x2) + +inst_682: +// rs1_val==2 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3e, x1, 640, x2) + +inst_683: +// rs1_val==2 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x0, x1, 644, x2) + +inst_684: +// rs1_val==2 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2c, x1, 648, x2) + +inst_685: +// rs1_val==2 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x665, x1, 652, x2) + +inst_686: +// rs1_val==2 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x332, x1, 656, x2) + +inst_687: +// rs1_val==2 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x554, x1, 660, x2) + +inst_688: +// rs1_val==2 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x2, x1, 664, x2) + +inst_689: +// rs1_val==2 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3f, x1, 668, x2) + +inst_690: +// rs1_val==2 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2d, x1, 672, x2) + +inst_691: +// rs1_val==2 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x666, x1, 676, x2) + +inst_692: +// rs1_val==2 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x333, x1, 680, x2) + +inst_693: +// rs1_val==2 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x5, x1, 684, x2) + +inst_694: +// rs1_val==2 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaaa, x1, 688, x2) + +inst_695: +// rs1_val == 4261412863, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfdffffff; immval:0xc +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfdffffff, 0xc, x1, 692, x2) + +inst_696: +// rs1_val == 4294443007, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfff7ffff; immval:0xa +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfff7ffff, 0xa, x1, 696, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x14_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x14_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 175*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S new file mode 100644 index 000000000..b28398c3d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S @@ -0,0 +1,3696 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sltu instruction of the RISC-V E extension for the sltu covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltu) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x2, rd==x7, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 16384 +// opcode: sltu ; op1:x12; op2:x2; dest:x7; op1val:0xaaaaaaab; op2val:0x4000 +TEST_RR_OP(sltu, x7, x12, x2, 0x0, 0xaaaaaaab, 0x4000, x4, 0, x9) + +inst_1: +// rs1 == rd != rs2, rs1==x11, rs2==x7, rd==x11, rs2_val == 2147483647, rs1_val == 262144 +// opcode: sltu ; op1:x11; op2:x7; dest:x11; op1val:0x40000; op2val:0x7fffffff +TEST_RR_OP(sltu, x11, x11, x7, 0x1, 0x40000, 0x7fffffff, x4, 4, x9) + +inst_2: +// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs2_val == 3221225471, rs1_val == 131072 +// opcode: sltu ; op1:x6; op2:x10; dest:x10; op1val:0x20000; op2val:0xbfffffff +TEST_RR_OP(sltu, x10, x6, x10, 0x1, 0x20000, 0xbfffffff, x4, 8, x9) + +inst_3: +// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x3, rs2_val == 3758096383, rs1_val == 4261412863 +// opcode: sltu ; op1:x14; op2:x14; dest:x3; op1val:0xfdffffff; op2val:0xfdffffff +TEST_RR_OP(sltu, x3, x14, x14, 0x0, 0xfdffffff, 0xfdffffff, x4, 12, x9) + +inst_4: +// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 4026531839, rs1_val == 0 +// opcode: sltu ; op1:x5; op2:x5; dest:x5; op1val:0x0; op2val:0x0 +TEST_RR_OP(sltu, x5, x5, x5, 0x0, 0x0, 0x0, x4, 16, x9) + +inst_5: +// rs1==x8, rs2==x1, rd==x0, rs2_val == 4160749567, +// opcode: sltu ; op1:x8; op2:x1; dest:x0; op1val:0x0; op2val:0xf7ffffff +TEST_RR_OP(sltu, x0, x8, x1, 0, 0x0, 0xf7ffffff, x4, 20, x9) + +inst_6: +// rs1==x3, rs2==x9, rd==x13, rs2_val == 4227858431, +// opcode: sltu ; op1:x3; op2:x9; dest:x13; op1val:0x0; op2val:0xfbffffff +TEST_RR_OP(sltu, x13, x3, x9, 0x1, 0x0, 0xfbffffff, x4, 24, x7) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_7: +// rs1==x9, rs2==x3, rd==x2, rs2_val == 4261412863, rs1_val == 4294967167 +// opcode: sltu ; op1:x9; op2:x3; dest:x2; op1val:0xffffff7f; op2val:0xfdffffff +TEST_RR_OP(sltu, x2, x9, x3, 0x0, 0xffffff7f, 0xfdffffff, x5, 0, x7) + +inst_8: +// rs1==x15, rs2==x13, rd==x6, rs2_val == 4278190079, rs1_val == 4294950911 +// opcode: sltu ; op1:x15; op2:x13; dest:x6; op1val:0xffffbfff; op2val:0xfeffffff +TEST_RR_OP(sltu, x6, x15, x13, 0x0, 0xffffbfff, 0xfeffffff, x5, 4, x7) + +inst_9: +// rs1==x13, rs2==x0, rd==x12, rs2_val == 4286578687, rs1_val == 4026531839 +// opcode: sltu ; op1:x13; op2:x0; dest:x12; op1val:0xefffffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x13, x0, 0x0, 0xefffffff, 0x0, x5, 8, x7) + +inst_10: +// rs1==x1, rs2==x11, rd==x14, rs2_val == 4290772991, rs1_val == 4294901759 +// opcode: sltu ; op1:x1; op2:x11; dest:x14; op1val:0xfffeffff; op2val:0xffbfffff +TEST_RR_OP(sltu, x14, x1, x11, 0x0, 0xfffeffff, 0xffbfffff, x5, 12, x7) + +inst_11: +// rs1==x2, rs2==x8, rd==x4, rs2_val == 4292870143, rs1_val == 8 +// opcode: sltu ; op1:x2; op2:x8; dest:x4; op1val:0x8; op2val:0xffdfffff +TEST_RR_OP(sltu, x4, x2, x8, 0x1, 0x8, 0xffdfffff, x5, 16, x3) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_12: +// rs1==x7, rs2==x4, rd==x8, rs2_val == 4293918719, rs1_val == 2863311530 +// opcode: sltu ; op1:x7; op2:x4; dest:x8; op1val:0xaaaaaaaa; op2val:0xffefffff +TEST_RR_OP(sltu, x8, x7, x4, 0x1, 0xaaaaaaaa, 0xffefffff, x2, 0, x3) + +inst_13: +// rs1==x10, rs2==x12, rd==x15, rs2_val == 4294443007, +// opcode: sltu ; op1:x10; op2:x12; dest:x15; op1val:0xb504; op2val:0xfff7ffff +TEST_RR_OP(sltu, x15, x10, x12, 0x1, 0xb504, 0xfff7ffff, x2, 4, x3) + +inst_14: +// rs1==x4, rs2==x15, rd==x9, rs2_val == 4294705151, rs1_val == 2048 +// opcode: sltu ; op1:x4; op2:x15; dest:x9; op1val:0x800; op2val:0xfffbffff +TEST_RR_OP(sltu, x9, x4, x15, 0x1, 0x800, 0xfffbffff, x2, 8, x3) + +inst_15: +// rs1==x0, rs2==x6, rd==x1, rs2_val == 4294836223, +// opcode: sltu ; op1:x0; op2:x6; dest:x1; op1val:0x0; op2val:0xfffdffff +TEST_RR_OP(sltu, x1, x0, x6, 0x1, 0x0, 0xfffdffff, x2, 12, x3) + +inst_16: +// rs2_val == 4294901759, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xfffeffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xfffeffff, x2, 16, x3) + +inst_17: +// rs2_val == 4294934527, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xffff7fff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xffff7fff, x2, 20, x1) + +inst_18: +// rs2_val == 4294950911, rs1_val == 1431655765 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffffbfff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xffffbfff, x2, 24, x1) + +inst_19: +// rs2_val == 4294959103, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffffdfff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xffffdfff, x2, 28, x1) + +inst_20: +// rs2_val == 4294963199, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffffefff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xffffefff, x2, 32, x1) + +inst_21: +// rs2_val == 4294965247, rs1_val == 4293918719 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xfffff7ff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffefffff, 0xfffff7ff, x2, 36, x1) + +inst_22: +// rs2_val == 4294966271, rs1_val == 524288 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80000, 0xfffffbff, x2, 40, x1) + +inst_23: +// rs2_val == 4294966783, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffffdff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xfffffdff, x2, 44, x1) + +inst_24: +// rs2_val == 4294967039, rs1_val == 4286578687 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xfffffeff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xff7fffff, 0xfffffeff, x2, 48, x1) + +inst_25: +// rs2_val == 4294967167, rs1_val == 4294966271 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffff7f +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffbff, 0xffffff7f, x2, 52, x1) + +inst_26: +// rs2_val == 4294967231, rs1_val == 64 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xffffffbf +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x40, 0xffffffbf, x2, 56, x1) + +inst_27: +// rs2_val == 4294967263, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xffffffdf +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x800, 0xffffffdf, x2, 60, x1) + +inst_28: +// rs2_val == 4294967279, rs1_val == 4294965247 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffffef +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffff7ff, 0xffffffef, x2, 64, x1) + +inst_29: +// rs2_val == 4294967287, rs1_val == 4194304 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfffffff7 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400000, 0xfffffff7, x2, 68, x1) + +inst_30: +// rs2_val == 4294967291, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 4294967291 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xfffffffb +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffb, 0xfffffffb, x2, 72, x1) + +inst_31: +// rs2_val == 4294967293, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffffffd +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xfffffffd, x2, 76, x1) + +inst_32: +// rs2_val == 4294967294, rs1_val == 536870912 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffffffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20000000, 0xfffffffe, x2, 80, x1) + +inst_33: +// rs1_val == 2147483647, rs2_val == 536870912 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x7fffffff, 0x20000000, x2, 84, x1) + +inst_34: +// rs1_val == 3221225471, rs2_val == 0 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xbfffffff, 0x0, x2, 88, x1) + +inst_35: +// rs1_val == 3758096383, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0x12 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xdfffffff, 0x12, x2, 92, x1) + +inst_36: +// rs1_val == 4160749567, rs2_val == 2863311530 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xf7ffffff, 0xaaaaaaaa, x2, 96, x1) + +inst_37: +// rs1_val == 4227858431, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfbffffff, 0x20000000, x2, 100, x1) + +inst_38: +// rs1_val == 4278190079, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffffdff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfeffffff, 0xfffffdff, x2, 104, x1) + +inst_39: +// rs1_val == 4290772991, rs2_val == 65536 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffbfffff, 0x10000, x2, 108, x1) + +inst_40: +// rs1_val == 4292870143, rs2_val == 2097152 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x200000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x200000, x2, 112, x1) + +inst_41: +// rs1_val == 4294443007, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfff7ffff, 0x3, x2, 116, x1) + +inst_42: +// rs1_val == 4294705151, rs2_val == 16 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x10 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x10, x2, 120, x1) + +inst_43: +// rs1_val == 4294836223, rs2_val == 32768 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x8000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffdffff, 0x8000, x2, 124, x1) + +inst_44: +// rs1_val == 4294934527, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff7fff, 0xfffffffd, x2, 128, x1) + +inst_45: +// rs1_val == 4294959103, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x8000, x2, 132, x1) + +inst_46: +// rs1_val == 4294963199, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffefff, 0xaaaaaaa9, x2, 136, x1) + +inst_47: +// rs1_val == 4294966783, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffffb +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffdff, 0xfffffffb, x2, 140, x1) + +inst_48: +// rs1_val == 4294967039, rs2_val == 4194304 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x400000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffeff, 0x400000, x2, 144, x1) + +inst_49: +// rs1_val == 4294967231, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffbf, 0xa, x2, 148, x1) + +inst_50: +// rs1_val == 4294967263, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffdf, 0x5, x2, 152, x1) + +inst_51: +// rs1_val == 4294967279, rs2_val == 2048 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x800 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffef, 0x800, x2, 156, x1) + +inst_52: +// rs1_val == 4294967287, rs2_val == 33554432 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x2000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x2000000, x2, 160, x1) + +inst_53: +// rs1_val == 4294967293, rs2_val == 8388608 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x800000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffd, 0x800000, x2, 164, x1) + +inst_54: +// rs1_val == 4294967294, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x6, x2, 168, x1) + +inst_55: +// rs2_val == 2147483648, rs1_val == 2 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x80000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x80000000, x2, 172, x1) + +inst_56: +// rs2_val == 1073741824, rs1_val == 4 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 176, x1) + +inst_57: +// rs2_val == 268435456, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x10000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xd, 0x10000000, x2, 180, x1) + +inst_58: +// rs2_val == 134217728, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x8000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x8000000, x2, 184, x1) + +inst_59: +// rs2_val == 67108864, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4000000, x2, 188, x1) + +inst_60: +// rs2_val == 16777216, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x1000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x1000000, x2, 192, x1) + +inst_61: +// rs2_val == 1048576, rs1_val == 16 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 196, x1) + +inst_62: +// rs2_val == 524288, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x80000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x80000, x2, 200, x1) + +inst_63: +// rs2_val == 262144, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x40000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x40000, x2, 204, x1) + +inst_64: +// rs2_val == 131072, rs1_val == 2097152 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x20000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x200000, 0x20000, x2, 208, x1) + +inst_65: +// rs2_val == 8192, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x2000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x2000, x2, 212, x1) + +inst_66: +// rs2_val == 4096, rs1_val == 268435456 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x1000, x2, 216, x1) + +inst_67: +// rs2_val == 1024, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x400, x2, 220, x1) + +inst_68: +// rs2_val == 512, rs1_val == 1048576 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x100000, 0x200, x2, 224, x1) + +inst_69: +// rs2_val == 256, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x100, x2, 228, x1) + +inst_70: +// rs2_val == 128, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x80 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x80, x2, 232, x1) + +inst_71: +// rs2_val == 64, rs1_val == 2147483648 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x40 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x80000000, 0x40, x2, 236, x1) + +inst_72: +// rs2_val == 32, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x20 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x20, x2, 240, x1) + +inst_73: +// rs2_val == 8, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x8 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x8, x2, 244, x1) + +inst_74: +// rs2_val == 4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8, 0x4, x2, 248, x1) + +inst_75: +// rs2_val == 2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x2, x2, 252, x1) + +inst_76: +// rs2_val == 1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x1, x2, 256, x1) + +inst_77: +// rs1_val == 1073741824, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x2000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x40000000, 0x2000000, x2, 260, x1) + +inst_78: +// rs1_val == 134217728, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x200 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8000000, 0x200, x2, 264, x1) + +inst_79: +// rs1_val == 67108864, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000000, 0xfffe, x2, 268, x1) + +inst_80: +// rs1_val == 33554432, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000000, 0x4, x2, 272, x1) + +inst_81: +// rs1_val == 16777216, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000000, 0x33333334, x2, 276, x1) + +inst_82: +// rs1_val == 8388608, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x80000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x800000, 0x80000, x2, 280, x1) + +inst_83: +// rs1_val == 65536, rs1_val==65536 and rs2_val==0 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x0, x2, 284, x1) + +inst_84: +// rs1_val == 32768, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x8000, 0xaaaaaaa9, x2, 288, x1) + +inst_85: +// rs1_val == 16384, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000, 0x4, x2, 292, x1) + +inst_86: +// rs1_val == 8192, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x20 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000, 0x20, x2, 296, x1) + +inst_87: +// rs1_val == 4096, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000, 0xfffffbff, x2, 300, x1) + +inst_88: +// rs1_val == 1024, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xbfffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400, 0xbfffffff, x2, 304, x1) + +inst_89: +// rs1_val == 512, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x200, 0x10000, x2, 308, x1) + +inst_90: +// rs1_val == 256, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xf7ffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x100, 0xf7ffffff, x2, 312, x1) + +inst_91: +// rs1_val == 128, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80, 0x10000, x2, 316, x1) + +inst_92: +// rs1_val == 32, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xefffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20, 0xefffffff, x2, 320, x1) + +inst_93: +// rs1_val == 1, rs1_val==1 and rs2_val==46340 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb504, x2, 324, x1) + +inst_94: +// rs1_val==65536 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x10000, x2, 328, x1) + +inst_95: +// rs1_val==65536 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x1, x2, 332, x1) + +inst_96: +// rs1_val==65536 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb505, x2, 336, x1) + +inst_97: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666667, x2, 340, x1) + +inst_98: +// rs1_val==65536 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333334, x2, 344, x1) + +inst_99: +// rs1_val==65536 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x6, x2, 348, x1) + +inst_100: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaab, x2, 352, x1) + +inst_101: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555556, x2, 356, x1) + +inst_102: +// rs1_val==65536 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x4, x2, 360, x1) + +inst_103: +// rs1_val==65536 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x2, 364, x1) + +inst_104: +// rs1_val==65536 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb503, x2, 368, x1) + +inst_105: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666665, x2, 372, x1) + +inst_106: +// rs1_val==65536 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333332, x2, 376, x1) + +inst_107: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaa9, x2, 380, x1) + +inst_108: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555554, x2, 384, x1) + +inst_109: +// rs1_val==65536 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x2, x2, 388, x1) + +inst_110: +// rs1_val==65536 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xffff, x2, 392, x1) + +inst_111: +// rs1_val==65536 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb504, x2, 396, x1) + +inst_112: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666666, x2, 400, x1) + +inst_113: +// rs1_val==65536 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333333, x2, 404, x1) + +inst_114: +// rs1_val==65536 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x5, x2, 408, x1) + +inst_115: +// rs1_val==65536 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaaa, x2, 412, x1) + +inst_116: +// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555555, x2, 416, x1) + +inst_117: +// rs1_val==65536 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x3, x2, 420, x1) + +inst_118: +// rs1_val==1 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x10000, x2, 424, x1) + +inst_119: +// rs1_val==1 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x1, x2, 428, x1) + +inst_120: +// rs1_val==1 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb505, x2, 432, x1) + +inst_121: +// rs1_val==1 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666667, x2, 436, x1) + +inst_122: +// rs1_val==1 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333334, x2, 440, x1) + +inst_123: +// rs1_val==1 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x6, x2, 444, x1) + +inst_124: +// rs1_val==1 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x2, 448, x1) + +inst_125: +// rs1_val==1 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555556, x2, 452, x1) + +inst_126: +// rs1_val==1 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x4, x2, 456, x1) + +inst_127: +// rs1_val==1 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xfffe, x2, 460, x1) + +inst_128: +// rs1_val==1 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x0, x2, 464, x1) + +inst_129: +// rs1_val==1 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb503, x2, 468, x1) + +inst_130: +// rs1_val==1 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666665, x2, 472, x1) + +inst_131: +// rs1_val==1 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333332, x2, 476, x1) + +inst_132: +// rs1_val==1 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x2, 480, x1) + +inst_133: +// rs1_val==1 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555554, x2, 484, x1) + +inst_134: +// rs1_val==1 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x2, x2, 488, x1) + +inst_135: +// rs1_val==1 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xffff, x2, 492, x1) + +inst_136: +// rs1_val==1 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666666, x2, 496, x1) + +inst_137: +// rs1_val==1 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333333, x2, 500, x1) + +inst_138: +// rs1_val==1 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x5, x2, 504, x1) + +inst_139: +// rs1_val==1 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x2, 508, x1) + +inst_140: +// rs1_val==1 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555555, x2, 512, x1) + +inst_141: +// rs1_val==1 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x3, x2, 516, x1) + +inst_142: +// rs1_val==46341 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x10000, x2, 520, x1) + +inst_143: +// rs1_val==46341 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x1, x2, 524, x1) + +inst_144: +// rs1_val==46341 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 528, x1) + +inst_145: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 532, x1) + +inst_146: +// rs1_val==46341 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 536, x1) + +inst_147: +// rs1_val==46341 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 540, x1) + +inst_148: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaab, x2, 544, x1) + +inst_149: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 548, x1) + +inst_150: +// rs1_val==46341 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 552, x1) + +inst_151: +// rs1_val==46341 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xfffe, x2, 556, x1) + +inst_152: +// rs1_val==46341 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 560, x1) + +inst_153: +// rs1_val==46341 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 564, x1) + +inst_154: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 568, x1) + +inst_155: +// rs1_val==46341 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 572, x1) + +inst_156: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaa9, x2, 576, x1) + +inst_157: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 580, x1) + +inst_158: +// rs1_val==46341 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 584, x1) + +inst_159: +// rs1_val==46341 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xffff, x2, 588, x1) + +inst_160: +// rs1_val==46341 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 592, x1) + +inst_161: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 596, x1) + +inst_162: +// rs1_val==46341 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 600, x1) + +inst_163: +// rs1_val==46341 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 604, x1) + +inst_164: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaaa, x2, 608, x1) + +inst_165: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 612, x1) + +inst_166: +// rs1_val==46341 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 616, x1) + +inst_167: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x10000, x2, 620, x1) + +inst_168: +// rs1_val==1717986919 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x1, x2, 624, x1) + +inst_169: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 628, x1) + +inst_170: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 632, x1) + +inst_171: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 636, x1) + +inst_172: +// rs1_val==1717986919 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 640, x1) + +inst_173: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaab, x2, 644, x1) + +inst_174: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 648, x1) + +inst_175: +// rs1_val==1717986919 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 652, x1) + +inst_176: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xfffe, x2, 656, x1) + +inst_177: +// rs1_val==1717986919 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 660, x1) + +inst_178: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 664, x1) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 668, x1) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 672, x1) + +inst_181: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaa9, x2, 676, x1) + +inst_182: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 680, x1) + +inst_183: +// rs1_val==1717986919 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 684, x1) + +inst_184: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xffff, x2, 688, x1) + +inst_185: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 692, x1) + +inst_186: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 696, x1) + +inst_187: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 700, x1) + +inst_188: +// rs1_val==1717986919 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 704, x1) + +inst_189: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaaa, x2, 708, x1) + +inst_190: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 712, x1) + +inst_191: +// rs1_val==1717986919 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 716, x1) + +inst_192: +// rs1_val==858993460 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x10000, x2, 720, x1) + +inst_193: +// rs1_val==858993460 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x1, x2, 724, x1) + +inst_194: +// rs1_val==858993460 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 728, x1) + +inst_195: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 732, x1) + +inst_196: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 736, x1) + +inst_197: +// rs1_val==858993460 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 740, x1) + +inst_198: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaab, x2, 744, x1) + +inst_199: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 748, x1) + +inst_200: +// rs1_val==858993460 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 752, x1) + +inst_201: +// rs1_val==858993460 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xfffe, x2, 756, x1) + +inst_202: +// rs1_val==858993460 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 760, x1) + +inst_203: +// rs1_val==858993460 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 764, x1) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 768, x1) + +inst_205: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 772, x1) + +inst_206: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaa9, x2, 776, x1) + +inst_207: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 780, x1) + +inst_208: +// rs1_val==858993460 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 784, x1) + +inst_209: +// rs1_val==858993460 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xffff, x2, 788, x1) + +inst_210: +// rs1_val==858993460 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 792, x1) + +inst_211: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 796, x1) + +inst_212: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 800, x1) + +inst_213: +// rs1_val==858993460 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 804, x1) + +inst_214: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaaa, x2, 808, x1) + +inst_215: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 812, x1) + +inst_216: +// rs1_val==858993460 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 816, x1) + +inst_217: +// rs1_val==6 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x10000, x2, 820, x1) + +inst_218: +// rs1_val==6 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x1, x2, 824, x1) + +inst_219: +// rs1_val==6 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 828, x1) + +inst_220: +// rs1_val==6 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 832, x1) + +inst_221: +// rs1_val==6 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 836, x1) + +inst_222: +// rs1_val==6 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x6, x2, 840, x1) + +inst_223: +// rs1_val==6 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaab, x2, 844, x1) + +inst_224: +// rs1_val==6 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 848, x1) + +inst_225: +// rs1_val==6 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x4, x2, 852, x1) + +inst_226: +// rs1_val==6 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xfffe, x2, 856, x1) + +inst_227: +// rs1_val==6 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x0, x2, 860, x1) + +inst_228: +// rs1_val==6 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 864, x1) + +inst_229: +// rs1_val==6 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 868, x1) + +inst_230: +// rs1_val==6 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 872, x1) + +inst_231: +// rs1_val==6 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaa9, x2, 876, x1) + +inst_232: +// rs1_val==6 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 880, x1) + +inst_233: +// rs1_val==6 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x2, x2, 884, x1) + +inst_234: +// rs1_val==6 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xffff, x2, 888, x1) + +inst_235: +// rs1_val==6 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 892, x1) + +inst_236: +// rs1_val==6 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 896, x1) + +inst_237: +// rs1_val==6 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 900, x1) + +inst_238: +// rs1_val==6 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x5, x2, 904, x1) + +inst_239: +// rs1_val==6 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaaa, x2, 908, x1) + +inst_240: +// rs1_val==6 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 912, x1) + +inst_241: +// rs1_val==6 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x3, x2, 916, x1) + +inst_242: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x10000, x2, 920, x1) + +inst_243: +// rs1_val==2863311531 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x2, 924, x1) + +inst_244: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb505, x2, 928, x1) + +inst_245: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666667, x2, 932, x1) + +inst_246: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333334, x2, 936, x1) + +inst_247: +// rs1_val==2863311531 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x6, x2, 940, x1) + +inst_248: +// rs1_val==2863311531 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x2, 944, x1) + +inst_249: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555556, x2, 948, x1) + +inst_250: +// rs1_val==2863311531 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x4, x2, 952, x1) + +inst_251: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xfffe, x2, 956, x1) + +inst_252: +// rs1_val==2863311531 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x2, 960, x1) + +inst_253: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb503, x2, 964, x1) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666665, x2, 968, x1) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333332, x2, 972, x1) + +inst_256: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaa9, x2, 976, x1) + +inst_257: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555554, x2, 980, x1) + +inst_258: +// rs1_val==2863311531 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x2, x2, 984, x1) + +inst_259: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xffff, x2, 988, x1) + +inst_260: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb504, x2, 992, x1) + +inst_261: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666666, x2, 996, x1) + +inst_262: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333333, x2, 1000, x1) + +inst_263: +// rs1_val==2863311531 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x5, x2, 1004, x1) + +inst_264: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaaa, x2, 1008, x1) + +inst_265: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555555, x2, 1012, x1) + +inst_266: +// rs1_val==2863311531 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x2, 1016, x1) + +inst_267: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x10000, x2, 1020, x1) + +inst_268: +// rs1_val==1431655766 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x1, x2, 1024, x1) + +inst_269: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 1028, x1) + +inst_270: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 1032, x1) + +inst_271: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 1036, x1) + +inst_272: +// rs1_val==1431655766 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 1040, x1) + +inst_273: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaab, x2, 1044, x1) + +inst_274: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 1048, x1) + +inst_275: +// rs1_val==1431655766 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 1052, x1) + +inst_276: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xfffe, x2, 1056, x1) + +inst_277: +// rs1_val==1431655766 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 1060, x1) + +inst_278: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 1064, x1) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 1068, x1) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 1072, x1) + +inst_281: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaa9, x2, 1076, x1) + +inst_282: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 1080, x1) + +inst_283: +// rs1_val==1431655766 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 1084, x1) + +inst_284: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xffff, x2, 1088, x1) + +inst_285: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 1092, x1) + +inst_286: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 1096, x1) + +inst_287: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 1100, x1) + +inst_288: +// rs1_val==1431655766 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 1104, x1) + +inst_289: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaaa, x2, 1108, x1) + +inst_290: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 1112, x1) + +inst_291: +// rs1_val==1431655766 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 1116, x1) + +inst_292: +// rs1_val==4 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x10000, x2, 1120, x1) + +inst_293: +// rs1_val==4 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x1, x2, 1124, x1) + +inst_294: +// rs1_val==4 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 1128, x1) + +inst_295: +// rs1_val==4 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 1132, x1) + +inst_296: +// rs1_val==4 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 1136, x1) + +inst_297: +// rs1_val==4 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x6, x2, 1140, x1) + +inst_298: +// rs1_val==4 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaab, x2, 1144, x1) + +inst_299: +// rs1_val==4 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1148, x1) + +inst_300: +// rs1_val==4 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1152, x1) + +inst_301: +// rs1_val==4 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xfffe, x2, 1156, x1) + +inst_302: +// rs1_val==4 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1160, x1) + +inst_303: +// rs1_val==4 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 1164, x1) + +inst_304: +// rs1_val==4 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1168, x1) + +inst_305: +// rs1_val==4 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 1172, x1) + +inst_306: +// rs1_val==4 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaa9, x2, 1176, x1) + +inst_307: +// rs1_val==4 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1180, x1) + +inst_308: +// rs1_val==4 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1184, x1) + +inst_309: +// rs1_val==4 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xffff, x2, 1188, x1) + +inst_310: +// rs1_val==4 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 1192, x1) + +inst_311: +// rs1_val==4 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1196, x1) + +inst_312: +// rs1_val==4 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1200, x1) + +inst_313: +// rs1_val==4 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1204, x1) + +inst_314: +// rs1_val==4 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaaa, x2, 1208, x1) + +inst_315: +// rs1_val==4 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1212, x1) + +inst_316: +// rs1_val==4 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1216, x1) + +inst_317: +// rs1_val==65534 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x10000, x2, 1220, x1) + +inst_318: +// rs1_val==65534 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x1, x2, 1224, x1) + +inst_319: +// rs1_val==65534 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x2, 1228, x1) + +inst_320: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666667, x2, 1232, x1) + +inst_321: +// rs1_val==65534 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333334, x2, 1236, x1) + +inst_322: +// rs1_val==65534 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x6, x2, 1240, x1) + +inst_323: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaab, x2, 1244, x1) + +inst_324: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555556, x2, 1248, x1) + +inst_325: +// rs1_val==65534 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x4, x2, 1252, x1) + +inst_326: +// rs1_val==65534 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x2, 1256, x1) + +inst_327: +// rs1_val==65534 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x0, x2, 1260, x1) + +inst_328: +// rs1_val==65534 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x2, 1264, x1) + +inst_329: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666665, x2, 1268, x1) + +inst_330: +// rs1_val==65534 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333332, x2, 1272, x1) + +inst_331: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaa9, x2, 1276, x1) + +inst_332: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555554, x2, 1280, x1) + +inst_333: +// rs1_val==65534 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x2, x2, 1284, x1) + +inst_334: +// rs1_val==65534 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xffff, x2, 1288, x1) + +inst_335: +// rs1_val==65534 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x2, 1292, x1) + +inst_336: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666666, x2, 1296, x1) + +inst_337: +// rs1_val==65534 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333333, x2, 1300, x1) + +inst_338: +// rs1_val==65534 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x5, x2, 1304, x1) + +inst_339: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaaa, x2, 1308, x1) + +inst_340: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555555, x2, 1312, x1) + +inst_341: +// rs1_val==65534 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x3, x2, 1316, x1) + +inst_342: +// rs1_val==0 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x10000, x2, 1320, x1) + +inst_343: +// rs1_val==0 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x1, x2, 1324, x1) + +inst_344: +// rs1_val==0 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1328, x1) + +inst_345: +// rs1_val==0 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1332, x1) + +inst_346: +// rs1_val==0 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1336, x1) + +inst_347: +// rs1_val==0 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1340, x1) + +inst_348: +// rs1_val==0 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaab, x2, 1344, x1) + +inst_349: +// rs1_val==0 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1348, x1) + +inst_350: +// rs1_val==0 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1352, x1) + +inst_351: +// rs1_val==0 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xfffe, x2, 1356, x1) + +inst_352: +// rs1_val==0 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1360, x1) + +inst_353: +// rs1_val==0 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1364, x1) + +inst_354: +// rs1_val==0 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1368, x1) + +inst_355: +// rs1_val==0 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1372, x1) + +inst_356: +// rs1_val==0 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaa9, x2, 1376, x1) + +inst_357: +// rs1_val==0 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1380, x1) + +inst_358: +// rs1_val==0 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1384, x1) + +inst_359: +// rs1_val==0 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xffff, x2, 1388, x1) + +inst_360: +// rs1_val==0 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1392, x1) + +inst_361: +// rs1_val==0 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1396, x1) + +inst_362: +// rs1_val==0 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1400, x1) + +inst_363: +// rs1_val==0 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1404, x1) + +inst_364: +// rs1_val==0 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaaa, x2, 1408, x1) + +inst_365: +// rs1_val==0 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1412, x1) + +inst_366: +// rs1_val==0 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1416, x1) + +inst_367: +// rs1_val==46339 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x10000, x2, 1420, x1) + +inst_368: +// rs1_val==46339 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x1, x2, 1424, x1) + +inst_369: +// rs1_val==46339 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1428, x1) + +inst_370: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1432, x1) + +inst_371: +// rs1_val==46339 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1436, x1) + +inst_372: +// rs1_val==46339 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1440, x1) + +inst_373: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaab, x2, 1444, x1) + +inst_374: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1448, x1) + +inst_375: +// rs1_val==46339 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1452, x1) + +inst_376: +// rs1_val==46339 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xfffe, x2, 1456, x1) + +inst_377: +// rs1_val==46339 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1460, x1) + +inst_378: +// rs1_val==46339 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1464, x1) + +inst_379: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1468, x1) + +inst_380: +// rs1_val==46339 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1472, x1) + +inst_381: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaa9, x2, 1476, x1) + +inst_382: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1480, x1) + +inst_383: +// rs1_val==46339 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1484, x1) + +inst_384: +// rs1_val==46339 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xffff, x2, 1488, x1) + +inst_385: +// rs1_val==46339 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1492, x1) + +inst_386: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1496, x1) + +inst_387: +// rs1_val==46339 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1500, x1) + +inst_388: +// rs1_val==46339 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1504, x1) + +inst_389: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaaa, x2, 1508, x1) + +inst_390: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1512, x1) + +inst_391: +// rs1_val==46339 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1516, x1) + +inst_392: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x10000, x2, 1520, x1) + +inst_393: +// rs1_val==1717986917 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x1, x2, 1524, x1) + +inst_394: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1528, x1) + +inst_395: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1532, x1) + +inst_396: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1536, x1) + +inst_397: +// rs1_val==1717986917 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1540, x1) + +inst_398: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaab, x2, 1544, x1) + +inst_399: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1548, x1) + +inst_400: +// rs1_val==1717986917 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1552, x1) + +inst_401: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xfffe, x2, 1556, x1) + +inst_402: +// rs1_val==1717986917 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1560, x1) + +inst_403: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1564, x1) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1568, x1) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1572, x1) + +inst_406: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaa9, x2, 1576, x1) + +inst_407: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1580, x1) + +inst_408: +// rs1_val==1717986917 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1584, x1) + +inst_409: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xffff, x2, 1588, x1) + +inst_410: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1592, x1) + +inst_411: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1596, x1) + +inst_412: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1600, x1) + +inst_413: +// rs1_val==1717986917 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1604, x1) + +inst_414: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaaa, x2, 1608, x1) + +inst_415: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1612, x1) + +inst_416: +// rs1_val==1717986917 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1616, x1) + +inst_417: +// rs1_val==858993458 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x10000, x2, 1620, x1) + +inst_418: +// rs1_val==858993458 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x1, x2, 1624, x1) + +inst_419: +// rs1_val==858993458 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1628, x1) + +inst_420: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1632, x1) + +inst_421: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1636, x1) + +inst_422: +// rs1_val==858993458 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1640, x1) + +inst_423: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaab, x2, 1644, x1) + +inst_424: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1648, x1) + +inst_425: +// rs1_val==858993458 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1652, x1) + +inst_426: +// rs1_val==858993458 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xfffe, x2, 1656, x1) + +inst_427: +// rs1_val==858993458 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1660, x1) + +inst_428: +// rs1_val==858993458 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1664, x1) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1668, x1) + +inst_430: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1672, x1) + +inst_431: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaa9, x2, 1676, x1) + +inst_432: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1680, x1) + +inst_433: +// rs1_val==858993458 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1684, x1) + +inst_434: +// rs1_val==858993458 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xffff, x2, 1688, x1) + +inst_435: +// rs1_val==858993458 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1692, x1) + +inst_436: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1696, x1) + +inst_437: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1700, x1) + +inst_438: +// rs1_val==858993458 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1704, x1) + +inst_439: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaaa, x2, 1708, x1) + +inst_440: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1712, x1) + +inst_441: +// rs1_val==858993458 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1716, x1) + +inst_442: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x10000, x2, 1720, x1) + +inst_443: +// rs1_val==2863311529 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x2, 1724, x1) + +inst_444: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb505, x2, 1728, x1) + +inst_445: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666667, x2, 1732, x1) + +inst_446: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333334, x2, 1736, x1) + +inst_447: +// rs1_val==2863311529 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x6, x2, 1740, x1) + +inst_448: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaab, x2, 1744, x1) + +inst_449: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555556, x2, 1748, x1) + +inst_450: +// rs1_val==2863311529 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x4, x2, 1752, x1) + +inst_451: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xfffe, x2, 1756, x1) + +inst_452: +// rs1_val==2863311529 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x2, 1760, x1) + +inst_453: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb503, x2, 1764, x1) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666665, x2, 1768, x1) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333332, x2, 1772, x1) + +inst_456: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x2, 1776, x1) + +inst_457: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555554, x2, 1780, x1) + +inst_458: +// rs1_val==2863311529 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x2, x2, 1784, x1) + +inst_459: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xffff, x2, 1788, x1) + +inst_460: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb504, x2, 1792, x1) + +inst_461: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666666, x2, 1796, x1) + +inst_462: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333333, x2, 1800, x1) + +inst_463: +// rs1_val==2863311529 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x5, x2, 1804, x1) + +inst_464: +// rs1_val==1431655764 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1808, x1) + +inst_465: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x2, 1812, x1) + +inst_466: +// rs1_val==1431655764 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1816, x1) + +inst_467: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1820, x1) + +inst_468: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1824, x1) + +inst_469: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1828, x1) + +inst_470: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaa9, x2, 1832, x1) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1836, x1) + +inst_472: +// rs1_val==1431655764 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1840, x1) + +inst_473: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xffff, x2, 1844, x1) + +inst_474: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1848, x1) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1852, x1) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1856, x1) + +inst_477: +// rs1_val==1431655764 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1860, x1) + +inst_478: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaaa, x2, 1864, x1) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1868, x1) + +inst_480: +// rs1_val==1431655764 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1872, x1) + +inst_481: +// rs1_val==2 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x10000, x2, 1876, x1) + +inst_482: +// rs1_val==2 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x1, x2, 1880, x1) + +inst_483: +// rs1_val==2 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1884, x1) + +inst_484: +// rs1_val==2 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1888, x1) + +inst_485: +// rs1_val==2 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1892, x1) + +inst_486: +// rs1_val==2 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1896, x1) + +inst_487: +// rs1_val==2 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x2, 1900, x1) + +inst_488: +// rs1_val==2 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1904, x1) + +inst_489: +// rs1_val==2 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1908, x1) + +inst_490: +// rs1_val==2 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xfffe, x2, 1912, x1) + +inst_491: +// rs1_val==2 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1916, x1) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1920, x1) + +inst_493: +// rs1_val==2 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1924, x1) + +inst_494: +// rs1_val==2 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1928, x1) + +inst_495: +// rs1_val==2 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x2, 1932, x1) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1936, x1) + +inst_497: +// rs1_val==2 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1940, x1) + +inst_498: +// rs1_val==2 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xffff, x2, 1944, x1) + +inst_499: +// rs1_val==2 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1948, x1) + +inst_500: +// rs1_val==2 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1952, x1) + +inst_501: +// rs1_val==2 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1956, x1) + +inst_502: +// rs1_val==2 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1960, x1) + +inst_503: +// rs1_val==2 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x2, 1964, x1) + +inst_504: +// rs1_val==2 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1968, x1) + +inst_505: +// rs1_val==2 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1972, x1) + +inst_506: +// rs1_val==65535 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x10000, x2, 1976, x1) + +inst_507: +// rs1_val==65535 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x1, x2, 1980, x1) + +inst_508: +// rs1_val==65535 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb505, x2, 1984, x1) + +inst_509: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666667, x2, 1988, x1) + +inst_510: +// rs1_val==65535 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333334, x2, 1992, x1) + +inst_511: +// rs1_val==65535 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x6, x2, 1996, x1) + +inst_512: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaab, x2, 2000, x1) + +inst_513: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555556, x2, 2004, x1) + +inst_514: +// rs1_val==65535 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x4, x2, 2008, x1) + +inst_515: +// rs1_val==65535 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x2, 2012, x1) + +inst_516: +// rs1_val==65535 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x0, x2, 2016, x1) + +inst_517: +// rs1_val==65535 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb503, x2, 2020, x1) + +inst_518: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666665, x2, 2024, x1) + +inst_519: +// rs1_val==65535 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333332, x2, 2028, x1) + +inst_520: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaa9, x2, 2032, x1) + +inst_521: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555554, x2, 2036, x1) + +inst_522: +// rs1_val==65535 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x2, x2, 2040, x1) + +inst_523: +// rs1_val==65535 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xffff, x2, 2044, x1) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_524: +// rs1_val==65535 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb504, x2, 0, x1) + +inst_525: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666666, x2, 4, x1) + +inst_526: +// rs1_val==65535 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333333, x2, 8, x1) + +inst_527: +// rs1_val==65535 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x5, x2, 12, x1) + +inst_528: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaaa, x2, 16, x1) + +inst_529: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555555, x2, 20, x1) + +inst_530: +// rs1_val==65535 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x3, x2, 24, x1) + +inst_531: +// rs1_val==46340 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x10000, x2, 28, x1) + +inst_532: +// rs1_val==46340 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x1, x2, 32, x1) + +inst_533: +// rs1_val==46340 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 36, x1) + +inst_534: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 40, x1) + +inst_535: +// rs1_val==46340 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 44, x1) + +inst_536: +// rs1_val==46340 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 48, x1) + +inst_537: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaab, x2, 52, x1) + +inst_538: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 56, x1) + +inst_539: +// rs1_val==46340 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 60, x1) + +inst_540: +// rs1_val==46340 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xfffe, x2, 64, x1) + +inst_541: +// rs1_val==46340 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 68, x1) + +inst_542: +// rs1_val==46340 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 72, x1) + +inst_543: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 76, x1) + +inst_544: +// rs1_val==46340 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 80, x1) + +inst_545: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaa9, x2, 84, x1) + +inst_546: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 88, x1) + +inst_547: +// rs1_val==46340 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 92, x1) + +inst_548: +// rs1_val==46340 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xffff, x2, 96, x1) + +inst_549: +// rs1_val==46340 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 100, x1) + +inst_550: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 104, x1) + +inst_551: +// rs1_val==46340 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 108, x1) + +inst_552: +// rs1_val==46340 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 112, x1) + +inst_553: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaaa, x2, 116, x1) + +inst_554: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 120, x1) + +inst_555: +// rs1_val==46340 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 124, x1) + +inst_556: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x10000, x2, 128, x1) + +inst_557: +// rs1_val==1717986918 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x1, x2, 132, x1) + +inst_558: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 136, x1) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 140, x1) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 144, x1) + +inst_561: +// rs1_val==1717986918 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 148, x1) + +inst_562: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaab, x2, 152, x1) + +inst_563: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 156, x1) + +inst_564: +// rs1_val==1717986918 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 160, x1) + +inst_565: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xfffe, x2, 164, x1) + +inst_566: +// rs1_val==1717986918 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 168, x1) + +inst_567: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 172, x1) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 176, x1) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 180, x1) + +inst_570: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaa9, x2, 184, x1) + +inst_571: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 188, x1) + +inst_572: +// rs1_val==1717986918 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 192, x1) + +inst_573: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xffff, x2, 196, x1) + +inst_574: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 200, x1) + +inst_575: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 204, x1) + +inst_576: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 208, x1) + +inst_577: +// rs1_val==1717986918 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 212, x1) + +inst_578: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaaa, x2, 216, x1) + +inst_579: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 220, x1) + +inst_580: +// rs1_val==1717986918 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 224, x1) + +inst_581: +// rs1_val==858993459 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x10000, x2, 228, x1) + +inst_582: +// rs1_val==858993459 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x1, x2, 232, x1) + +inst_583: +// rs1_val==858993459 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 236, x1) + +inst_584: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 240, x1) + +inst_585: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 244, x1) + +inst_586: +// rs1_val==858993459 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 248, x1) + +inst_587: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaab, x2, 252, x1) + +inst_588: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 256, x1) + +inst_589: +// rs1_val==858993459 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 260, x1) + +inst_590: +// rs1_val==858993459 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xfffe, x2, 264, x1) + +inst_591: +// rs1_val==858993459 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 268, x1) + +inst_592: +// rs1_val==2863311529 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x3, x2, 272, x1) + +inst_593: +// rs2_val == (2**(xlen)-1), +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xffffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xffffffff, x2, 276, x1) + +inst_594: +// rs1_val==858993459 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 280, x1) + +inst_595: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 284, x1) + +inst_596: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 288, x1) + +inst_597: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaa9, x2, 292, x1) + +inst_598: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 296, x1) + +inst_599: +// rs1_val==858993459 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 300, x1) + +inst_600: +// rs1_val==858993459 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xffff, x2, 304, x1) + +inst_601: +// rs1_val==858993459 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 308, x1) + +inst_602: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 312, x1) + +inst_603: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 316, x1) + +inst_604: +// rs1_val==858993459 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 320, x1) + +inst_605: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaaa, x2, 324, x1) + +inst_606: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 328, x1) + +inst_607: +// rs1_val==858993459 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 332, x1) + +inst_608: +// rs1_val==5 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x10000, x2, 336, x1) + +inst_609: +// rs1_val==5 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x1, x2, 340, x1) + +inst_610: +// rs1_val==5 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 344, x1) + +inst_611: +// rs1_val==5 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 348, x1) + +inst_612: +// rs1_val==5 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 352, x1) + +inst_613: +// rs1_val==5 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x6, x2, 356, x1) + +inst_614: +// rs1_val==5 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaab, x2, 360, x1) + +inst_615: +// rs1_val==5 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 364, x1) + +inst_616: +// rs1_val==5 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x4, x2, 368, x1) + +inst_617: +// rs1_val==5 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffe, x2, 372, x1) + +inst_618: +// rs1_val==5 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x0, x2, 376, x1) + +inst_619: +// rs1_val==5 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 380, x1) + +inst_620: +// rs1_val==5 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 384, x1) + +inst_621: +// rs1_val==5 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 388, x1) + +inst_622: +// rs1_val==5 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaa9, x2, 392, x1) + +inst_623: +// rs1_val==5 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 396, x1) + +inst_624: +// rs1_val==5 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x2, x2, 400, x1) + +inst_625: +// rs1_val==5 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xffff, x2, 404, x1) + +inst_626: +// rs1_val==5 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 408, x1) + +inst_627: +// rs1_val==5 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 412, x1) + +inst_628: +// rs1_val==5 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 416, x1) + +inst_629: +// rs1_val==5 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x5, x2, 420, x1) + +inst_630: +// rs1_val==5 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaaa, x2, 424, x1) + +inst_631: +// rs1_val==5 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 428, x1) + +inst_632: +// rs1_val==5 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x3, x2, 432, x1) + +inst_633: +// rs1_val==2863311530 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x10000, x2, 436, x1) + +inst_634: +// rs1_val==2863311530 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x2, 440, x1) + +inst_635: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb505, x2, 444, x1) + +inst_636: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666667, x2, 448, x1) + +inst_637: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333334, x2, 452, x1) + +inst_638: +// rs1_val==2863311530 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x6, x2, 456, x1) + +inst_639: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaab, x2, 460, x1) + +inst_640: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555556, x2, 464, x1) + +inst_641: +// rs1_val==2863311530 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x4, x2, 468, x1) + +inst_642: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xfffe, x2, 472, x1) + +inst_643: +// rs1_val==2863311530 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x2, 476, x1) + +inst_644: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb503, x2, 480, x1) + +inst_645: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666665, x2, 484, x1) + +inst_646: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333332, x2, 488, x1) + +inst_647: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaa9, x2, 492, x1) + +inst_648: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555554, x2, 496, x1) + +inst_649: +// rs1_val==2863311530 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x2, 500, x1) + +inst_650: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xffff, x2, 504, x1) + +inst_651: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb504, x2, 508, x1) + +inst_652: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666666, x2, 512, x1) + +inst_653: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333333, x2, 516, x1) + +inst_654: +// rs1_val==2863311530 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x2, 520, x1) + +inst_655: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x2, 524, x1) + +inst_656: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x2, 528, x1) + +inst_657: +// rs1_val==2863311530 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x3, x2, 532, x1) + +inst_658: +// rs1_val==1431655765 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x10000, x2, 536, x1) + +inst_659: +// rs1_val==1431655765 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x1, x2, 540, x1) + +inst_660: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 544, x1) + +inst_661: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 548, x1) + +inst_662: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 552, x1) + +inst_663: +// rs1_val==1431655765 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 556, x1) + +inst_664: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaab, x2, 560, x1) + +inst_665: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 564, x1) + +inst_666: +// rs1_val==1431655765 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 568, x1) + +inst_667: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xfffe, x2, 572, x1) + +inst_668: +// rs1_val==1431655765 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 576, x1) + +inst_669: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 580, x1) + +inst_670: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 584, x1) + +inst_671: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 588, x1) + +inst_672: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaa9, x2, 592, x1) + +inst_673: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 596, x1) + +inst_674: +// rs1_val==1431655765 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 600, x1) + +inst_675: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xffff, x2, 604, x1) + +inst_676: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 608, x1) + +inst_677: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 612, x1) + +inst_678: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 616, x1) + +inst_679: +// rs1_val==1431655765 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 620, x1) + +inst_680: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaaa, x2, 624, x1) + +inst_681: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 628, x1) + +inst_682: +// rs1_val==1431655765 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 632, x1) + +inst_683: +// rs1_val==3 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x10000, x2, 636, x1) + +inst_684: +// rs1_val==3 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x1, x2, 640, x1) + +inst_685: +// rs1_val==3 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 644, x1) + +inst_686: +// rs1_val==3 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 648, x1) + +inst_687: +// rs1_val==3 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 652, x1) + +inst_688: +// rs1_val==3 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x6, x2, 656, x1) + +inst_689: +// rs1_val==3 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaab, x2, 660, x1) + +inst_690: +// rs1_val==3 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 664, x1) + +inst_691: +// rs1_val==3 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x4, x2, 668, x1) + +inst_692: +// rs1_val==3 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xfffe, x2, 672, x1) + +inst_693: +// rs1_val==3 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x0, x2, 676, x1) + +inst_694: +// rs1_val==3 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 680, x1) + +inst_695: +// rs1_val==3 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 684, x1) + +inst_696: +// rs1_val==3 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 688, x1) + +inst_697: +// rs1_val==3 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x2, 692, x1) + +inst_698: +// rs1_val==3 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 696, x1) + +inst_699: +// rs1_val==3 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x2, x2, 700, x1) + +inst_700: +// rs1_val==3 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xffff, x2, 704, x1) + +inst_701: +// rs1_val==3 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 708, x1) + +inst_702: +// rs1_val==3 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 712, x1) + +inst_703: +// rs1_val==3 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 716, x1) + +inst_704: +// rs1_val==3 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x5, x2, 720, x1) + +inst_705: +// rs1_val==3 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x2, 724, x1) + +inst_706: +// rs1_val==3 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 728, x1) + +inst_707: +// rs1_val==3 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x3, x2, 732, x1) + +inst_708: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaaa, x2, 736, x1) + +inst_709: +// rs1_val == (2**(xlen)-1), +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffff, 0xfffffbff, x2, 740, x1) + +inst_710: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555555, x2, 744, x1) + +inst_711: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x10000, x2, 748, x1) + +inst_712: +// rs1_val==1431655764 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 752, x1) + +inst_713: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 756, x1) + +inst_714: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 760, x1) + +inst_715: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 764, x1) + +inst_716: +// rs1_val==1431655764 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 768, x1) + +inst_717: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaab, x2, 772, x1) + +inst_718: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 776, x1) + +inst_719: +// rs2_val == 3758096383, rs1_val == 4261412863 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xdfffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfdffffff, 0xdfffffff, x2, 780, x1) + +inst_720: +// rs2_val == 4286578687, rs1_val == 4026531839 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xff7fffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xff7fffff, x2, 784, x1) + +inst_721: +// rs2_val == 4294836223, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffdffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffdffff, x2, 788, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 198*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S new file mode 100644 index 000000000..7e3e8c253 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S @@ -0,0 +1,531 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sra instruction of the RISC-V E extension for the sra covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sra) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x9, rd==x12, rs1_val < 0 and rs2_val == 0, rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: sra ; op1:x11; op2:x9; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(sra, x12, x11, x9, -0x55555556, -0x55555556, 0x0, x3, 0, x5) + +inst_1: +// rs1 == rd != rs2, rs1==x8, rs2==x12, rd==x8, rs2_val == 15, rs1_val == -524289, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sra ; op1:x8; op2:x12; dest:x8; op1val:-0x80001; op2val:0xf +TEST_RR_OP(sra, x8, x8, x12, -0x11, -0x80001, 0xf, x3, 4, x5) + +inst_2: +// rs2 == rd != rs1, rs1==x10, rs2==x2, rd==x2, rs2_val == 23, rs1_val==3, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x2; dest:x2; op1val:0x3; op2val:0x17 +TEST_RR_OP(sra, x2, x10, x2, 0x0, 0x3, 0x17, x3, 8, x5) + +inst_3: +// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x4, rs2_val == 27, +// opcode: sra ; op1:x6; op2:x6; dest:x4; op1val:-0x8; op2val:-0x8 +TEST_RR_OP(sra, x4, x6, x6, -0x1, -0x8, -0x8, x3, 12, x5) + +inst_4: +// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == 29, rs1_val==-1431655765 +// opcode: sra ; op1:x13; op2:x13; dest:x13; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(sra, x13, x13, x13, -0xaaaab, -0x55555555, -0x55555555, x3, 16, x5) + +inst_5: +// rs1==x0, rs2==x10, rd==x7, rs2_val == 30, rs1_val == 16384 +// opcode: sra ; op1:x0; op2:x10; dest:x7; op1val:0x0; op2val:0x1e +TEST_RR_OP(sra, x7, x0, x10, 0x0, 0x0, 0x1e, x3, 20, x5) + +inst_6: +// rs1==x1, rs2==x15, rd==x14, rs1_val == 2147483647, rs2_val == 21, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x1; op2:x15; dest:x14; op1val:0x7fffffff; op2val:0x15 +TEST_RR_OP(sra, x14, x1, x15, 0x3ff, 0x7fffffff, 0x15, x3, 24, x5) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x3, rs2==x7, rd==x5, rs1_val == -1073741825, rs2_val == 1 +// opcode: sra ; op1:x3; op2:x7; dest:x5; op1val:-0x40000001; op2val:0x1 +TEST_RR_OP(sra, x5, x3, x7, -0x20000001, -0x40000001, 0x1, x4, 0, x6) + +inst_8: +// rs1==x2, rs2==x8, rd==x15, rs1_val == -536870913, +// opcode: sra ; op1:x2; op2:x8; dest:x15; op1val:-0x20000001; op2val:0x7 +TEST_RR_OP(sra, x15, x2, x8, -0x400001, -0x20000001, 0x7, x4, 4, x6) + +inst_9: +// rs1==x7, rs2==x11, rd==x1, rs1_val == -268435457, +// opcode: sra ; op1:x7; op2:x11; dest:x1; op1val:-0x10000001; op2val:0x9 +TEST_RR_OP(sra, x1, x7, x11, -0x80001, -0x10000001, 0x9, x4, 8, x6) + +inst_10: +// rs1==x12, rs2==x0, rd==x9, rs1_val == -134217729, +// opcode: sra ; op1:x12; op2:x0; dest:x9; op1val:-0x8000001; op2val:0x0 +TEST_RR_OP(sra, x9, x12, x0, -0x8000001, -0x8000001, 0x0, x4, 12, x6) + +inst_11: +// rs1==x5, rs2==x14, rd==x10, rs1_val == -67108865, +// opcode: sra ; op1:x5; op2:x14; dest:x10; op1val:-0x4000001; op2val:0x17 +TEST_RR_OP(sra, x10, x5, x14, -0x9, -0x4000001, 0x17, x4, 16, x6) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_12: +// rs1==x4, rs2==x5, rd==x0, rs1_val == -33554433, +// opcode: sra ; op1:x4; op2:x5; dest:x0; op1val:-0x2000001; op2val:0x9 +TEST_RR_OP(sra, x0, x4, x5, 0, -0x2000001, 0x9, x2, 0, x7) + +inst_13: +// rs1==x15, rs2==x4, rd==x3, rs1_val == -16777217, +// opcode: sra ; op1:x15; op2:x4; dest:x3; op1val:-0x1000001; op2val:0xe +TEST_RR_OP(sra, x3, x15, x4, -0x401, -0x1000001, 0xe, x2, 4, x7) + +inst_14: +// rs1==x9, rs2==x3, rd==x11, rs1_val == -8388609, +// opcode: sra ; op1:x9; op2:x3; dest:x11; op1val:-0x800001; op2val:0x11 +TEST_RR_OP(sra, x11, x9, x3, -0x41, -0x800001, 0x11, x2, 8, x7) + +inst_15: +// rs1==x14, rs2==x1, rd==x6, rs1_val == -4194305, +// opcode: sra ; op1:x14; op2:x1; dest:x6; op1val:-0x400001; op2val:0x1b +TEST_RR_OP(sra, x6, x14, x1, -0x1, -0x400001, 0x1b, x2, 12, x7) + +inst_16: +// rs1_val == -2097153, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, -0x41, -0x200001, 0xf, x2, 16, x7) + +inst_17: +// rs1_val == -1048577, rs2_val == 2 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 +TEST_RR_OP(sra, x12, x10, x11, -0x40001, -0x100001, 0x2, x2, 20, x1) + +inst_18: +// rs1_val == -262145, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x201, -0x40001, 0x9, x2, 24, x1) + +inst_19: +// rs1_val == -131073, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x20001, 0x1e, x2, 28, x1) + +inst_20: +// rs1_val == -65537, rs2_val == 16 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, -0x2, -0x10001, 0x10, x2, 32, x1) + +inst_21: +// rs1_val == -32769, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x8001, 0x1b, x2, 36, x1) + +inst_22: +// rs1_val == -16385, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x2 +TEST_RR_OP(sra, x12, x10, x11, -0x1001, -0x4001, 0x2, x2, 40, x1) + +inst_23: +// rs1_val == -8193, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2001, 0x1e, x2, 44, x1) + +inst_24: +// rs1_val == -4097, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x1001, 0xf, x2, 48, x1) + +inst_25: +// rs1_val == -2049, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x12 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x801, 0x12, x2, 52, x1) + +inst_26: +// rs1_val == -1025, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x12 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x401, 0x12, x2, 56, x1) + +inst_27: +// rs1_val == -513, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x201, 0x1b, x2, 60, x1) + +inst_28: +// rs1_val == -257, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x101, 0x9, x2, 64, x1) + +inst_29: +// rs1_val == -129, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x6 +TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x81, 0x6, x2, 68, x1) + +inst_30: +// rs1_val == -65, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x41, 0x1d, x2, 72, x1) + +inst_31: +// rs1_val == -33, rs2_val == 8 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x21, 0x8, x2, 76, x1) + +inst_32: +// rs1_val == -17, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x11, 0xe, x2, 80, x1) + +inst_33: +// rs1_val == -9, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x9, 0x9, x2, 84, x1) + +inst_34: +// rs1_val == -5, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xe +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x5, 0xe, x2, 88, x1) + +inst_35: +// rs1_val == -3, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x3, 0x15, x2, 92, x1) + +inst_36: +// rs1_val == -2, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2, 0x10, x2, 96, x1) + +inst_37: +// rs2_val == 4, rs1_val==2, rs1_val == 2 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2, 0x4, x2, 100, x1) + +inst_38: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, -0x1000, -0x80000000, 0x13, x2, 104, x1) + +inst_39: +// rs1_val == 1073741824, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x40000000, 0x1b, x2, 108, x1) + +inst_40: +// rs1_val == 536870912, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, 0x100000, 0x20000000, 0x9, x2, 112, x1) + +inst_41: +// rs1_val == 268435456, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x20000, 0x10000000, 0xb, x2, 116, x1) + +inst_42: +// rs1_val == 134217728, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x17 +TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x8000000, 0x17, x2, 120, x1) + +inst_43: +// rs1_val == 67108864, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xc +TEST_RR_OP(sra, x12, x10, x11, 0x4000, 0x4000000, 0xc, x2, 124, x1) + +inst_44: +// rs1_val == 33554432, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x11 +TEST_RR_OP(sra, x12, x10, x11, 0x100, 0x2000000, 0x11, x2, 128, x1) + +inst_45: +// rs1_val == 16777216, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x11 +TEST_RR_OP(sra, x12, x10, x11, 0x80, 0x1000000, 0x11, x2, 132, x1) + +inst_46: +// rs1_val == 8388608, rs1_val > 0 and rs2_val == 0 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x0 +TEST_RR_OP(sra, x12, x10, x11, 0x800000, 0x800000, 0x0, x2, 136, x1) + +inst_47: +// rs1_val == 4194304, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x800, 0x400000, 0xb, x2, 140, x1) + +inst_48: +// rs1_val == 2097152, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, 0x1000, 0x200000, 0x9, x2, 144, x1) + +inst_49: +// rs1_val == 1048576, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1 +TEST_RR_OP(sra, x12, x10, x11, 0x80000, 0x100000, 0x1, x2, 148, x1) + +inst_50: +// rs1_val == 524288, rs2_val == 10 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x200, 0x80000, 0xa, x2, 152, x1) + +inst_51: +// rs1_val == 262144, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1f +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x40000, 0x1f, x2, 156, x1) + +inst_52: +// rs1_val == 131072, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x20000, 0xb, x2, 160, x1) + +inst_53: +// rs1_val == 65536, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x10000, 0xa, x2, 164, x1) + +inst_54: +// rs1_val == 32768, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x8000, 0x10, x2, 168, x1) + +inst_55: +// rs1_val == 8192, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2000, 0xf, x2, 172, x1) + +inst_56: +// rs1_val == 4096, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1000, 0x1d, x2, 176, x1) + +inst_57: +// rs1_val == 2048, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x800, 0x8, x2, 180, x1) + +inst_58: +// rs1_val == 1024, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x20, 0x400, 0x5, x2, 184, x1) + +inst_59: +// rs1_val == 512, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x200, 0x1b, x2, 188, x1) + +inst_60: +// rs1_val == 256, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xd +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x100, 0xd, x2, 192, x1) + +inst_61: +// rs1_val == 128, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3 +TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x80, 0x3, x2, 196, x1) + +inst_62: +// rs1_val == 64, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x2, 0x40, 0x5, x2, 200, x1) + +inst_63: +// rs1_val == 32, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x20, 0x5, x2, 204, x1) + +inst_64: +// rs1_val == 16, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x10, 0x8, x2, 208, x1) + +inst_65: +// rs1_val == 8, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x3 +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x8, 0x3, x2, 212, x1) + +inst_66: +// rs1_val == 4, rs1_val==4 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4, 0xb, x2, 216, x1) + +inst_67: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1, 0x8, x2, 220, x1) + +inst_68: +// rs1_val==46341, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0xb505, 0x1e, x2, 224, x1) + +inst_69: +// rs1_val==-46339, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, -0xb6, -0xb503, 0x8, x2, 228, x1) + +inst_70: +// rs1_val==1717986919, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, 0x6666, 0x66666667, 0x10, x2, 232, x1) + +inst_71: +// rs1_val==858993460, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0xccccc, 0x33333334, 0xa, x2, 236, x1) + +inst_72: +// rs1_val==6, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x6, 0x13, x2, 240, x1) + +inst_73: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, 0x2aa, 0x55555555, 0x15, x2, 244, x1) + +inst_74: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x0, 0xa, x2, 248, x1) + +inst_75: +// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x7, 0x7, x2, 252, x1) + +inst_76: +// rs1_val==1431655766, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, 0xaaa, 0x55555556, 0x13, x2, 256, x1) + +inst_77: +// rs1_val==46339, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0x16a, 0xb503, 0x7, x2, 260, x1) + +inst_78: +// rs1_val==1717986917, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0xc, 0x66666665, 0x1b, x2, 264, x1) + +inst_79: +// rs1_val==858993458, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, 0x199, 0x33333332, 0x15, x2, 268, x1) + +inst_80: +// rs1_val==1431655764, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0xaaaaaa, 0x55555554, 0x7, x2, 272, x1) + +inst_81: +// rs1_val==46340, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0xb504, 0xf, x2, 276, x1) + +inst_82: +// rs1_val==-46340, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0xb504, 0x15, x2, 280, x1) + +inst_83: +// rs1_val==1717986918, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x66666666, 0x1e, x2, 284, x1) + +inst_84: +// rs1_val==858993459, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x33333333, 0x1d, x2, 288, x1) + +inst_85: +// rs1_val==5, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x5, 0x1d, x2, 292, x1) + +inst_86: +// rs2_val == 29, rs1_val==-1431655765 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x55555555, 0x1d, x2, 296, x1) + +inst_87: +// rs2_val == 30, rs1_val == 16384 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4000, 0x1e, x2, 300, x1) + +inst_88: +// rs1_val == -134217729, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x8000001, 0xb, x2, 304, x1) + +inst_89: +// rs1_val == -33554433, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x2000001, 0x9, x2, 308, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 78*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S new file mode 100644 index 000000000..e87f2b740 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S @@ -0,0 +1,516 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srai instruction of the RISC-V E extension for the srai covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srai) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x0, rd==x2, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x0; dest:x2; op1val:0x0; immval:0x1f +TEST_IMM_OP( srai, x2, x0, 0x0, 0x0, 0x1f, x1, 0, x5) + +inst_1: +// rs1 == rd, rs1==x14, rd==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x14; dest:x14; op1val:0x7fffffff; immval:0xc +TEST_IMM_OP( srai, x14, x14, 0x7ffff, 0x7fffffff, 0xc, x1, 4, x5) + +inst_2: +// rs1==x3, rd==x11, rs1_val == -1073741825, +// opcode: srai ; op1:x3; dest:x11; op1val:-0x40000001; immval:0x7 +TEST_IMM_OP( srai, x11, x3, -0x800001, -0x40000001, 0x7, x1, 8, x5) + +inst_3: +// rs1==x15, rd==x4, rs1_val == -268435457, rs1_val < 0 and imm_val == 0 +// opcode: srai ; op1:x15; dest:x4; op1val:-0x10000001; immval:0x0 +TEST_IMM_OP( srai, x4, x15, -0x10000001, -0x10000001, 0x0, x1, 12, x5) + +inst_4: +// rs1==x7, rd==x10, rs1_val == -134217729, imm_val == 21 +// opcode: srai ; op1:x7; dest:x10; op1val:-0x8000001; immval:0x15 +TEST_IMM_OP( srai, x10, x7, -0x41, -0x8000001, 0x15, x1, 16, x5) + +inst_5: +// rs1==x8, rd==x6, rs1_val == -67108865, imm_val == 10 +// opcode: srai ; op1:x8; dest:x6; op1val:-0x4000001; immval:0xa +TEST_IMM_OP( srai, x6, x8, -0x10001, -0x4000001, 0xa, x1, 20, x5) + +inst_6: +// rs1==x9, rd==x13, rs1_val == -33554433, imm_val == 2 +// opcode: srai ; op1:x9; dest:x13; op1val:-0x2000001; immval:0x2 +TEST_IMM_OP( srai, x13, x9, -0x800001, -0x2000001, 0x2, x1, 24, x5) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_7: +// rs1==x5, rd==x0, rs1_val == -16777217, +// opcode: srai ; op1:x5; dest:x0; op1val:-0x1000001; immval:0x12 +TEST_IMM_OP( srai, x0, x5, 0, -0x1000001, 0x12, x3, 0, x6) + +inst_8: +// rs1==x10, rd==x8, rs1_val == -8388609, imm_val == 30 +// opcode: srai ; op1:x10; dest:x8; op1val:-0x800001; immval:0x1e +TEST_IMM_OP( srai, x8, x10, -0x1, -0x800001, 0x1e, x3, 4, x6) + +inst_9: +// rs1==x13, rd==x5, rs1_val == -4194305, +// opcode: srai ; op1:x13; dest:x5; op1val:-0x400001; immval:0x9 +TEST_IMM_OP( srai, x5, x13, -0x2001, -0x400001, 0x9, x3, 8, x6) + +inst_10: +// rs1==x4, rd==x12, rs1_val == -2097153, +// opcode: srai ; op1:x4; dest:x12; op1val:-0x200001; immval:0x7 +TEST_IMM_OP( srai, x12, x4, -0x4001, -0x200001, 0x7, x3, 12, x6) + +inst_11: +// rs1==x12, rd==x7, rs1_val == -1048577, +// opcode: srai ; op1:x12; dest:x7; op1val:-0x100001; immval:0x7 +TEST_IMM_OP( srai, x7, x12, -0x2001, -0x100001, 0x7, x3, 16, x6) + +inst_12: +// rs1==x2, rd==x15, rs1_val == -524289, +// opcode: srai ; op1:x2; dest:x15; op1val:-0x80001; immval:0x7 +TEST_IMM_OP( srai, x15, x2, -0x1001, -0x80001, 0x7, x3, 20, x6) + +inst_13: +// rs1==x1, rd==x9, rs1_val == -262145, imm_val == 8 +// opcode: srai ; op1:x1; dest:x9; op1val:-0x40001; immval:0x8 +TEST_IMM_OP( srai, x9, x1, -0x401, -0x40001, 0x8, x3, 24, x6) + +inst_14: +// rs1==x6, rd==x1, rs1_val == -131073, +// opcode: srai ; op1:x6; dest:x1; op1val:-0x20001; immval:0x13 +TEST_IMM_OP( srai, x1, x6, -0x1, -0x20001, 0x13, x3, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rs1==x11, rd==x3, rs1_val == -65537, imm_val == 4 +// opcode: srai ; op1:x11; dest:x3; op1val:-0x10001; immval:0x4 +TEST_IMM_OP( srai, x3, x11, -0x1001, -0x10001, 0x4, x1, 0, x2) + +inst_16: +// rs1_val == -32769, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x8001; immval:0x7 +TEST_IMM_OP( srai, x11, x10, -0x101, -0x8001, 0x7, x1, 4, x2) + +inst_17: +// rs1_val == -16385, imm_val == 16 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x4001; immval:0x10 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x4001, 0x10, x1, 8, x2) + +inst_18: +// rs1_val == -8193, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x2001, 0x13, x1, 12, x2) + +inst_19: +// rs1_val == -4097, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1e +TEST_IMM_OP( srai, x11, x10, -0x1, -0x1001, 0x1e, x1, 16, x2) + +inst_20: +// rs1_val == -2049, imm_val == 15 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x801; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x1, -0x801, 0xf, x1, 20, x2) + +inst_21: +// rs1_val == -1025, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x401; immval:0x11 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x401, 0x11, x1, 24, x2) + +inst_22: +// rs1_val == -513, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x201; immval:0xb +TEST_IMM_OP( srai, x11, x10, -0x1, -0x201, 0xb, x1, 28, x2) + +inst_23: +// rs1_val == -257, imm_val == 1 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x101; immval:0x1 +TEST_IMM_OP( srai, x11, x10, -0x81, -0x101, 0x1, x1, 32, x2) + +inst_24: +// rs1_val == -129, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x81; immval:0xc +TEST_IMM_OP( srai, x11, x10, -0x1, -0x81, 0xc, x1, 36, x2) + +inst_25: +// rs1_val == -65, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x41; immval:0x13 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x41, 0x13, x1, 40, x2) + +inst_26: +// rs1_val == -33, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x21; immval:0xd +TEST_IMM_OP( srai, x11, x10, -0x1, -0x21, 0xd, x1, 44, x2) + +inst_27: +// rs1_val == -17, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x11; immval:0x1e +TEST_IMM_OP( srai, x11, x10, -0x1, -0x11, 0x1e, x1, 48, x2) + +inst_28: +// rs1_val == -9, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x9; immval:0x12 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x9, 0x12, x1, 52, x2) + +inst_29: +// rs1_val == -5, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x5; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x1, -0x5, 0xf, x1, 56, x2) + +inst_30: +// rs1_val == -3, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x3, 0x9, x1, 60, x2) + +inst_31: +// rs1_val == -2, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x2; immval:0x1f +TEST_IMM_OP( srai, x11, x10, -0x1, -0x2, 0x1f, x1, 64, x2) + +inst_32: +// imm_val == 23, rs1_val == 4096 +// opcode: srai ; op1:x10; dest:x11; op1val:0x1000; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x1000, 0x17, x1, 68, x2) + +inst_33: +// imm_val == 27, rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x1b +TEST_IMM_OP( srai, x11, x10, -0xb, -0x55555556, 0x1b, x1, 72, x2) + +inst_34: +// imm_val == 29, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 +// opcode: srai ; op1:x10; dest:x11; op1val:0x0; immval:0x1d +TEST_IMM_OP( srai, x11, x10, 0x0, 0x0, 0x1d, x1, 76, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x2 +TEST_IMM_OP( srai, x11, x10, -0x20000000, -0x80000000, 0x2, x1, 80, x2) + +inst_36: +// rs1_val == 1073741824, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40000000; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x10000000, 0x40000000, 0x2, x1, 84, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20000000; immval:0x4 +TEST_IMM_OP( srai, x11, x10, 0x2000000, 0x20000000, 0x4, x1, 88, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10000000; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x4000, 0x10000000, 0xe, x1, 92, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: srai ; op1:x10; dest:x11; op1val:0x8000000; immval:0x15 +TEST_IMM_OP( srai, x11, x10, 0x40, 0x8000000, 0x15, x1, 96, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: srai ; op1:x10; dest:x11; op1val:0x4000000; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x4000, 0x4000000, 0xc, x1, 100, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2000000; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x10000, 0x2000000, 0x9, x1, 104, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: srai ; op1:x10; dest:x11; op1val:0x1000000; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x400, 0x1000000, 0xe, x1, 108, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: srai ; op1:x10; dest:x11; op1val:0x800000; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x1000, 0x800000, 0xb, x1, 112, x2) + +inst_44: +// rs1_val == 4194304, +// opcode: srai ; op1:x10; dest:x11; op1val:0x400000; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x400000, 0x11, x1, 116, x2) + +inst_45: +// rs1_val == 2097152, +// opcode: srai ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 +TEST_IMM_OP( srai, x11, x10, 0x20000, 0x200000, 0x4, x1, 120, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: srai ; op1:x10; dest:x11; op1val:0x100000; immval:0x1b +TEST_IMM_OP( srai, x11, x10, 0x0, 0x100000, 0x1b, x1, 124, x2) + +inst_47: +// rs1_val == 524288, +// opcode: srai ; op1:x10; dest:x11; op1val:0x80000; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x80000, 0x17, x1, 128, x2) + +inst_48: +// rs1_val == 262144, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40000; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x2, 0x40000, 0x11, x1, 132, x2) + +inst_49: +// rs1_val == 131072, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20000; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x100, 0x20000, 0x9, x1, 136, x2) + +inst_50: +// rs1_val == 65536, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10000; immval:0x10 +TEST_IMM_OP( srai, x11, x10, 0x1, 0x10000, 0x10, x1, 140, x2) + +inst_51: +// rs1_val == 32768, +// opcode: srai ; op1:x10; dest:x11; op1val:0x8000; immval:0xa +TEST_IMM_OP( srai, x11, x10, 0x20, 0x8000, 0xa, x1, 144, x2) + +inst_52: +// rs1_val == 16384, +// opcode: srai ; op1:x10; dest:x11; op1val:0x4000; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x4000, 0x12, x1, 148, x2) + +inst_53: +// rs1_val == 8192, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2000; immval:0x5 +TEST_IMM_OP( srai, x11, x10, 0x100, 0x2000, 0x5, x1, 152, x2) + +inst_54: +// rs1_val == 2048, +// opcode: srai ; op1:x10; dest:x11; op1val:0x800; immval:0x6 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x800, 0x6, x1, 156, x2) + +inst_55: +// rs1_val == 1024, +// opcode: srai ; op1:x10; dest:x11; op1val:0x400; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x400, 0x17, x1, 160, x2) + +inst_56: +// rs1_val == 512, +// opcode: srai ; op1:x10; dest:x11; op1val:0x200; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x0, 0x200, 0xb, x1, 164, x2) + +inst_57: +// rs1_val == 256, +// opcode: srai ; op1:x10; dest:x11; op1val:0x100; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x100, 0x9, x1, 168, x2) + +inst_58: +// rs1_val == 128, +// opcode: srai ; op1:x10; dest:x11; op1val:0x80; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x80, 0x2, x1, 172, x2) + +inst_59: +// rs1_val == 64, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x40, 0x11, x1, 176, x2) + +inst_60: +// rs1_val == 32, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x0, 0x20, 0xb, x1, 180, x2) + +inst_61: +// rs1_val == 16, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10; immval:0xd +TEST_IMM_OP( srai, x11, x10, 0x0, 0x10, 0xd, x1, 184, x2) + +inst_62: +// rs1_val == 8, rs1_val > 0 and imm_val == (xlen-1) +// opcode: srai ; op1:x10; dest:x11; op1val:0x8; immval:0x1f +TEST_IMM_OP( srai, x11, x10, 0x0, 0x8, 0x1f, x1, 188, x2) + +inst_63: +// rs1_val == 4, rs1_val==4 +// opcode: srai ; op1:x10; dest:x11; op1val:0x4; immval:0x1f +TEST_IMM_OP( srai, x11, x10, 0x0, 0x4, 0x1f, x1, 192, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x0, 0x2, 0xc, x1, 196, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:0x1; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x1, 0x9, x1, 200, x2) + +inst_66: +// rs1_val==46341, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb505; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x16, 0xb505, 0xb, x1, 204, x2) + +inst_67: +// rs1_val==-46339, +// opcode: srai ; op1:x10; dest:x11; op1val:-0xb503; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x2, -0xb503, 0xf, x1, 208, x2) + +inst_68: +// rs1_val==1717986919, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666667; immval:0x8 +TEST_IMM_OP( srai, x11, x10, 0x666666, 0x66666667, 0x8, x1, 212, x2) + +inst_69: +// rs1_val==858993460, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333334; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x33333, 0x33333334, 0xc, x1, 216, x2) + +inst_70: +// rs1_val==6, +// opcode: srai ; op1:x10; dest:x11; op1val:0x6; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x6, 0x17, x1, 220, x2) + +inst_71: +// rs1_val==-1431655765, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555555; immval:0xb +TEST_IMM_OP( srai, x11, x10, -0xaaaab, -0x55555555, 0xb, x1, 224, x2) + +inst_72: +// rs1_val==3, +// opcode: srai ; op1:x10; dest:x11; op1val:0x3; immval:0x8 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x3, 0x8, x1, 228, x2) + +inst_73: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1e +TEST_IMM_OP( srai, x11, x10, 0x1, 0x55555555, 0x1e, x1, 232, x2) + +inst_74: +// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, rs1_val==5 +// opcode: srai ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x5, 0x5, x1, 236, x2) + +inst_75: +// rs1_val > 0 and imm_val == 0, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( srai, x11, x10, 0x2, 0x2, 0x0, x1, 240, x2) + +inst_76: +// rs1_val==1431655766, +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x15555555, 0x55555556, 0x2, x1, 244, x2) + +inst_77: +// rs1_val==46339, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 +TEST_IMM_OP( srai, x11, x10, 0x5a81, 0xb503, 0x1, x1, 248, x2) + +inst_78: +// rs1_val==1717986917, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666665; immval:0x10 +TEST_IMM_OP( srai, x11, x10, 0x6666, 0x66666665, 0x10, x1, 252, x2) + +inst_79: +// rs1_val==858993458, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333332; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333332, 0x12, x1, 256, x2) + +inst_80: +// rs1_val==1431655764, +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1b +TEST_IMM_OP( srai, x11, x10, 0xa, 0x55555554, 0x1b, x1, 260, x2) + +inst_81: +// rs1_val==46340, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb504; immval:0x1e +TEST_IMM_OP( srai, x11, x10, 0x0, 0xb504, 0x1e, x1, 264, x2) + +inst_82: +// rs1_val==-46340, +// opcode: srai ; op1:x10; dest:x11; op1val:-0xb504; immval:0x11 +TEST_IMM_OP( srai, x11, x10, -0x1, -0xb504, 0x11, x1, 268, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666666; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x19999, 0x66666666, 0xe, x1, 272, x2) + +inst_84: +// rs1_val==858993459, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333333; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333333, 0x12, x1, 276, x2) + +inst_85: +// rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:-0x20000001; immval:0x1f +TEST_IMM_OP( srai, x11, x10, -0x1, -0x20000001, 0x1f, x1, 280, x2) + +inst_86: +// rs1_val == -16777217, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x12 +TEST_IMM_OP( srai, x11, x10, -0x41, -0x1000001, 0x12, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S new file mode 100644 index 000000000..80f27c5fd --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S @@ -0,0 +1,526 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srl instruction of the RISC-V E extension for the srl covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srl) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x4, rd==x7, rs1_val < 0 and rs2_val == 0, rs1_val == -9 +// opcode: srl ; op1:x10; op2:x4; dest:x7; op1val:-0x9; op2val:0x0 +TEST_RR_OP(srl, x7, x10, x4, 0xfffffff7, -0x9, 0x0, x1, 0, x6) + +inst_1: +// rs1 == rd != rs2, rs1==x15, rs2==x0, rd==x15, rs2_val == 15, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: srl ; op1:x15; op2:x0; dest:x15; op1val:-0x6; op2val:0x0 +TEST_RR_OP(srl, x15, x15, x0, 0xfffffffa, -0x6, 0x0, x1, 4, x6) + +inst_2: +// rs2 == rd != rs1, rs1==x2, rs2==x3, rd==x3, rs2_val == 23, rs1_val == -2049 +// opcode: srl ; op1:x2; op2:x3; dest:x3; op1val:-0x801; op2val:0x17 +TEST_RR_OP(srl, x3, x2, x3, 0x1ff, -0x801, 0x17, x1, 8, x6) + +inst_3: +// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x12, rs2_val == 27, rs1_val == -1048577 +// opcode: srl ; op1:x5; op2:x5; dest:x12; op1val:-0x100001; op2val:-0x100001 +TEST_RR_OP(srl, x12, x5, x5, 0x1, -0x100001, -0x100001, x1, 12, x6) + +inst_4: +// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 29, rs1_val == -16777217 +// opcode: srl ; op1:x14; op2:x14; dest:x14; op1val:-0x1000001; op2val:-0x1000001 +TEST_RR_OP(srl, x14, x14, x14, 0x1, -0x1000001, -0x1000001, x1, 16, x6) + +inst_5: +// rs1==x12, rs2==x10, rd==x2, rs2_val == 30, rs1_val == -1073741825 +// opcode: srl ; op1:x12; op2:x10; dest:x2; op1val:-0x40000001; op2val:0x1e +TEST_RR_OP(srl, x2, x12, x10, 0x2, -0x40000001, 0x1e, x1, 20, x6) + +inst_6: +// rs1==x11, rs2==x15, rd==x9, rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x11; op2:x15; dest:x9; op1val:0x7fffffff; op2val:0x1b +TEST_RR_OP(srl, x9, x11, x15, 0xf, 0x7fffffff, 0x1b, x1, 24, x6) + +inst_7: +// rs1==x4, rs2==x6, rd==x10, rs1_val == -536870913, +// opcode: srl ; op1:x4; op2:x6; dest:x10; op1val:-0x20000001; op2val:0x13 +TEST_RR_OP(srl, x10, x4, x6, 0x1bff, -0x20000001, 0x13, x1, 28, x2) +RVTEST_SIGBASE( x10,signature_x10_0) + +inst_8: +// rs1==x0, rs2==x9, rd==x8, rs1_val == -268435457, +// opcode: srl ; op1:x0; op2:x9; dest:x8; op1val:0x0; op2val:0xe +TEST_RR_OP(srl, x8, x0, x9, 0x0, 0x0, 0xe, x10, 0, x2) + +inst_9: +// rs1==x1, rs2==x7, rd==x11, rs1_val == -134217729, +// opcode: srl ; op1:x1; op2:x7; dest:x11; op1val:-0x8000001; op2val:0x13 +TEST_RR_OP(srl, x11, x1, x7, 0x1eff, -0x8000001, 0x13, x10, 4, x2) + +inst_10: +// rs1==x7, rs2==x12, rd==x5, rs1_val == -67108865, +// opcode: srl ; op1:x7; op2:x12; dest:x5; op1val:-0x4000001; op2val:0x1b +TEST_RR_OP(srl, x5, x7, x12, 0x1f, -0x4000001, 0x1b, x10, 8, x2) + +inst_11: +// rs1==x6, rs2==x13, rd==x1, rs1_val == -33554433, +// opcode: srl ; op1:x6; op2:x13; dest:x1; op1val:-0x2000001; op2val:0x6 +TEST_RR_OP(srl, x1, x6, x13, 0x3f7ffff, -0x2000001, 0x6, x10, 12, x2) + +inst_12: +// rs1==x9, rs2==x8, rd==x4, rs1_val == -8388609, +// opcode: srl ; op1:x9; op2:x8; dest:x4; op1val:-0x800001; op2val:0xe +TEST_RR_OP(srl, x4, x9, x8, 0x3fdff, -0x800001, 0xe, x10, 16, x2) + +inst_13: +// rs1==x3, rs2==x11, rd==x6, rs1_val == -4194305, rs2_val == 8 +// opcode: srl ; op1:x3; op2:x11; dest:x6; op1val:-0x400001; op2val:0x8 +TEST_RR_OP(srl, x6, x3, x11, 0xffbfff, -0x400001, 0x8, x10, 20, x2) + +inst_14: +// rs1==x13, rs2==x1, rd==x0, rs1_val == -2097153, rs2_val == 4 +// opcode: srl ; op1:x13; op2:x1; dest:x0; op1val:-0x200001; op2val:0x4 +TEST_RR_OP(srl, x0, x13, x1, 0, -0x200001, 0x4, x10, 24, x3) + +inst_15: +// rs1==x8, rs2==x2, rd==x13, rs1_val == -524289, +// opcode: srl ; op1:x8; op2:x2; dest:x13; op1val:-0x80001; op2val:0x1d +TEST_RR_OP(srl, x13, x8, x2, 0x7, -0x80001, 0x1d, x10, 28, x3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs1_val == -262145, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xfffbfff, -0x40001, 0x4, x1, 0, x3) + +inst_17: +// rs1_val == -131073, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0xfffdff, -0x20001, 0x8, x1, 4, x3) + +inst_18: +// rs1_val == -65537, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x10001, 0x1e, x1, 8, x3) + +inst_19: +// rs1_val == -32769, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x8001, 0x1d, x1, 12, x3) + +inst_20: +// rs1_val == -16385, rs2_val == 10 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x3fffef, -0x4001, 0xa, x1, 16, x3) + +inst_21: +// rs1_val == -8193, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x2001, 0xe, x1, 20, x3) + +inst_22: +// rs1_val == -4097, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x1001, 0x1f, x1, 24, x3) + +inst_23: +// rs1_val == -1025, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x401, 0x1e, x1, 28, x3) + +inst_24: +// rs1_val == -513, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x7fff, -0x201, 0x11, x1, 32, x3) + +inst_25: +// rs1_val == -257, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x101, 0x1d, x1, 36, x3) + +inst_26: +// rs1_val == -129, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x7ffff, -0x81, 0xd, x1, 40, x3) + +inst_27: +// rs1_val == -65, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x9 +TEST_RR_OP(srl, x12, x10, x11, 0x7fffff, -0x41, 0x9, x1, 44, x3) + +inst_28: +// rs1_val == -33, rs2_val == 21 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x15 +TEST_RR_OP(srl, x12, x10, x11, 0x7ff, -0x21, 0x15, x1, 48, x3) + +inst_29: +// rs1_val == -17, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x11, 0xe, x1, 52, x3) + +inst_30: +// rs1_val == -5, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x0 +TEST_RR_OP(srl, x12, x10, x11, 0xfffffffb, -0x5, 0x0, x1, 56, x3) + +inst_31: +// rs1_val == -3, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x3, 0x1f, x1, 60, x3) + +inst_32: +// rs1_val == -2, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x5 +TEST_RR_OP(srl, x12, x10, x11, 0x7ffffff, -0x2, 0x5, x1, 64, x3) + +inst_33: +// rs2_val == 16, rs1_val == 524288 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x80000, 0x10, x1, 68, x3) + +inst_34: +// rs2_val == 2, rs1_val==46341 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(srl, x12, x10, x11, 0x2d41, 0xb505, 0x2, x1, 72, x3) + +inst_35: +// rs2_val == 1, rs1_val == 268435456 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 +TEST_RR_OP(srl, x12, x10, x11, 0x8000000, 0x10000000, 0x1, x1, 76, x3) + +inst_36: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x17 +TEST_RR_OP(srl, x12, x10, x11, 0x100, -0x80000000, 0x17, x1, 80, x3) + +inst_37: +// rs1_val == 1073741824, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x1000000, 0x40000000, 0x6, x1, 84, x3) + +inst_38: +// rs1_val == 536870912, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x80000, 0x20000000, 0xa, x1, 88, x3) + +inst_39: +// rs1_val == 134217728, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x400, 0x8000000, 0x11, x1, 92, x3) + +inst_40: +// rs1_val == 67108864, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x1000, 0x4000000, 0xe, x1, 96, x3) + +inst_41: +// rs1_val == 33554432, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x80, 0x2000000, 0x12, x1, 100, x3) + +inst_42: +// rs1_val == 16777216, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x4000, 0x1000000, 0xa, x1, 104, x3) + +inst_43: +// rs1_val == 8388608, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x10, 0x800000, 0x13, x1, 108, x3) + +inst_44: +// rs1_val == 4194304, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb +TEST_RR_OP(srl, x12, x10, x11, 0x800, 0x400000, 0xb, x1, 112, x3) + +inst_45: +// rs1_val == 2097152, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0x2000, 0x200000, 0x8, x1, 116, x3) + +inst_46: +// rs1_val == 1048576, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x100000, 0xe, x1, 120, x3) + +inst_47: +// rs1_val == 262144, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x2, 0x40000, 0x11, x1, 124, x3) + +inst_48: +// rs1_val == 131072, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x20000, 0xe, x1, 128, x3) + +inst_49: +// rs1_val == 65536, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10000, 0x13, x1, 132, x3) + +inst_50: +// rs1_val == 32768, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xf +TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x8000, 0xf, x1, 136, x3) + +inst_51: +// rs1_val == 16384, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x4000, 0xe, x1, 140, x3) + +inst_52: +// rs1_val == 8192, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0x20, 0x2000, 0x8, x1, 144, x3) + +inst_53: +// rs1_val == 4096, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x1000, 0x6, x1, 148, x3) + +inst_54: +// rs1_val == 2048, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x800, 0x1b, x1, 152, x3) + +inst_55: +// rs1_val == 1024, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x400, 0x13, x1, 156, x3) + +inst_56: +// rs1_val == 512, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x3 +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x200, 0x3, x1, 160, x3) + +inst_57: +// rs1_val == 256, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x100, 0x1e, x1, 164, x3) + +inst_58: +// rs1_val == 128, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x80, 0xa, x1, 168, x3) + +inst_59: +// rs1_val == 64, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0x4, 0x40, 0x4, x1, 172, x3) + +inst_60: +// rs1_val == 32, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x20, 0xd, x1, 176, x3) + +inst_61: +// rs1_val == 16, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10, 0x11, x1, 180, x3) + +inst_62: +// rs1_val == 8, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x8, 0x12, x1, 184, x3) + +inst_63: +// rs1_val == 4, rs1_val==4 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x4, 0x10, x1, 188, x3) + +inst_64: +// rs1_val == 2, rs1_val==2, rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x2, 0x2, x1, 192, x3) + +inst_65: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x1, 0x1f, x1, 196, x3) + +inst_66: +// rs1_val==-46339, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x3fffd2b, -0xb503, 0x6, x1, 200, x3) + +inst_67: +// rs1_val==1717986919, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x19999, 0x66666667, 0xe, x1, 204, x3) + +inst_68: +// rs1_val==858993460, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x9 +TEST_RR_OP(srl, x12, x10, x11, 0x199999, 0x33333334, 0x9, x1, 208, x3) + +inst_69: +// rs1_val==6, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x6, 0xd, x1, 212, x3) + +inst_70: +// rs1_val==-1431655765, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x2aaaa, -0x55555555, 0xe, x1, 216, x3) + +inst_71: +// rs1_val==1431655766, rs1_val > 0 and rs2_val == 0 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(srl, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 220, x3) + +inst_72: +// rs1_val==46339, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x5, 0xb503, 0xd, x1, 224, x3) + +inst_73: +// rs1_val==3, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xf +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x3, 0xf, x1, 228, x3) + +inst_74: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaaa, -0x55555556, 0x4, x1, 232, x3) + +inst_75: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x7 +TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaa, 0x55555555, 0x7, x1, 236, x3) + +inst_76: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x0, 0x7, x1, 240, x3) + +inst_77: +// rs1_val==1717986917, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666665, 0x1b, x1, 244, x3) + +inst_78: +// rs1_val==858993458, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x1999, 0x33333332, 0x11, x1, 248, x3) + +inst_79: +// rs1_val==1431655764, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0xaaa, 0x55555554, 0x13, x1, 252, x3) + +inst_80: +// rs1_val==46340, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0xb504, 0x12, x1, 256, x3) + +inst_81: +// rs1_val==-46340, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xffff4af, -0xb504, 0x4, x1, 260, x3) + +inst_82: +// rs1_val==1717986918, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666666, 0x1b, x1, 264, x3) + +inst_83: +// rs1_val==858993459, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x15 +TEST_RR_OP(srl, x12, x10, x11, 0x199, 0x33333333, 0x15, x1, 268, x3) + +inst_84: +// rs1_val==5, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x5, 0x10, x1, 272, x3) + +inst_85: +// rs2_val == 27, rs1_val == -1048577 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0x1f, -0x100001, 0x1b, x1, 276, x3) + +inst_86: +// rs2_val == 29, rs1_val == -16777217 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x1000001, 0x1d, x1, 280, x3) + +inst_87: +// rs1_val == -268435457, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3bfff, -0x10000001, 0xe, x1, 284, x3) + +inst_88: +// rs1_val == -2097153, rs2_val == 4 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xffdffff, -0x200001, 0x4, x1, 288, x3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x10_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 73*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S new file mode 100644 index 000000000..8ecc2f5dc --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S @@ -0,0 +1,521 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srli instruction of the RISC-V E extension for the srli covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srli) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x4, rd==x5, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -65, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srli ; op1:x4; dest:x5; op1val:-0x41; immval:0x1f +TEST_IMM_OP( srli, x5, x4, 0x1, -0x41, 0x1f, x1, 0, x10) + +inst_1: +// rs1 == rd, rs1==x9, rd==x9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen, imm_val == 8 +// opcode: srli ; op1:x9; dest:x9; op1val:0x7fffffff; immval:0x8 +TEST_IMM_OP( srli, x9, x9, 0x7fffff, 0x7fffffff, 0x8, x1, 4, x10) + +inst_2: +// rs1==x0, rd==x6, rs1_val == -1073741825, imm_val == 23 +// opcode: srli ; op1:x0; dest:x6; op1val:0x0; immval:0x17 +TEST_IMM_OP( srli, x6, x0, 0x0, 0x0, 0x17, x1, 8, x10) + +inst_3: +// rs1==x12, rd==x4, rs1_val == -536870913, +// opcode: srli ; op1:x12; dest:x4; op1val:-0x20000001; immval:0x6 +TEST_IMM_OP( srli, x4, x12, 0x37fffff, -0x20000001, 0x6, x1, 12, x10) + +inst_4: +// rs1==x8, rd==x14, rs1_val == -268435457, imm_val == 10 +// opcode: srli ; op1:x8; dest:x14; op1val:-0x10000001; immval:0xa +TEST_IMM_OP( srli, x14, x8, 0x3bffff, -0x10000001, 0xa, x1, 16, x10) + +inst_5: +// rs1==x7, rd==x3, rs1_val == -134217729, imm_val == 2 +// opcode: srli ; op1:x7; dest:x3; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( srli, x3, x7, 0x3dffffff, -0x8000001, 0x2, x1, 20, x10) + +inst_6: +// rs1==x6, rd==x2, rs1_val == -67108865, +// opcode: srli ; op1:x6; dest:x2; op1val:-0x4000001; immval:0x12 +TEST_IMM_OP( srli, x2, x6, 0x3eff, -0x4000001, 0x12, x1, 24, x10) + +inst_7: +// rs1==x11, rd==x15, rs1_val == -33554433, imm_val == 16 +// opcode: srli ; op1:x11; dest:x15; op1val:-0x2000001; immval:0x10 +TEST_IMM_OP( srli, x15, x11, 0xfdff, -0x2000001, 0x10, x1, 28, x10) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_8: +// rs1==x5, rd==x13, rs1_val == -16777217, imm_val == 1 +// opcode: srli ; op1:x5; dest:x13; op1val:-0x1000001; immval:0x1 +TEST_IMM_OP( srli, x13, x5, 0x7f7fffff, -0x1000001, 0x1, x4, 0, x6) + +inst_9: +// rs1==x15, rd==x11, rs1_val == -8388609, +// opcode: srli ; op1:x15; dest:x11; op1val:-0x800001; immval:0x11 +TEST_IMM_OP( srli, x11, x15, 0x7fbf, -0x800001, 0x11, x4, 4, x6) + +inst_10: +// rs1==x2, rd==x12, rs1_val == -4194305, imm_val == 15 +// opcode: srli ; op1:x2; dest:x12; op1val:-0x400001; immval:0xf +TEST_IMM_OP( srli, x12, x2, 0x1ff7f, -0x400001, 0xf, x4, 8, x6) + +inst_11: +// rs1==x14, rd==x7, rs1_val == -2097153, +// opcode: srli ; op1:x14; dest:x7; op1val:-0x200001; immval:0x7 +TEST_IMM_OP( srli, x7, x14, 0x1ffbfff, -0x200001, 0x7, x4, 12, x6) + +inst_12: +// rs1==x13, rd==x0, rs1_val == -1048577, +// opcode: srli ; op1:x13; dest:x0; op1val:-0x100001; immval:0xc +TEST_IMM_OP( srli, x0, x13, 0, -0x100001, 0xc, x4, 16, x6) + +inst_13: +// rs1==x3, rd==x10, rs1_val == -524289, imm_val == 21 +// opcode: srli ; op1:x3; dest:x10; op1val:-0x80001; immval:0x15 +TEST_IMM_OP( srli, x10, x3, 0x7ff, -0x80001, 0x15, x4, 20, x6) + +inst_14: +// rs1==x10, rd==x1, rs1_val == -262145, +// opcode: srli ; op1:x10; dest:x1; op1val:-0x40001; immval:0x10 +TEST_IMM_OP( srli, x1, x10, 0xfffb, -0x40001, 0x10, x4, 24, x6) + +inst_15: +// rs1==x1, rd==x8, rs1_val == -131073, +// opcode: srli ; op1:x1; dest:x8; op1val:-0x20001; immval:0x11 +TEST_IMM_OP( srli, x8, x1, 0x7ffe, -0x20001, 0x11, x4, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs1_val == -65537, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x1fffdf, -0x10001, 0xb, x1, 0, x2) + +inst_17: +// rs1_val == -32769, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x8001, 0x12, x1, 4, x2) + +inst_18: +// rs1_val == -16385, rs1_val < 0 and imm_val == 0 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0xffffbfff, -0x4001, 0x0, x1, 8, x2) + +inst_19: +// rs1_val == -8193, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x2001, 0x13, x1, 12, x2) + +inst_20: +// rs1_val == -4097, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa +TEST_IMM_OP( srli, x11, x10, 0x3ffffb, -0x1001, 0xa, x1, 16, x2) + +inst_21: +// rs1_val == -2049, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x801; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x7ff, -0x801, 0x15, x1, 20, x2) + +inst_22: +// rs1_val == -1025, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x3ffffef, -0x401, 0x6, x1, 24, x2) + +inst_23: +// rs1_val == -513, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x201; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x201, 0x13, x1, 28, x2) + +inst_24: +// rs1_val == -257, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x101; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xfffffe, -0x101, 0x8, x1, 32, x2) + +inst_25: +// rs1_val == -129, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x81; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xffffff, -0x81, 0x8, x1, 36, x2) + +inst_26: +// rs1_val == -33, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x3ffffff7, -0x21, 0x2, x1, 40, x2) + +inst_27: +// rs1_val == -17, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x11; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x11, 0x12, x1, 44, x2) + +inst_28: +// rs1_val == -9, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x9; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x3ffffffd, -0x9, 0x2, x1, 48, x2) + +inst_29: +// rs1_val == -5, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x5; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x3ffffff, -0x5, 0x6, x1, 52, x2) + +inst_30: +// rs1_val == -3, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x3; immval:0xf +TEST_IMM_OP( srli, x11, x10, 0x1ffff, -0x3, 0xf, x1, 56, x2) + +inst_31: +// rs1_val == -2, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x2; immval:0xc +TEST_IMM_OP( srli, x11, x10, 0xfffff, -0x2, 0xc, x1, 60, x2) + +inst_32: +// imm_val == 27, rs1_val == 262144 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40000; immval:0x1b +TEST_IMM_OP( srli, x11, x10, 0x0, 0x40000, 0x1b, x1, 64, x2) + +inst_33: +// imm_val == 29, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000000; immval:0x1d +TEST_IMM_OP( srli, x11, x10, 0x6, -0x40000000, 0x1d, x1, 68, x2) + +inst_34: +// imm_val == 30, rs1_val == 64 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x40, 0x1e, x1, 72, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: srli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0xa +TEST_IMM_OP( srli, x11, x10, 0x200000, -0x80000000, 0xa, x1, 76, x2) + +inst_36: +// rs1_val == 1073741824, rs1_val > 0 and imm_val == 0 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0x40000000, 0x40000000, 0x0, x1, 80, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x1000, 0x20000000, 0x11, x1, 84, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x800, 0x10000000, 0x11, x1, 88, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8000000; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x8000000, 0xb, x1, 92, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4000000; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4000000, 0x1e, x1, 96, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: srli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x40, 0x2000000, 0x13, x1, 100, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: srli ; op1:x10; dest:x11; op1val:0x1000000; immval:0xd +TEST_IMM_OP( srli, x11, x10, 0x800, 0x1000000, 0xd, x1, 104, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: srli ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x800000, 0x7, x1, 108, x2) + +inst_44: +// rs1_val == 4194304, +// opcode: srli ; op1:x10; dest:x11; op1val:0x400000; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x400000, 0x6, x1, 112, x2) + +inst_45: +// rs1_val == 2097152, imm_val == 4 +// opcode: srli ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x20000, 0x200000, 0x4, x1, 116, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: srli ; op1:x10; dest:x11; op1val:0x100000; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x800, 0x100000, 0x9, x1, 120, x2) + +inst_47: +// rs1_val == 524288, +// opcode: srli ; op1:x10; dest:x11; op1val:0x80000; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x100, 0x80000, 0xb, x1, 124, x2) + +inst_48: +// rs1_val == 131072, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20000; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x2, 0x20000, 0x10, x1, 128, x2) + +inst_49: +// rs1_val == 65536, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x10000, 0x0, x1, 132, x2) + +inst_50: +// rs1_val == 32768, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x8000, 0x10, x1, 136, x2) + +inst_51: +// rs1_val == 16384, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4000; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x100, 0x4000, 0x6, x1, 140, x2) + +inst_52: +// rs1_val == 8192, +// opcode: srli ; op1:x10; dest:x11; op1val:0x2000; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x200, 0x2000, 0x4, x1, 144, x2) + +inst_53: +// rs1_val == 4096, +// opcode: srli ; op1:x10; dest:x11; op1val:0x1000; immval:0xf +TEST_IMM_OP( srli, x11, x10, 0x0, 0x1000, 0xf, x1, 148, x2) + +inst_54: +// rs1_val == 2048, +// opcode: srli ; op1:x10; dest:x11; op1val:0x800; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x8, 0x800, 0x8, x1, 152, x2) + +inst_55: +// rs1_val == 1024, +// opcode: srli ; op1:x10; dest:x11; op1val:0x400; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x400, 0x12, x1, 156, x2) + +inst_56: +// rs1_val == 512, +// opcode: srli ; op1:x10; dest:x11; op1val:0x200; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0x0, 0x200, 0xe, x1, 160, x2) + +inst_57: +// rs1_val == 256, +// opcode: srli ; op1:x10; dest:x11; op1val:0x100; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x100, 0x13, x1, 164, x2) + +inst_58: +// rs1_val == 128, +// opcode: srli ; op1:x10; dest:x11; op1val:0x80; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x80, 0x8, x1, 168, x2) + +inst_59: +// rs1_val == 32, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x0, 0x20, 0xb, x1, 172, x2) + +inst_60: +// rs1_val == 16, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x10, 0x17, x1, 176, x2) + +inst_61: +// rs1_val == 8, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8; immval:0x1d +TEST_IMM_OP( srli, x11, x10, 0x0, 0x8, 0x1d, x1, 180, x2) + +inst_62: +// rs1_val == 4, rs1_val==4 +// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x9, x1, 184, x2) + +inst_63: +// rs1_val == 2, rs1_val==2 +// opcode: srli ; op1:x10; dest:x11; op1val:0x2; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x2, 0x11, x1, 188, x2) + +inst_64: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val == (xlen-1) +// opcode: srli ; op1:x10; dest:x11; op1val:0x1; immval:0x1f +TEST_IMM_OP( srli, x11, x10, 0x0, 0x1, 0x1f, x1, 192, x2) + +inst_65: +// rs1_val==46341, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb505; immval:0xd +TEST_IMM_OP( srli, x11, x10, 0x5, 0xb505, 0xd, x1, 196, x2) + +inst_66: +// rs1_val==-46339, +// opcode: srli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x7 +TEST_IMM_OP( srli, x11, x10, 0x1fffe95, -0xb503, 0x7, x1, 200, x2) + +inst_67: +// rs1_val==1717986919, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666667; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0xccccc, 0x66666667, 0xb, x1, 204, x2) + +inst_68: +// rs1_val==858993460, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333334; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0xcccc, 0x33333334, 0xe, x1, 208, x2) + +inst_69: +// rs1_val==6, +// opcode: srli ; op1:x10; dest:x11; op1val:0x6; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0x0, 0x6, 0xe, x1, 212, x2) + +inst_70: +// rs1_val==-1431655765, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x1 +TEST_IMM_OP( srli, x11, x10, 0x55555555, -0x55555555, 0x1, x1, 216, x2) + +inst_71: +// rs1_val==1431655766, +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x5555, 0x55555556, 0x10, x1, 220, x2) + +inst_72: +// rs1_val==46339, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb503; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x0, 0xb503, 0x15, x1, 224, x2) + +inst_73: +// rs1_val==0, rs1_val == 0 and imm_val >= 0 and imm_val < xlen +// opcode: srli ; op1:x10; dest:x11; op1val:0x0; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x0, 0x17, x1, 228, x2) + +inst_74: +// rs1_val==3, +// opcode: srli ; op1:x10; dest:x11; op1val:0x3; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x3, 0x8, x1, 232, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 236, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555555; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0xaaaaa, 0x55555555, 0xb, x1, 240, x2) + +inst_77: +// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x4, x1, 244, x2) + +inst_78: +// rs1_val==1717986917, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666665; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x333, 0x66666665, 0x15, x1, 248, x2) + +inst_79: +// rs1_val==858993458, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0xcccccc, 0x33333332, 0x6, x1, 252, x2) + +inst_80: +// rs1_val==1431655764, +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x1555555, 0x55555554, 0x6, x1, 256, x2) + +inst_81: +// rs1_val==46340, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb504; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0xb504, 0x17, x1, 260, x2) + +inst_82: +// rs1_val==-46340, +// opcode: srli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xffff4a, -0xb504, 0x8, x1, 264, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x333333, 0x66666666, 0x9, x1, 268, x2) + +inst_84: +// rs1_val==858993459, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x33333333, 0x1e, x1, 272, x2) + +inst_85: +// rs1_val==5, +// opcode: srli ; op1:x10; dest:x11; op1val:0x5; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x0, 0x5, 0xb, x1, 276, x2) + +inst_86: +// rs1_val == -1073741825, imm_val == 23 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x17f, -0x40000001, 0x17, x1, 280, x2) + +inst_87: +// rs1_val == -1048577, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x100001; immval:0xc +TEST_IMM_OP( srli, x11, x10, 0xffeff, -0x100001, 0xc, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S new file mode 100644 index 000000000..60ce1b737 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S @@ -0,0 +1,3006 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sub instruction of the RISC-V E extension for the sub covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sub) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x14, rs2==x9, rd==x4, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 4194304, rs1_val == 33554432 +// opcode: sub ; op1:x14; op2:x9; dest:x4; op1val:0x2000000; op2val:0x400000 +TEST_RR_OP(sub, x4, x14, x9, 0x1c00000, 0x2000000, 0x400000, x3, 0, x5) + +inst_1: +// rs1 == rd != rs2, rs1==x1, rs2==x0, rd==x1, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: sub ; op1:x1; op2:x0; dest:x1; op1val:-0x400001; op2val:0x0 +TEST_RR_OP(sub, x1, x1, x0, 0xffbfffff, -0x400001, 0x0, x3, 4, x5) + +inst_2: +// rs2 == rd != rs1, rs1==x11, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 +// opcode: sub ; op1:x11; op2:x6; dest:x6; op1val:-0x6; op2val:-0x40000001 +TEST_RR_OP(sub, x6, x11, x6, 0x3ffffffb, -0x6, -0x40000001, x3, 8, x5) + +inst_3: +// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x15, rs2_val == -536870913, rs1_val == -8388609 +// opcode: sub ; op1:x12; op2:x12; dest:x15; op1val:-0x800001; op2val:-0x800001 +TEST_RR_OP(sub, x15, x12, x12, 0x0, -0x800001, -0x800001, x3, 12, x5) + +inst_4: +// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == -268435457, rs1_val == -33554433 +// opcode: sub ; op1:x13; op2:x13; dest:x13; op1val:-0x2000001; op2val:-0x2000001 +TEST_RR_OP(sub, x13, x13, x13, 0x0, -0x2000001, -0x2000001, x3, 16, x5) + +inst_5: +// rs1==x10, rs2==x1, rd==x12, rs2_val == -134217729, rs1_val == -5 +// opcode: sub ; op1:x10; op2:x1; dest:x12; op1val:-0x5; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x1, 0x7fffffc, -0x5, -0x8000001, x3, 20, x5) + +inst_6: +// rs1==x7, rs2==x2, rd==x8, rs2_val == -67108865, rs1_val == 0 +// opcode: sub ; op1:x7; op2:x2; dest:x8; op1val:0x0; op2val:-0x4000001 +TEST_RR_OP(sub, x8, x7, x2, 0x4000001, 0x0, -0x4000001, x3, 24, x5) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_7: +// rs1==x5, rs2==x11, rd==x9, rs2_val == -33554433, rs1_val == -1025 +// opcode: sub ; op1:x5; op2:x11; dest:x9; op1val:-0x401; op2val:-0x2000001 +TEST_RR_OP(sub, x9, x5, x11, 0x1fffc00, -0x401, -0x2000001, x1, 0, x10) + +inst_8: +// rs1==x8, rs2==x3, rd==x14, rs2_val == -16777217, rs1_val == 16, rs1_val > 0 and rs2_val < 0 +// opcode: sub ; op1:x8; op2:x3; dest:x14; op1val:0x10; op2val:-0x1000001 +TEST_RR_OP(sub, x14, x8, x3, 0x1000011, 0x10, -0x1000001, x1, 4, x10) + +inst_9: +// rs1==x4, rs2==x15, rd==x5, rs2_val == -8388609, rs1_val == 128 +// opcode: sub ; op1:x4; op2:x15; dest:x5; op1val:0x80; op2val:-0x800001 +TEST_RR_OP(sub, x5, x4, x15, 0x800081, 0x80, -0x800001, x1, 8, x10) + +inst_10: +// rs1==x15, rs2==x4, rd==x2, rs2_val == -4194305, rs1_val == 2 +// opcode: sub ; op1:x15; op2:x4; dest:x2; op1val:0x2; op2val:-0x400001 +TEST_RR_OP(sub, x2, x15, x4, 0x400003, 0x2, -0x400001, x1, 12, x10) + +inst_11: +// rs1==x2, rs2==x14, rd==x7, rs2_val == -2097153, rs1_val == 4 +// opcode: sub ; op1:x2; op2:x14; dest:x7; op1val:0x4; op2val:-0x200001 +TEST_RR_OP(sub, x7, x2, x14, 0x200005, 0x4, -0x200001, x1, 16, x10) + +inst_12: +// rs1==x6, rs2==x7, rd==x3, rs2_val == -1048577, rs1_val == -513 +// opcode: sub ; op1:x6; op2:x7; dest:x3; op1val:-0x201; op2val:-0x100001 +TEST_RR_OP(sub, x3, x6, x7, 0xffe00, -0x201, -0x100001, x1, 20, x10) + +inst_13: +// rs1==x3, rs2==x8, rd==x0, rs2_val == -524289, rs1_val == -2 +// opcode: sub ; op1:x3; op2:x8; dest:x0; op1val:-0x2; op2val:-0x80001 +TEST_RR_OP(sub, x0, x3, x8, 0, -0x2, -0x80001, x1, 24, x2) + +inst_14: +// rs1==x0, rs2==x10, rd==x11, rs2_val == -262145, rs1_val == rs2_val, rs1_val == -262145 +// opcode: sub ; op1:x0; op2:x10; dest:x11; op1val:0x0; op2val:-0x40001 +TEST_RR_OP(sub, x11, x0, x10, 0x40001, 0x0, -0x40001, x1, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_15: +// rs1==x9, rs2==x5, rd==x10, rs2_val == -131073, rs1_val == -2097153 +// opcode: sub ; op1:x9; op2:x5; dest:x10; op1val:-0x200001; op2val:-0x20001 +TEST_RR_OP(sub, x10, x9, x5, 0xffe20000, -0x200001, -0x20001, x1, 0, x2) + +inst_16: +// rs2_val == -65537, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x10001 +TEST_RR_OP(sub, x12, x10, x11, 0xfffc, -0x5, -0x10001, x1, 4, x2) + +inst_17: +// rs2_val == -32769, rs1_val == 64 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x8001 +TEST_RR_OP(sub, x12, x10, x11, 0x8041, 0x40, -0x8001, x1, 8, x2) + +inst_18: +// rs2_val == -16385, rs1_val == -268435457 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x4001 +TEST_RR_OP(sub, x12, x10, x11, 0xf0004000, -0x10000001, -0x4001, x1, 12, x2) + +inst_19: +// rs2_val == -8193, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 +TEST_RR_OP(sub, x12, x10, x11, 0x33335333, 0x33333332, -0x2001, x1, 16, x2) + +inst_20: +// rs2_val == -4097, rs1_val == 536870912 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x1001 +TEST_RR_OP(sub, x12, x10, x11, 0x20001001, 0x20000000, -0x1001, x1, 20, x2) + +inst_21: +// rs2_val == -2049, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x801 +TEST_RR_OP(sub, x12, x10, x11, 0xffc00800, -0x400001, -0x801, x1, 24, x2) + +inst_22: +// rs2_val == -1025, rs1_val == 32 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x401 +TEST_RR_OP(sub, x12, x10, x11, 0x421, 0x20, -0x401, x1, 28, x2) + +inst_23: +// rs2_val == -513, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x201 +TEST_RR_OP(sub, x12, x10, x11, 0xfffc0200, -0x40001, -0x201, x1, 32, x2) + +inst_24: +// rs2_val == -257, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x101 +TEST_RR_OP(sub, x12, x10, x11, 0xffe00100, -0x200001, -0x101, x1, 36, x2) + +inst_25: +// rs2_val == -129, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x81 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b7e, -0xb503, -0x81, x1, 40, x2) + +inst_26: +// rs2_val == -65, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x41 +TEST_RR_OP(sub, x12, x10, x11, 0x55555597, 0x55555556, -0x41, x1, 44, x2) + +inst_27: +// rs2_val == -33, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x21 +TEST_RR_OP(sub, x12, x10, x11, 0x23, 0x2, -0x21, x1, 48, x2) + +inst_28: +// rs2_val == -17, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaabc, -0x55555555, -0x11, x1, 52, x2) + +inst_29: +// rs2_val == -9, rs1_val == 65536 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:-0x9 +TEST_RR_OP(sub, x12, x10, x11, 0x10009, 0x10000, -0x9, x1, 56, x2) + +inst_30: +// rs2_val == -5, rs1_val == 262144 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x40005, 0x40000, -0x5, x1, 60, x2) + +inst_31: +// rs2_val == -3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0xb505, -0x3, x1, 64, x2) + +inst_32: +// rs2_val == -2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, -0xb503, -0x2, x1, 68, x2) + +inst_33: +// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == -1431655766 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xd5555555, 0x7fffffff, -0x55555556, x1, 72, x2) + +inst_34: +// rs1_val == -1073741825, rs2_val == 67108864 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x4000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbbffffff, -0x40000001, 0x4000000, x1, 76, x2) + +inst_35: +// rs1_val == -536870913, rs2_val == 536870912 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x20000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbfffffff, -0x20000001, 0x20000000, x1, 80, x2) + +inst_36: +// rs1_val == -134217729, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x8001 +TEST_RR_OP(sub, x12, x10, x11, 0xf8008000, -0x8000001, -0x8001, x1, 84, x2) + +inst_37: +// rs1_val == -67108865, rs2_val == 0 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xfbffffff, -0x4000001, 0x0, x1, 88, x2) + +inst_38: +// rs1_val == -16777217, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcbcccccb, -0x1000001, 0x33333334, x1, 92, x2) + +inst_39: +// rs1_val == -1048577, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccbccccb, -0x100001, 0x33333334, x1, 96, x2) + +inst_40: +// rs1_val == -524289, rs2_val == 8388608 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x800000 +TEST_RR_OP(sub, x12, x10, x11, 0xff77ffff, -0x80001, 0x800000, x1, 100, x2) + +inst_41: +// rs1_val == -131073, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffdfff9, -0x20001, 0x6, x1, 104, x2) + +inst_42: +// rs1_val == -65537, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 +TEST_RR_OP(sub, x12, x10, x11, 0xffff0080, -0x10001, -0x81, x1, 108, x2) + +inst_43: +// rs1_val == -32769, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffecafc, -0x8001, 0xb503, x1, 112, x2) + +inst_44: +// rs1_val == -16385, rs2_val == 8 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x8 +TEST_RR_OP(sub, x12, x10, x11, 0xffffbff7, -0x4001, 0x8, x1, 116, x2) + +inst_45: +// rs1_val == -8193, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffffe003, -0x2001, -0x4, x1, 120, x2) + +inst_46: +// rs1_val == -4097, rs2_val == 262144 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 +TEST_RR_OP(sub, x12, x10, x11, 0xfffbefff, -0x1001, 0x40000, x1, 124, x2) + +inst_47: +// rs1_val == -2049, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x20000001 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff800, -0x801, -0x20000001, x1, 128, x2) + +inst_48: +// rs1_val == -257, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffff00, -0x101, -0x8000001, x1, 132, x2) + +inst_49: +// rs1_val == -129, rs2_val == 2048 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x800 +TEST_RR_OP(sub, x12, x10, x11, 0xfffff77f, -0x81, 0x800, x1, 136, x2) + +inst_50: +// rs1_val == -65, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x10001 +TEST_RR_OP(sub, x12, x10, x11, 0xffc0, -0x41, -0x10001, x1, 140, x2) + +inst_51: +// rs1_val == -33, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffe0, -0x21, -0x80001, x1, 144, x2) + +inst_52: +// rs1_val == -17, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x200001 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff0, -0x11, -0x200001, x1, 148, x2) + +inst_53: +// rs1_val == -9, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x7fffff8, -0x9, -0x8000001, x1, 152, x2) + +inst_54: +// rs1_val == -3, rs2_val == 8192 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x2000 +TEST_RR_OP(sub, x12, x10, x11, 0xffffdffd, -0x3, 0x2000, x1, 156, x2) + +inst_55: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x2aaaaaab, -0x55555555, -0x80000000, x1, 160, x2) + +inst_56: +// rs2_val == 1073741824, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x40000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbfff7fff, -0x8001, 0x40000000, x1, 164, x2) + +inst_57: +// rs2_val == 268435456, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x10000000 +TEST_RR_OP(sub, x12, x10, x11, 0xf2000000, 0x2000000, 0x10000000, x1, 168, x2) + +inst_58: +// rs2_val == 134217728, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x8000000 +TEST_RR_OP(sub, x12, x10, x11, 0xa2aaaaab, -0x55555555, 0x8000000, x1, 172, x2) + +inst_59: +// rs2_val == 33554432, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2000000 +TEST_RR_OP(sub, x12, x10, x11, 0xfdffdfff, -0x2001, 0x2000000, x1, 176, x2) + +inst_60: +// rs2_val == 16777216, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000 +TEST_RR_OP(sub, x12, x10, x11, 0xfeffffef, -0x11, 0x1000000, x1, 180, x2) + +inst_61: +// rs2_val == 2097152, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x200000 +TEST_RR_OP(sub, x12, x10, x11, 0xffe00010, 0x10, 0x200000, x1, 184, x2) + +inst_62: +// rs2_val == 1048576, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x100000 +TEST_RR_OP(sub, x12, x10, x11, 0x33233333, 0x33333333, 0x100000, x1, 188, x2) + +inst_63: +// rs2_val == 524288, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x80000 +TEST_RR_OP(sub, x12, x10, x11, 0xfef7ffff, -0x1000001, 0x80000, x1, 192, x2) + +inst_64: +// rs2_val == 131072, rs1_val == 524288 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x20000 +TEST_RR_OP(sub, x12, x10, x11, 0x60000, 0x80000, 0x20000, x1, 196, x2) + +inst_65: +// rs2_val == 65536, rs1_val == 134217728 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 +TEST_RR_OP(sub, x12, x10, x11, 0x7ff0000, 0x8000000, 0x10000, x1, 200, x2) + +inst_66: +// rs2_val == 32768, rs1_val == 2097152 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8000 +TEST_RR_OP(sub, x12, x10, x11, 0x1f8000, 0x200000, 0x8000, x1, 204, x2) + +inst_67: +// rs2_val == 16384, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x4000 +TEST_RR_OP(sub, x12, x10, x11, 0xf7ffbfff, -0x8000001, 0x4000, x1, 208, x2) + +inst_68: +// rs2_val == 4096, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1000 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff000, 0x20000000, 0x1000, x1, 212, x2) + +inst_69: +// rs2_val == 1024, rs1_val == 1073741824 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x400 +TEST_RR_OP(sub, x12, x10, x11, 0x3ffffc00, 0x40000000, 0x400, x1, 216, x2) + +inst_70: +// rs2_val == 512, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x200 +TEST_RR_OP(sub, x12, x10, x11, 0xffff7dff, -0x8001, 0x200, x1, 220, x2) + +inst_71: +// rs2_val == 256, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x100 +TEST_RR_OP(sub, x12, x10, x11, 0x55555454, 0x55555554, 0x100, x1, 224, x2) + +inst_72: +// rs2_val == 128, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x80 +TEST_RR_OP(sub, x12, x10, x11, 0x333332b3, 0x33333333, 0x80, x1, 228, x2) + +inst_73: +// rs2_val == 64, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffc4, 0x4, 0x40, x1, 232, x2) + +inst_74: +// rs2_val == 32, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x20 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffbdf, -0x401, 0x20, x1, 236, x2) + +inst_75: +// rs2_val == 16, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffff2, 0x2, 0x10, x1, 240, x2) + +inst_76: +// rs2_val == 4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 244, x2) + +inst_77: +// rs2_val == 2, rs1_val==2 and rs2_val==2 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x2, 0x2, x1, 248, x2) + +inst_78: +// rs2_val == 1, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1 +TEST_RR_OP(sub, x12, x10, x11, 0x1fffffff, 0x20000000, 0x1, x1, 252, x2) + +inst_79: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x400 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffffc00, -0x80000000, 0x400, x1, 256, x2) + +inst_80: +// rs1_val == 268435456, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffe, 0x10000000, 0x2, x1, 260, x2) + +inst_81: +// rs1_val == 67108864, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x4000000 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4000000, 0x4000000, x1, 264, x2) + +inst_82: +// rs1_val == 16777216, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x40001 +TEST_RR_OP(sub, x12, x10, x11, 0x1040001, 0x1000000, -0x40001, x1, 268, x2) + +inst_83: +// rs1_val == 8388608, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x8800001, 0x800000, -0x8000001, x1, 272, x2) + +inst_84: +// rs1_val == 4194304, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x99d9999a, 0x400000, 0x66666666, x1, 276, x2) + +inst_85: +// rs1_val == 1048576, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x80100000, 0x100000, -0x80000000, x1, 280, x2) + +inst_86: +// rs1_val == 131072, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x2000001 +TEST_RR_OP(sub, x12, x10, x11, 0x2020001, 0x20000, -0x2000001, x1, 284, x2) + +inst_87: +// rs1_val == 32768, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x8000, 0x8000, 0x0, x1, 288, x2) + +inst_88: +// rs1_val == 16384, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3ffb, 0x4000, 0x5, x1, 292, x2) + +inst_89: +// rs1_val == 8192, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff6afb, 0x2000, 0xb505, x1, 296, x2) + +inst_90: +// rs1_val == 4096, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x80 +TEST_RR_OP(sub, x12, x10, x11, 0xf80, 0x1000, 0x80, x1, 300, x2) + +inst_91: +// rs1_val == 2048, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x80000800, 0x800, -0x80000000, x1, 304, x2) + +inst_92: +// rs1_val == 1024, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x800000 +TEST_RR_OP(sub, x12, x10, x11, 0xff800400, 0x400, 0x800000, x1, 308, x2) + +inst_93: +// rs1_val == 512, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x204, 0x200, -0x4, x1, 312, x2) + +inst_94: +// rs1_val == 256, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x20000000 +TEST_RR_OP(sub, x12, x10, x11, 0xe0000100, 0x100, 0x20000000, x1, 316, x2) + +inst_95: +// rs1_val == 8, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4000 +TEST_RR_OP(sub, x12, x10, x11, 0xffffc008, 0x8, 0x4000, x1, 320, x2) + +inst_96: +// rs1_val == 1, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x20001 +TEST_RR_OP(sub, x12, x10, x11, 0x20002, 0x1, -0x20001, x1, 324, x2) + +inst_97: +// rs1_val==46341 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 328, x2) + +inst_98: +// rs1_val==46341 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 332, x2) + +inst_99: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 336, x2) + +inst_100: +// rs1_val==46341 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 340, x2) + +inst_101: +// rs1_val==46341 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 344, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 348, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 352, x2) + +inst_104: +// rs1_val==46341 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 356, x2) + +inst_105: +// rs1_val==46341 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 360, x2) + +inst_106: +// rs1_val==46341 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 364, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 368, x2) + +inst_108: +// rs1_val==46341 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 372, x2) + +inst_109: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 376, x2) + +inst_110: +// rs1_val==46341 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb505, 0x2, x1, 380, x2) + +inst_111: +// rs1_val==46341 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 384, x2) + +inst_112: +// rs1_val==46341 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 388, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 392, x2) + +inst_114: +// rs1_val==46341 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 396, x2) + +inst_115: +// rs1_val==46341 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 400, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 404, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 408, x2) + +inst_118: +// rs1_val==46341 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb505, 0x3, x1, 412, x2) + +inst_119: +// rs1_val==-46339 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 416, x2) + +inst_120: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 420, x2) + +inst_121: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 424, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 428, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 432, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 436, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 440, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 444, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 448, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 452, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 456, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 460, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 464, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 468, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 472, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 476, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 480, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 484, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 488, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 492, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 496, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 500, x2) + +inst_141: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 504, x2) + +inst_142: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 508, x2) + +inst_143: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 512, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 516, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 520, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 524, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 528, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 532, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 536, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 540, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 544, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 548, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 552, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 556, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 560, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 564, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 568, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 572, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 576, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 580, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 584, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 588, x2) + +inst_163: +// rs1_val==858993460 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 592, x2) + +inst_164: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 596, x2) + +inst_165: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 600, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 604, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 608, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 612, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 616, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 620, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 624, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 628, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 632, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 636, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 640, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 644, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 648, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 652, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 656, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 660, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 664, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 668, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 672, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 676, x2) + +inst_185: +// rs1_val==6 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 680, x2) + +inst_186: +// rs1_val==6 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x6, -0xb503, x1, 684, x2) + +inst_187: +// rs1_val==6 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 688, x2) + +inst_188: +// rs1_val==6 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 692, x2) + +inst_189: +// rs1_val==6 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x6, 0x6, x1, 696, x2) + +inst_190: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 700, x2) + +inst_191: +// rs1_val==6 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 704, x2) + +inst_192: +// rs1_val==6 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x6, 0x4, x1, 708, x2) + +inst_193: +// rs1_val==6 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 712, x2) + +inst_194: +// rs1_val==6 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x6, 0x6, 0x0, x1, 716, x2) + +inst_195: +// rs1_val==6 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 720, x2) + +inst_196: +// rs1_val==6 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 724, x2) + +inst_197: +// rs1_val==6 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 728, x2) + +inst_198: +// rs1_val==6 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x6, 0x2, x1, 732, x2) + +inst_199: +// rs1_val==6 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 736, x2) + +inst_200: +// rs1_val==6 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb50a, 0x6, -0xb504, x1, 740, x2) + +inst_201: +// rs1_val==6 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 744, x2) + +inst_202: +// rs1_val==6 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 748, x2) + +inst_203: +// rs1_val==6 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x6, 0x5, x1, 752, x2) + +inst_204: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 756, x2) + +inst_205: +// rs1_val==6 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 760, x2) + +inst_206: +// rs1_val==6 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x6, 0x3, x1, 764, x2) + +inst_207: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 768, x2) + +inst_208: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 772, x2) + +inst_209: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 776, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 780, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 784, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 788, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 792, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 796, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 800, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 804, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 808, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 812, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 816, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 820, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 824, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 828, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 832, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 836, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 840, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 844, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 848, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 852, x2) + +inst_229: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 856, x2) + +inst_230: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 860, x2) + +inst_231: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 864, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 868, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 872, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 876, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 880, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 884, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 888, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 892, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 896, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 900, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 904, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 908, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 912, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 916, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 920, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 924, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 928, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 932, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 936, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 940, x2) + +inst_251: +// rs1_val==4 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 944, x2) + +inst_252: +// rs1_val==4 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x4, -0xb503, x1, 948, x2) + +inst_253: +// rs1_val==4 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 952, x2) + +inst_254: +// rs1_val==4 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 956, x2) + +inst_255: +// rs1_val==4 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 960, x2) + +inst_256: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 964, x2) + +inst_257: +// rs1_val==4 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 968, x2) + +inst_258: +// rs1_val==4 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4, 0x4, x1, 972, x2) + +inst_259: +// rs1_val==4 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 976, x2) + +inst_260: +// rs1_val==4 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x4, 0x0, x1, 980, x2) + +inst_261: +// rs1_val==4 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 984, x2) + +inst_262: +// rs1_val==4 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 988, x2) + +inst_263: +// rs1_val==4 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 992, x2) + +inst_264: +// rs1_val==4 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x4, 0x2, x1, 996, x2) + +inst_265: +// rs1_val==4 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1000, x2) + +inst_266: +// rs1_val==4 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x4, -0xb504, x1, 1004, x2) + +inst_267: +// rs1_val==4 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1008, x2) + +inst_268: +// rs1_val==4 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1012, x2) + +inst_269: +// rs1_val==4 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1016, x2) + +inst_270: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1020, x2) + +inst_271: +// rs1_val==4 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1024, x2) + +inst_272: +// rs1_val==4 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1028, x2) + +inst_273: +// rs1_val==46339 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1032, x2) + +inst_274: +// rs1_val==46339 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1036, x2) + +inst_275: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1040, x2) + +inst_276: +// rs1_val==46339 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1044, x2) + +inst_277: +// rs1_val==46339 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1048, x2) + +inst_278: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1052, x2) + +inst_279: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1056, x2) + +inst_280: +// rs1_val==46339 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1060, x2) + +inst_281: +// rs1_val==46339 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1064, x2) + +inst_282: +// rs1_val==46339 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1068, x2) + +inst_283: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1072, x2) + +inst_284: +// rs1_val==46339 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1076, x2) + +inst_285: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1080, x2) + +inst_286: +// rs1_val==46339 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1084, x2) + +inst_287: +// rs1_val==46339 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1088, x2) + +inst_288: +// rs1_val==46339 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1092, x2) + +inst_289: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1096, x2) + +inst_290: +// rs1_val==46339 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1100, x2) + +inst_291: +// rs1_val==46339 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1104, x2) + +inst_292: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1108, x2) + +inst_293: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1112, x2) + +inst_294: +// rs1_val==46339 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1116, x2) + +inst_295: +// rs1_val==0 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1120, x2) + +inst_296: +// rs1_val==0 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0x0, -0xb503, x1, 1124, x2) + +inst_297: +// rs1_val==0 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1128, x2) + +inst_298: +// rs1_val==0 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1132, x2) + +inst_299: +// rs1_val==0 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1136, x2) + +inst_300: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1140, x2) + +inst_301: +// rs1_val==0 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1144, x2) + +inst_302: +// rs1_val==0 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1148, x2) + +inst_303: +// rs1_val==0 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1152, x2) + +inst_304: +// rs1_val==0 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1156, x2) + +inst_305: +// rs1_val==0 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1160, x2) + +inst_306: +// rs1_val==0 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1164, x2) + +inst_307: +// rs1_val==0 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1168, x2) + +inst_308: +// rs1_val==0 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1172, x2) + +inst_309: +// rs1_val==0 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1176, x2) + +inst_310: +// rs1_val==0 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0x0, -0xb504, x1, 1180, x2) + +inst_311: +// rs1_val==0 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1184, x2) + +inst_312: +// rs1_val==0 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1188, x2) + +inst_313: +// rs1_val==0 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1192, x2) + +inst_314: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1196, x2) + +inst_315: +// rs1_val==0 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1200, x2) + +inst_316: +// rs1_val==0 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1204, x2) + +inst_317: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1208, x2) + +inst_318: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1212, x2) + +inst_319: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1216, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1220, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1224, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1228, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1232, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1236, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1240, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1244, x2) + +inst_327: +// rs1_val==858993459 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) + +inst_328: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1252, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1256, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1260, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1264, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1268, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1272, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1276, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1280, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1284, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1288, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1292, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1296, x2) + +inst_340: +// rs1_val==5 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1300, x2) + +inst_341: +// rs1_val==5 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x5, -0xb503, x1, 1304, x2) + +inst_342: +// rs1_val==5 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1308, x2) + +inst_343: +// rs1_val==5 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1312, x2) + +inst_344: +// rs1_val==5 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1316, x2) + +inst_345: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1320, x2) + +inst_346: +// rs1_val==5 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1324, x2) + +inst_347: +// rs1_val==5 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1328, x2) + +inst_348: +// rs1_val==5 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1332, x2) + +inst_349: +// rs1_val==5 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) + +inst_350: +// rs1_val==5 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1340, x2) + +inst_351: +// rs1_val==5 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1344, x2) + +inst_352: +// rs1_val==5 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1348, x2) + +inst_353: +// rs1_val==5 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x5, 0x2, x1, 1352, x2) + +inst_354: +// rs1_val==5 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1356, x2) + +inst_355: +// rs1_val==5 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x5, -0xb504, x1, 1360, x2) + +inst_356: +// rs1_val==5 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1364, x2) + +inst_357: +// rs1_val==5 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1368, x2) + +inst_358: +// rs1_val==5 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1372, x2) + +inst_359: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1376, x2) + +inst_360: +// rs1_val==5 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1380, x2) + +inst_361: +// rs1_val==5 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x5, 0x3, x1, 1384, x2) + +inst_362: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1388, x2) + +inst_363: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1392, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1396, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1400, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1404, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1408, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1412, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1416, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1420, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1428, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1432, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1436, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1440, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1444, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1448, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1452, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1456, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1460, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1464, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1468, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1472, x2) + +inst_384: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1476, x2) + +inst_385: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1480, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1484, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1488, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1492, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1496, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1500, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1504, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1508, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1516, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1520, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1524, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1528, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1532, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1536, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1540, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1544, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1548, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1552, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1556, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1560, x2) + +inst_406: +// rs1_val==3 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1564, x2) + +inst_407: +// rs1_val==3 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x3, -0xb503, x1, 1568, x2) + +inst_408: +// rs1_val==3 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1572, x2) + +inst_409: +// rs1_val==3 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1576, x2) + +inst_410: +// rs1_val==3 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1580, x2) + +inst_411: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1584, x2) + +inst_412: +// rs1_val==3 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1588, x2) + +inst_413: +// rs1_val==3 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1592, x2) + +inst_414: +// rs1_val==3 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1596, x2) + +inst_415: +// rs1_val==3 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) + +inst_416: +// rs1_val==3 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1604, x2) + +inst_417: +// rs1_val==3 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1608, x2) + +inst_418: +// rs1_val==3 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1612, x2) + +inst_419: +// rs1_val==3 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1616, x2) + +inst_420: +// rs1_val==3 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1620, x2) + +inst_421: +// rs1_val==3 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x3, -0xb504, x1, 1624, x2) + +inst_422: +// rs1_val==3 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1628, x2) + +inst_423: +// rs1_val==3 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1632, x2) + +inst_424: +// rs1_val==3 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1636, x2) + +inst_425: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1640, x2) + +inst_426: +// rs1_val==3 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1644, x2) + +inst_427: +// rs1_val==3 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1648, x2) + +inst_428: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1652, x2) + +inst_429: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1656, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1660, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1664, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1668, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1672, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1676, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1680, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1684, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1688, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1692, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1696, x2) + +inst_440: +// rs1_val==858993458 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1700, x2) + +inst_441: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1704, x2) + +inst_442: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1708, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1712, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1716, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1720, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1724, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1728, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1732, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1736, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1740, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1744, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1748, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1752, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1756, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1760, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1764, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1768, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1772, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1776, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1780, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1784, x2) + +inst_462: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1788, x2) + +inst_463: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1792, x2) + +inst_464: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1796, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1800, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1804, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1808, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1812, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1816, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1820, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1824, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1828, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1832, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1836, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1840, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1844, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1848, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1852, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1856, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1860, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1864, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1868, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1872, x2) + +inst_484: +// rs1_val==2 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1876, x2) + +inst_485: +// rs1_val==2 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0x2, -0xb503, x1, 1880, x2) + +inst_486: +// rs1_val==2 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1884, x2) + +inst_487: +// rs1_val==2 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1888, x2) + +inst_488: +// rs1_val==2 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1892, x2) + +inst_489: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1896, x2) + +inst_490: +// rs1_val==2 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1900, x2) + +inst_491: +// rs1_val==2 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1904, x2) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1908, x2) + +inst_493: +// rs1_val==2 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1912, x2) + +inst_494: +// rs1_val==2 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1916, x2) + +inst_495: +// rs1_val==2 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1920, x2) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1924, x2) + +inst_497: +// rs1_val==2 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1928, x2) + +inst_498: +// rs1_val==2 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x2, -0xb504, x1, 1932, x2) + +inst_499: +// rs1_val==2 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 1936, x2) + +inst_500: +// rs1_val==2 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 1940, x2) + +inst_501: +// rs1_val==2 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 1944, x2) + +inst_502: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 1948, x2) + +inst_503: +// rs1_val==2 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 1952, x2) + +inst_504: +// rs1_val==2 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x2, 0x3, x1, 1956, x2) + +inst_505: +// rs1_val==46340 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 1960, x2) + +inst_506: +// rs1_val==46340 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 1964, x2) + +inst_507: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 1968, x2) + +inst_508: +// rs1_val==46340 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 1972, x2) + +inst_509: +// rs1_val==46340 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 1976, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 1980, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 1984, x2) + +inst_512: +// rs1_val==46340 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1988, x2) + +inst_513: +// rs1_val==46340 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1992, x2) + +inst_514: +// rs1_val==46340 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 2000, x2) + +inst_516: +// rs1_val==46340 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 2004, x2) + +inst_517: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 2008, x2) + +inst_518: +// rs1_val==46340 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb504, 0x2, x1, 2012, x2) + +inst_519: +// rs1_val==46340 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2016, x2) + +inst_520: +// rs1_val==46340 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 2020, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 2024, x2) + +inst_522: +// rs1_val==46340 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 2028, x2) + +inst_523: +// rs1_val==46340 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 2032, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 2036, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 2040, x2) + +inst_526: +// rs1_val==46340 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb504, 0x3, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_527: +// rs1_val==-46340 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 0, x2) + +inst_528: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 4, x2) + +inst_529: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 8, x2) + +inst_530: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 12, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 16, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 20, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 24, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 28, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 32, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 40, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 44, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 48, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 52, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 56, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 60, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 64, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 68, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 72, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 76, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 80, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 84, x2) + +inst_549: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 88, x2) + +inst_550: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 92, x2) + +inst_551: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 96, x2) + +inst_552: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 100, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 104, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 108, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 112, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 116, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 120, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 128, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 132, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 136, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 140, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 144, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 148, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 152, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 156, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 160, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 164, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 168, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 172, x2) + +inst_571: +// rs1_val==858993459 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 176, x2) + +inst_572: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 180, x2) + +inst_573: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 184, x2) + +inst_574: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 188, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 192, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 196, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 200, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 204, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 208, x2) + +inst_580: +// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff +TEST_RR_OP(sub, x12, x10, x11, 0x7fc00000, -0x400001, 0x7fffffff, x1, 212, x2) + +inst_581: +// rs2_val == -536870913, rs1_val == -8388609 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x20000001 +TEST_RR_OP(sub, x12, x10, x11, 0x1f800000, -0x800001, -0x20000001, x1, 216, x2) + +inst_582: +// rs2_val == -268435457, rs1_val == -33554433 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x10000001 +TEST_RR_OP(sub, x12, x10, x11, 0xe000000, -0x2000001, -0x10000001, x1, 220, x2) + +inst_583: +// rs2_val == -524289, rs1_val == -2 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x80001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffff, -0x2, -0x80001, x1, 224, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S new file mode 100644 index 000000000..71dd41b89 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S @@ -0,0 +1,416 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sw instruction of the RISC-V E extension for the sw-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sw-align) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rs2, rs1==x13, rs2==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0, rs2_val == 67108864 +// opcode: sw; op1:x13; op2:x1; op2val:0x4000000; immval:0x4; align:0 +TEST_STORE(x2,x9,0,x13,x1,0x4000000,0x4,0,sw,0) + +inst_1: +// rs1==x7, rs2==x6, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) +// opcode: sw; op1:x7; op2:x6; op2val:0x7fffffff; immval:0x20; align:0 +TEST_STORE(x2,x9,0,x7,x6,0x7fffffff,0x20,4,sw,0) + +inst_2: +// rs1==x5, rs2==x0, rs2_val == -1073741825, imm_val < 0 +// opcode: sw; op1:x5; op2:x0; op2val:0x0; immval:-0x4; align:0 +TEST_STORE(x2,x9,0,x5,x0,0x0,-0x4,8,sw,0) + +inst_3: +// rs1==x4, rs2==x5, rs2_val == -536870913, ea_align == 0 and (imm_val % 4) == 3 +// opcode: sw; op1:x4; op2:x5; op2val:-0x20000001; immval:-0x11; align:0 +TEST_STORE(x2,x9,0,x4,x5,-0x20000001,-0x11,12,sw,0) + +inst_4: +// rs1==x12, rs2==x3, rs2_val == -268435457, +// opcode: sw; op1:x12; op2:x3; op2val:-0x10000001; immval:-0x101; align:0 +TEST_STORE(x2,x9,0,x12,x3,-0x10000001,-0x101,16,sw,0) + +inst_5: +// rs1==x8, rs2==x10, rs2_val == -134217729, +// opcode: sw; op1:x8; op2:x10; op2val:-0x8000001; immval:-0x1; align:0 +TEST_STORE(x2,x9,0,x8,x10,-0x8000001,-0x1,20,sw,0) + +inst_6: +// rs1==x1, rs2==x8, rs2_val == -67108865, +// opcode: sw; op1:x1; op2:x8; op2val:-0x4000001; immval:-0x401; align:0 +TEST_STORE(x2,x9,0,x1,x8,-0x4000001,-0x401,24,sw,0) + +inst_7: +// rs1==x6, rs2==x15, rs2_val == -33554433, +// opcode: sw; op1:x6; op2:x15; op2val:-0x2000001; immval:0x40; align:0 +TEST_STORE(x2,x9,0,x6,x15,-0x2000001,0x40,28,sw,0) + +inst_8: +// rs1==x11, rs2==x14, rs2_val == -16777217, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sw; op1:x11; op2:x14; op2val:-0x1000001; immval:0x555; align:0 +TEST_STORE(x2,x5,0,x11,x14,-0x1000001,0x555,32,sw,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_9: +// rs1==x15, rs2==x7, rs2_val == -8388609, +// opcode: sw; op1:x15; op2:x7; op2val:-0x800001; immval:-0x201; align:0 +TEST_STORE(x1,x5,0,x15,x7,-0x800001,-0x201,0,sw,0) + +inst_10: +// rs1==x3, rs2==x12, rs2_val == -4194305, +// opcode: sw; op1:x3; op2:x12; op2val:-0x400001; immval:-0x8; align:0 +TEST_STORE(x1,x5,0,x3,x12,-0x400001,-0x8,4,sw,0) + +inst_11: +// rs1==x14, rs2==x9, rs2_val == -2097153, +// opcode: sw; op1:x14; op2:x9; op2val:-0x200001; immval:-0x800; align:0 +TEST_STORE(x1,x5,0,x14,x9,-0x200001,-0x800,8,sw,0) + +inst_12: +// rs1==x9, rs2==x13, rs2_val == -1048577, +// opcode: sw; op1:x9; op2:x13; op2val:-0x100001; immval:0x9; align:0 +TEST_STORE(x1,x5,0,x9,x13,-0x100001,0x9,12,sw,0) + +inst_13: +// rs1==x10, rs2==x4, rs2_val == -524289, +// opcode: sw; op1:x10; op2:x4; op2val:-0x80001; immval:0x7; align:0 +TEST_STORE(x1,x5,0,x10,x4,-0x80001,0x7,16,sw,0) + +inst_14: +// rs1==x2, rs2==x11, rs2_val == -262145, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sw; op1:x2; op2:x11; op2val:-0x40001; immval:0x6; align:0 +TEST_STORE(x1,x3,0,x2,x11,-0x40001,0x6,20,sw,0) + +inst_15: +// rs2==x2, rs2_val == -131073, +// opcode: sw; op1:x9; op2:x2; op2val:-0x20001; immval:0x20; align:0 +TEST_STORE(x1,x3,0,x9,x2,-0x20001,0x20,24,sw,0) + +inst_16: +// rs2_val == -65537, +// opcode: sw; op1:x10; op2:x11; op2val:-0x10001; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x10001,-0x81,28,sw,0) + +inst_17: +// rs2_val == -32769, +// opcode: sw; op1:x10; op2:x11; op2val:-0x8001; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x11,32,sw,0) + +inst_18: +// rs2_val == -16385, imm_val == 0 +// opcode: sw; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,36,sw,0) + +inst_19: +// rs2_val == -8193, +// opcode: sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x3ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2001,0x3ff,40,sw,0) + +inst_20: +// rs2_val == -4097, +// opcode: sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x200,44,sw,0) + +inst_21: +// rs2_val == -2049, +// opcode: sw; op1:x10; op2:x11; op2val:-0x801; immval:0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x801,0x4,48,sw,0) + +inst_22: +// rs2_val == -1025, +// opcode: sw; op1:x10; op2:x11; op2val:-0x401; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x401,52,sw,0) + +inst_23: +// rs2_val == -513, +// opcode: sw; op1:x10; op2:x11; op2val:-0x201; immval:0x3ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x201,0x3ff,56,sw,0) + +inst_24: +// rs2_val == -257, +// opcode: sw; op1:x10; op2:x11; op2val:-0x101; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x81,60,sw,0) + +inst_25: +// rs2_val == -129, +// opcode: sw; op1:x10; op2:x11; op2val:-0x81; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x81,0x1,64,sw,0) + +inst_26: +// rs2_val == -65, +// opcode: sw; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x41,-0xa,68,sw,0) + +inst_27: +// rs2_val == -33, +// opcode: sw; op1:x10; op2:x11; op2val:-0x21; immval:0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x21,0x3,72,sw,0) + +inst_28: +// rs2_val == -17, +// opcode: sw; op1:x10; op2:x11; op2val:-0x11; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x11,0x2,76,sw,0) + +inst_29: +// rs2_val == -9, +// opcode: sw; op1:x10; op2:x11; op2val:-0x9; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x9,-0xa,80,sw,0) + +inst_30: +// rs2_val == -5, +// opcode: sw; op1:x10; op2:x11; op2val:-0x5; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x5,0x40,84,sw,0) + +inst_31: +// rs2_val == -3, +// opcode: sw; op1:x10; op2:x11; op2val:-0x3; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x3,0x1,88,sw,0) + +inst_32: +// rs2_val == -2, +// opcode: sw; op1:x10; op2:x11; op2val:-0x2; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x201,92,sw,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x20; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x20,96,sw,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sw; op1:x10; op2:x11; op2val:0x40000000; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x401,100,sw,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sw; op1:x10; op2:x11; op2val:0x20000000; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000000,-0x8,104,sw,0) + +inst_36: +// rs2_val == 1, +// opcode: sw; op1:x10; op2:x11; op2val:0x1; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1,-0x2,108,sw,0) + +inst_37: +// rs2_val == -1431655766, +// opcode: sw; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,112,sw,0) + +inst_38: +// rs2_val == 1431655765, +// opcode: sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x55555555,0x40,116,sw,0) + +inst_39: +// rs2_val == 0, +// opcode: sw; op1:x10; op2:x11; op2val:0x0; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x0,-0xa,120,sw,0) + +inst_40: +// rs2_val == 268435456, +// opcode: sw; op1:x10; op2:x11; op2val:0x10000000; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x4,124,sw,0) + +inst_41: +// rs2_val == 134217728, +// opcode: sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x7ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000000,0x7ff,128,sw,0) + +inst_42: +// rs2_val == 33554432, +// opcode: sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x555; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000000,0x555,132,sw,0) + +inst_43: +// rs2_val == 16777216, +// opcode: sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000000,0x1,136,sw,0) + +inst_44: +// rs2_val == 8388608, +// opcode: sw; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x5,140,sw,0) + +inst_45: +// rs2_val == 4194304, +// opcode: sw; op1:x10; op2:x11; op2val:0x400000; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400000,0x2,144,sw,0) + +inst_46: +// rs2_val == 2097152, +// opcode: sw; op1:x10; op2:x11; op2val:0x200000; immval:-0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200000,-0x1,148,sw,0) + +inst_47: +// rs2_val == 1048576, +// opcode: sw; op1:x10; op2:x11; op2val:0x100000; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100000,-0x9,152,sw,0) + +inst_48: +// rs2_val == 524288, +// opcode: sw; op1:x10; op2:x11; op2val:0x80000; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80000,0x400,156,sw,0) + +inst_49: +// rs2_val == 262144, +// opcode: sw; op1:x10; op2:x11; op2val:0x40000; immval:0x555; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000,0x555,160,sw,0) + +inst_50: +// rs2_val == 131072, +// opcode: sw; op1:x10; op2:x11; op2val:0x20000; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x8,164,sw,0) + +inst_51: +// rs2_val == 65536, +// opcode: sw; op1:x10; op2:x11; op2val:0x10000; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x2,168,sw,0) + +inst_52: +// rs2_val == 32768, +// opcode: sw; op1:x10; op2:x11; op2val:0x8000; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000,-0xa,172,sw,0) + +inst_53: +// rs2_val == 16384, +// opcode: sw; op1:x10; op2:x11; op2val:0x4000; immval:-0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x1,176,sw,0) + +inst_54: +// rs2_val == 8192, +// opcode: sw; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,180,sw,0) + +inst_55: +// rs2_val == 4096, +// opcode: sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000,0x7,184,sw,0) + +inst_56: +// rs2_val == 2048, +// opcode: sw; op1:x10; op2:x11; op2val:0x800; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800,-0x81,188,sw,0) + +inst_57: +// rs2_val == 1024, +// opcode: sw; op1:x10; op2:x11; op2val:0x400; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400,-0x401,192,sw,0) + +inst_58: +// rs2_val == 512, +// opcode: sw; op1:x10; op2:x11; op2val:0x200; immval:0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200,0x7,196,sw,0) + +inst_59: +// rs2_val == 256, +// opcode: sw; op1:x10; op2:x11; op2val:0x100; immval:-0x101; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100,-0x101,200,sw,0) + +inst_60: +// rs2_val == 128, +// opcode: sw; op1:x10; op2:x11; op2val:0x80; immval:-0x21; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80,-0x21,204,sw,0) + +inst_61: +// rs2_val == 64, +// opcode: sw; op1:x10; op2:x11; op2val:0x40; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40,0x40,208,sw,0) + +inst_62: +// rs2_val == 32, +// opcode: sw; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,212,sw,0) + +inst_63: +// rs2_val == 16, +// opcode: sw; op1:x10; op2:x11; op2val:0x10; immval:0x10; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10,0x10,216,sw,0) + +inst_64: +// rs2_val == 8, +// opcode: sw; op1:x10; op2:x11; op2val:0x8; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8,0x400,220,sw,0) + +inst_65: +// rs2_val == 4, +// opcode: sw; op1:x10; op2:x11; op2val:0x4; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4,-0x401,224,sw,0) + +inst_66: +// rs2_val == 2, +// opcode: sw; op1:x10; op2:x11; op2val:0x2; immval:-0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2,-0x400,228,sw,0) + +inst_67: +// rs2_val == -1073741825, imm_val < 0 +// opcode: sw; op1:x10; op2:x11; op2val:-0x40000001; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x40000001,-0x4,232,sw,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 59*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S new file mode 100644 index 000000000..41fa0ac9d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S @@ -0,0 +1,3001 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xor instruction of the RISC-V E extension for the xor covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xor) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x14, rd==x1, rs1_val != rs2_val, rs2_val == -1048577, rs1_val > 0 and rs2_val < 0, rs1_val == 131072 +// opcode: xor ; op1:x5; op2:x14; dest:x1; op1val:0x20000; op2val:-0x100001 +TEST_RR_OP(xor, x1, x5, x14, 0xffedffff, 0x20000, -0x100001, x7, 0, x10) + +inst_1: +// rs1 == rd != rs2, rs1==x3, rs2==x15, rd==x3, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -1048577, rs2_val == (2**(xlen-1)-1) +// opcode: xor ; op1:x3; op2:x15; dest:x3; op1val:-0x100001; op2val:0x7fffffff +TEST_RR_OP(xor, x3, x3, x15, 0x80100000, -0x100001, 0x7fffffff, x7, 4, x10) + +inst_2: +// rs2 == rd != rs1, rs1==x4, rs2==x0, rd==x0, rs2_val == -1073741825, rs1_val == 1024 +// opcode: xor ; op1:x4; op2:x0; dest:x0; op1val:0x400; op2val:0x0 +TEST_RR_OP(xor, x0, x4, x0, 0, 0x400, 0x0, x7, 8, x10) + +inst_3: +// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x6, rs2_val == -536870913, rs1_val == 8192 +// opcode: xor ; op1:x8; op2:x8; dest:x6; op1val:0x2000; op2val:0x2000 +TEST_RR_OP(xor, x6, x8, x8, 0x0, 0x2000, 0x2000, x7, 12, x10) + +inst_4: +// rs1 == rs2 == rd, rs1==x12, rs2==x12, rd==x12, rs2_val == -268435457, rs1_val == 1 +// opcode: xor ; op1:x12; op2:x12; dest:x12; op1val:0x1; op2val:0x1 +TEST_RR_OP(xor, x12, x12, x12, 0x0, 0x1, 0x1, x7, 16, x10) + +inst_5: +// rs1==x1, rs2==x4, rd==x15, rs2_val == -134217729, +// opcode: xor ; op1:x1; op2:x4; dest:x15; op1val:0x66666667; op2val:-0x8000001 +TEST_RR_OP(xor, x15, x1, x4, 0x91999998, 0x66666667, -0x8000001, x7, 20, x10) + +inst_6: +// rs1==x9, rs2==x1, rd==x14, rs2_val == -67108865, rs1_val < 0 and rs2_val < 0, rs1_val == -65 +// opcode: xor ; op1:x9; op2:x1; dest:x14; op1val:-0x41; op2val:-0x4000001 +TEST_RR_OP(xor, x14, x9, x1, 0x4000040, -0x41, -0x4000001, x7, 24, x10) + +inst_7: +// rs1==x15, rs2==x13, rd==x2, rs2_val == -33554433, rs1_val == 32768 +// opcode: xor ; op1:x15; op2:x13; dest:x2; op1val:0x8000; op2val:-0x2000001 +TEST_RR_OP(xor, x2, x15, x13, 0xfdff7fff, 0x8000, -0x2000001, x7, 28, x10) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_8: +// rs1==x10, rs2==x9, rd==x11, rs2_val == -16777217, +// opcode: xor ; op1:x10; op2:x9; dest:x11; op1val:0xb503; op2val:-0x1000001 +TEST_RR_OP(xor, x11, x10, x9, 0xfeff4afc, 0xb503, -0x1000001, x1, 0, x2) + +inst_9: +// rs1==x7, rs2==x5, rd==x10, rs2_val == -8388609, rs1_val == -17 +// opcode: xor ; op1:x7; op2:x5; dest:x10; op1val:-0x11; op2val:-0x800001 +TEST_RR_OP(xor, x10, x7, x5, 0x800010, -0x11, -0x800001, x1, 4, x2) + +inst_10: +// rs1==x6, rs2==x7, rd==x8, rs2_val == -4194305, rs1_val == 262144 +// opcode: xor ; op1:x6; op2:x7; dest:x8; op1val:0x40000; op2val:-0x400001 +TEST_RR_OP(xor, x8, x6, x7, 0xffbbffff, 0x40000, -0x400001, x1, 8, x2) + +inst_11: +// rs1==x13, rs2==x11, rd==x4, rs2_val == -2097153, rs1_val == 2097152 +// opcode: xor ; op1:x13; op2:x11; dest:x4; op1val:0x200000; op2val:-0x200001 +TEST_RR_OP(xor, x4, x13, x11, 0xffffffff, 0x200000, -0x200001, x1, 12, x2) + +inst_12: +// rs1==x14, rs2==x6, rd==x9, rs2_val == -524289, rs1_val == 524288 +// opcode: xor ; op1:x14; op2:x6; dest:x9; op1val:0x80000; op2val:-0x80001 +TEST_RR_OP(xor, x9, x14, x6, 0xffffffff, 0x80000, -0x80001, x1, 16, x2) + +inst_13: +// rs1==x0, rs2==x3, rd==x7, rs2_val == -262145, rs1_val == -65537 +// opcode: xor ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:-0x40001 +TEST_RR_OP(xor, x7, x0, x3, 0xfffbffff, 0x0, -0x40001, x1, 20, x2) + +inst_14: +// rs1==x11, rs2==x10, rd==x5, rs2_val == -131073, +// opcode: xor ; op1:x11; op2:x10; dest:x5; op1val:-0x8; op2val:-0x20001 +TEST_RR_OP(xor, x5, x11, x10, 0x20007, -0x8, -0x20001, x1, 24, x3) + +inst_15: +// rs1==x2, rs2_val == -65537, rs1_val == 2 +// opcode: xor ; op1:x2; op2:x9; dest:x14; op1val:0x2; op2val:-0x10001 +TEST_RR_OP(xor, x14, x2, x9, 0xfffefffd, 0x2, -0x10001, x1, 28, x3) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_16: +// rs2==x2, rs2_val == -32769, rs1_val == -262145 +// opcode: xor ; op1:x10; op2:x2; dest:x14; op1val:-0x40001; op2val:-0x8001 +TEST_RR_OP(xor, x14, x10, x2, 0x48000, -0x40001, -0x8001, x1, 0, x3) + +inst_17: +// rd==x13, rs2_val == -16385, +// opcode: xor ; op1:x7; op2:x11; dest:x13; op1val:0x2; op2val:-0x4001 +TEST_RR_OP(xor, x13, x7, x11, 0xffffbffd, 0x2, -0x4001, x1, 4, x3) + +inst_18: +// rs2_val == -8193, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x2001 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 8, x3) + +inst_19: +// rs2_val == -4097, rs1_val == -67108865 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x1001 +TEST_RR_OP(xor, x12, x10, x11, 0x4001000, -0x4000001, -0x1001, x1, 12, x3) + +inst_20: +// rs2_val == -2049, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x801 +TEST_RR_OP(xor, x12, x10, x11, 0xfffff7fd, 0x2, -0x801, x1, 16, x3) + +inst_21: +// rs2_val == -1025, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x401 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffbfd, 0x2, -0x401, x1, 20, x3) + +inst_22: +// rs2_val == -513, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x201 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffdfc, 0x3, -0x201, x1, 24, x3) + +inst_23: +// rs2_val == -257, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x101 +TEST_RR_OP(xor, x12, x10, x11, 0xffff7eff, 0x8000, -0x101, x1, 28, x3) + +inst_24: +// rs2_val == -129, rs1_val == -1073741825 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x81 +TEST_RR_OP(xor, x12, x10, x11, 0x40000080, -0x40000001, -0x81, x1, 32, x3) + +inst_25: +// rs2_val == -65, rs1_val == -134217729 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x41 +TEST_RR_OP(xor, x12, x10, x11, 0x8000040, -0x8000001, -0x41, x1, 36, x3) + +inst_26: +// rs2_val == -33, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffd8, 0x7, -0x21, x1, 40, x3) + +inst_27: +// rs2_val == -17, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x11 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffec, 0x3, -0x11, x1, 44, x3) + +inst_28: +// rs2_val == -9, rs1_val == 0 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x9 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff7, 0x0, -0x9, x1, 48, x3) + +inst_29: +// rs2_val == -5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:-0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x6, -0x5, x1, 52, x3) + +inst_30: +// rs2_val == -3, rs1_val == 1431655765 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x55555555, -0x3, x1, 56, x3) + +inst_31: +// rs2_val == -2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x0, -0x2, x1, 60, x3) + +inst_32: +// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 1431655765 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x2aaaaaaa, 0x7fffffff, 0x55555555, x1, 64, x3) + +inst_33: +// rs1_val == -536870913, rs2_val == 2097152 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x200000 +TEST_RR_OP(xor, x12, x10, x11, 0xdfdfffff, -0x20000001, 0x200000, x1, 68, x3) + +inst_34: +// rs1_val == -268435457, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1000b503, -0x10000001, -0xb504, x1, 72, x3) + +inst_35: +// rs1_val == -33554433, rs2_val == 2 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xfdfffffd, -0x2000001, 0x2, x1, 76, x3) + +inst_36: +// rs1_val == -16777217, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x1000005, -0x1000001, -0x6, x1, 80, x3) + +inst_37: +// rs1_val == -8388609, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0x800020, -0x800001, -0x21, x1, 84, x3) + +inst_38: +// rs1_val == -4194305, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x400002, -0x400001, -0x3, x1, 88, x3) + +inst_39: +// rs1_val == -2097153, rs2_val == -1431655766 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55755555, -0x200001, -0x55555556, x1, 92, x3) + +inst_40: +// rs1_val == -524289, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x40000000 +TEST_RR_OP(xor, x12, x10, x11, 0x3ff7ffff, -0x80001, -0x40000000, x1, 96, x3) + +inst_41: +// rs1_val == -131073, rs2_val == 1048576 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x100000 +TEST_RR_OP(xor, x12, x10, x11, 0xffedffff, -0x20001, 0x100000, x1, 100, x3) + +inst_42: +// rs1_val == -32769, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffffcafb, -0x8001, 0xb504, x1, 104, x3) + +inst_43: +// rs1_val == -16385, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x4005, -0x4001, -0x6, x1, 108, x3) + +inst_44: +// rs1_val == -8193, rs2_val == 1024 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x400 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdbff, -0x2001, 0x400, x1, 112, x3) + +inst_45: +// rs1_val == -4097, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1000001 +TEST_RR_OP(xor, x12, x10, x11, 0x1001000, -0x1001, -0x1000001, x1, 116, x3) + +inst_46: +// rs1_val == -2049, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x9 +TEST_RR_OP(xor, x12, x10, x11, 0xfffff7f6, -0x801, 0x9, x1, 120, x3) + +inst_47: +// rs1_val == -1025, rs2_val == 16777216 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1000000 +TEST_RR_OP(xor, x12, x10, x11, 0xfefffbff, -0x401, 0x1000000, x1, 124, x3) + +inst_48: +// rs1_val == -513, rs2_val == 67108864 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x4000000 +TEST_RR_OP(xor, x12, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 128, x3) + +inst_49: +// rs1_val == -257, rs2_val == 268435456 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x10000000 +TEST_RR_OP(xor, x12, x10, x11, 0xeffffeff, -0x101, 0x10000000, x1, 132, x3) + +inst_50: +// rs1_val == -129, rs2_val == 131072 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x20000 +TEST_RR_OP(xor, x12, x10, x11, 0xfffdff7f, -0x81, 0x20000, x1, 136, x3) + +inst_51: +// rs1_val == -33, rs2_val == 0 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffdf, -0x21, 0x0, x1, 140, x3) + +inst_52: +// rs1_val == -9, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccc4, -0x9, 0x33333333, x1, 144, x3) + +inst_53: +// rs1_val == -5, rs2_val == 536870912 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 +TEST_RR_OP(xor, x12, x10, x11, 0xdffffffb, -0x5, 0x20000000, x1, 148, x3) + +inst_54: +// rs1_val == -3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0x3, 0xb503, x1, 152, x3) + +inst_55: +// rs1_val == -2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x400001 +TEST_RR_OP(xor, x12, x10, x11, 0x400001, -0x2, -0x400001, x1, 156, x3) + +inst_56: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x80000000 +TEST_RR_OP(xor, x12, x10, x11, 0x80000009, 0x9, -0x80000000, x1, 160, x3) + +inst_57: +// rs2_val == 1073741824, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x40000000 +TEST_RR_OP(xor, x12, x10, x11, 0x80000000, -0x40000000, 0x40000000, x1, 164, x3) + +inst_58: +// rs2_val == 134217728, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x8000000 +TEST_RR_OP(xor, x12, x10, x11, 0x8000007, 0x7, 0x8000000, x1, 168, x3) + +inst_59: +// rs2_val == 33554432, rs1_val == 4 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2000000 +TEST_RR_OP(xor, x12, x10, x11, 0x2000004, 0x4, 0x2000000, x1, 172, x3) + +inst_60: +// rs2_val == 8388608, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 +TEST_RR_OP(xor, x12, x10, x11, 0x33b33333, 0x33333333, 0x800000, x1, 176, x3) + +inst_61: +// rs2_val == 4194304, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x400000 +TEST_RR_OP(xor, x12, x10, x11, 0xfdbfffff, -0x2000001, 0x400000, x1, 180, x3) + +inst_62: +// rs2_val == 524288, rs1_val == 256 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x80000 +TEST_RR_OP(xor, x12, x10, x11, 0x80100, 0x100, 0x80000, x1, 184, x3) + +inst_63: +// rs2_val == 262144, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x40000 +TEST_RR_OP(xor, x12, x10, x11, 0x33373333, 0x33333333, 0x40000, x1, 188, x3) + +inst_64: +// rs2_val == 65536, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x10000 +TEST_RR_OP(xor, x12, x10, x11, 0xfffeffff, -0x1, 0x10000, x1, 192, x3) + +inst_65: +// rs2_val == 32768, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x8000 +TEST_RR_OP(xor, x12, x10, x11, 0xffffcafc, -0xb504, 0x8000, x1, 196, x3) + +inst_66: +// rs2_val == 16384, rs1_val == 32 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000 +TEST_RR_OP(xor, x12, x10, x11, 0x4020, 0x20, 0x4000, x1, 200, x3) + +inst_67: +// rs2_val == 8192, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdff6, -0xa, 0x2000, x1, 204, x3) + +inst_68: +// rs2_val == 4096, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1000 +TEST_RR_OP(xor, x12, x10, x11, 0x33332333, 0x33333333, 0x1000, x1, 208, x3) + +inst_69: +// rs2_val == 2048, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x800 +TEST_RR_OP(xor, x12, x10, x11, 0x33333b34, 0x33333334, 0x800, x1, 212, x3) + +inst_70: +// rs2_val == 512, rs1_val == 2048 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x200 +TEST_RR_OP(xor, x12, x10, x11, 0xa00, 0x800, 0x200, x1, 216, x3) + +inst_71: +// rs2_val == 256, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x100 +TEST_RR_OP(xor, x12, x10, x11, 0x100, 0x0, 0x100, x1, 220, x3) + +inst_72: +// rs2_val == 128, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x80 +TEST_RR_OP(xor, x12, x10, x11, 0x20080, 0x20000, 0x80, x1, 224, x3) + +inst_73: +// rs2_val == 64, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x40 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaeb, -0x55555555, 0x40, x1, 228, x3) + +inst_74: +// rs2_val == 32, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x20 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffcf, -0x11, 0x20, x1, 232, x3) + +inst_75: +// rs2_val == 16, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x10 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffdef, -0x201, 0x10, x1, 236, x3) + +inst_76: +// rs2_val == 8, rs1_val == 16777216 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8 +TEST_RR_OP(xor, x12, x10, x11, 0x1000008, 0x1000000, 0x8, x1, 240, x3) + +inst_77: +// rs2_val == 4, rs1_val == 134217728 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x8000004, 0x8000000, 0x4, x1, 244, x3) + +inst_78: +// rs2_val == 1, rs1_val == 4194304 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x1 +TEST_RR_OP(xor, x12, x10, x11, 0x400001, 0x400000, 0x1, x1, 248, x3) + +inst_79: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x7fff4afd, -0x80000000, -0xb503, x1, 252, x3) + +inst_80: +// rs1_val == 1073741824, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x10000001 +TEST_RR_OP(xor, x12, x10, x11, 0xafffffff, 0x40000000, -0x10000001, x1, 256, x3) + +inst_81: +// rs1_val == 536870912, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0xdfffffdf, 0x20000000, -0x21, x1, 260, x3) + +inst_82: +// rs1_val == 268435456, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x20000 +TEST_RR_OP(xor, x12, x10, x11, 0x10020000, 0x10000000, 0x20000, x1, 264, x3) + +inst_83: +// rs1_val == 67108864, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x4000000, 0x4000000, 0x0, x1, 268, x3) + +inst_84: +// rs1_val == 33554432, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x801 +TEST_RR_OP(xor, x12, x10, x11, 0xfdfff7ff, 0x2000000, -0x801, x1, 272, x3) + +inst_85: +// rs1_val == 8388608, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x80b503, 0x800000, 0xb503, x1, 276, x3) + +inst_86: +// rs1_val == 1048576, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x100003, 0x100000, 0x3, x1, 280, x3) + +inst_87: +// rs1_val == 65536, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66676667, 0x10000, 0x66666667, x1, 284, x3) + +inst_88: +// rs1_val == 16384, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x1001 +TEST_RR_OP(xor, x12, x10, x11, 0xffffafff, 0x4000, -0x1001, x1, 288, x3) + +inst_89: +// rs1_val == 4096, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55554555, 0x1000, 0x55555555, x1, 292, x3) + +inst_90: +// rs1_val == 512, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x8000000 +TEST_RR_OP(xor, x12, x10, x11, 0x8000200, 0x200, 0x8000000, x1, 296, x3) + +inst_91: +// rs1_val == 128, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x86, 0x80, 0x6, x1, 300, x3) + +inst_92: +// rs1_val == 64, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x401 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffbbf, 0x40, -0x401, x1, 304, x3) + +inst_93: +// rs1_val == 16, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffeb, 0x10, -0x5, x1, 308, x3) + +inst_94: +// rs1_val == 8, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffe7, 0x8, -0x11, x1, 312, x3) + +inst_95: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 316, x3) + +inst_96: +// rs1_val==46341 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 320, x3) + +inst_97: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 324, x3) + +inst_98: +// rs1_val==46341 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 328, x3) + +inst_99: +// rs1_val==46341 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb505, 0x6, x1, 332, x3) + +inst_100: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 336, x3) + +inst_101: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 340, x3) + +inst_102: +// rs1_val==46341 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 344, x3) + +inst_103: +// rs1_val==46341 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb505, 0xb503, x1, 348, x3) + +inst_104: +// rs1_val==46341 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 352, x3) + +inst_105: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 356, x3) + +inst_106: +// rs1_val==46341 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 360, x3) + +inst_107: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 364, x3) + +inst_108: +// rs1_val==46341 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 368, x3) + +inst_109: +// rs1_val==46341 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 372, x3) + +inst_110: +// rs1_val==46341 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 376, x3) + +inst_111: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 380, x3) + +inst_112: +// rs1_val==46341 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 384, x3) + +inst_113: +// rs1_val==46341 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 388, x3) + +inst_114: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 392, x3) + +inst_115: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 396, x3) + +inst_116: +// rs1_val==46341 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb505, 0x3, x1, 400, x3) + +inst_117: +// rs1_val==-46339 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 404, x3) + +inst_118: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 408, x3) + +inst_119: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 412, x3) + +inst_120: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 416, x3) + +inst_121: +// rs1_val==-46339 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 420, x3) + +inst_122: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 424, x3) + +inst_123: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 428, x3) + +inst_124: +// rs1_val==-46339 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 432, x3) + +inst_125: +// rs1_val==-46339 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 436, x3) + +inst_126: +// rs1_val==-46339 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 440, x3) + +inst_127: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 444, x3) + +inst_128: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 448, x3) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 452, x3) + +inst_130: +// rs1_val==-46339 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 456, x3) + +inst_131: +// rs1_val==-46339 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 460, x3) + +inst_132: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 464, x3) + +inst_133: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 468, x3) + +inst_134: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 472, x3) + +inst_135: +// rs1_val==-46339 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 476, x3) + +inst_136: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 480, x3) + +inst_137: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 484, x3) + +inst_138: +// rs1_val==-46339 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 488, x3) + +inst_139: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 492, x3) + +inst_140: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 496, x3) + +inst_141: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 500, x3) + +inst_142: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 504, x3) + +inst_143: +// rs1_val==1717986919 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 508, x3) + +inst_144: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 512, x3) + +inst_145: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 516, x3) + +inst_146: +// rs1_val==1717986919 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 520, x3) + +inst_147: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 524, x3) + +inst_148: +// rs1_val==1717986919 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 528, x3) + +inst_149: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 532, x3) + +inst_150: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 536, x3) + +inst_151: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 540, x3) + +inst_152: +// rs1_val==1717986919 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 544, x3) + +inst_153: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 548, x3) + +inst_154: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 552, x3) + +inst_155: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 556, x3) + +inst_156: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 560, x3) + +inst_157: +// rs1_val==1717986919 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 564, x3) + +inst_158: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 568, x3) + +inst_159: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 572, x3) + +inst_160: +// rs1_val==1717986919 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 576, x3) + +inst_161: +// rs1_val==858993460 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 580, x3) + +inst_162: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 584, x3) + +inst_163: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 588, x3) + +inst_164: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 592, x3) + +inst_165: +// rs1_val==858993460 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 596, x3) + +inst_166: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 600, x3) + +inst_167: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 604, x3) + +inst_168: +// rs1_val==858993460 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 608, x3) + +inst_169: +// rs1_val==858993460 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 612, x3) + +inst_170: +// rs1_val==858993460 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 616, x3) + +inst_171: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 620, x3) + +inst_172: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 624, x3) + +inst_173: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 628, x3) + +inst_174: +// rs1_val==858993460 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 632, x3) + +inst_175: +// rs1_val==858993460 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 636, x3) + +inst_176: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 640, x3) + +inst_177: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 644, x3) + +inst_178: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 648, x3) + +inst_179: +// rs1_val==858993460 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 652, x3) + +inst_180: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 656, x3) + +inst_181: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 660, x3) + +inst_182: +// rs1_val==858993460 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 664, x3) + +inst_183: +// rs1_val==6 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x6, 0xb505, x1, 668, x3) + +inst_184: +// rs1_val==6 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 672, x3) + +inst_185: +// rs1_val==6 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 676, x3) + +inst_186: +// rs1_val==6 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 680, x3) + +inst_187: +// rs1_val==6 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x6, 0x6, x1, 684, x3) + +inst_188: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 688, x3) + +inst_189: +// rs1_val==6 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 692, x3) + +inst_190: +// rs1_val==6 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x6, 0x4, x1, 696, x3) + +inst_191: +// rs1_val==6 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x6, 0xb503, x1, 700, x3) + +inst_192: +// rs1_val==6 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x6, 0x0, x1, 704, x3) + +inst_193: +// rs1_val==6 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 708, x3) + +inst_194: +// rs1_val==6 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 712, x3) + +inst_195: +// rs1_val==6 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 716, x3) + +inst_196: +// rs1_val==6 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x6, 0x2, x1, 720, x3) + +inst_197: +// rs1_val==6 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0x6, 0xb504, x1, 724, x3) + +inst_198: +// rs1_val==6 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 728, x3) + +inst_199: +// rs1_val==6 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 732, x3) + +inst_200: +// rs1_val==6 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 736, x3) + +inst_201: +// rs1_val==6 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x6, 0x5, x1, 740, x3) + +inst_202: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 744, x3) + +inst_203: +// rs1_val==6 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 748, x3) + +inst_204: +// rs1_val==6 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x6, 0x3, x1, 752, x3) + +inst_205: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 756, x3) + +inst_206: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 760, x3) + +inst_207: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 764, x3) + +inst_208: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 768, x3) + +inst_209: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 772, x3) + +inst_210: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 776, x3) + +inst_211: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 780, x3) + +inst_212: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 784, x3) + +inst_213: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 788, x3) + +inst_214: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 792, x3) + +inst_215: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 796, x3) + +inst_216: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 800, x3) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 804, x3) + +inst_218: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 808, x3) + +inst_219: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 812, x3) + +inst_220: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 816, x3) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 820, x3) + +inst_222: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 824, x3) + +inst_223: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 828, x3) + +inst_224: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 832, x3) + +inst_225: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 836, x3) + +inst_226: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 840, x3) + +inst_227: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 844, x3) + +inst_228: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 848, x3) + +inst_229: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 852, x3) + +inst_230: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 856, x3) + +inst_231: +// rs1_val==1431655766 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 860, x3) + +inst_232: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 864, x3) + +inst_233: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 868, x3) + +inst_234: +// rs1_val==1431655766 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 872, x3) + +inst_235: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 876, x3) + +inst_236: +// rs1_val==1431655766 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 880, x3) + +inst_237: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 884, x3) + +inst_238: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 888, x3) + +inst_239: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 892, x3) + +inst_240: +// rs1_val==1431655766 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 896, x3) + +inst_241: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 900, x3) + +inst_242: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 904, x3) + +inst_243: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 908, x3) + +inst_244: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 912, x3) + +inst_245: +// rs1_val==1431655766 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 916, x3) + +inst_246: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 920, x3) + +inst_247: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 924, x3) + +inst_248: +// rs1_val==1431655766 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 928, x3) + +inst_249: +// rs1_val==4 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x4, 0xb505, x1, 932, x3) + +inst_250: +// rs1_val==4 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 936, x3) + +inst_251: +// rs1_val==4 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 940, x3) + +inst_252: +// rs1_val==4 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 944, x3) + +inst_253: +// rs1_val==4 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x4, 0x6, x1, 948, x3) + +inst_254: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 952, x3) + +inst_255: +// rs1_val==4 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 956, x3) + +inst_256: +// rs1_val==4 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x4, 0x4, x1, 960, x3) + +inst_257: +// rs1_val==4 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 964, x3) + +inst_258: +// rs1_val==4 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x4, 0x0, x1, 968, x3) + +inst_259: +// rs1_val==4 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 972, x3) + +inst_260: +// rs1_val==4 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 976, x3) + +inst_261: +// rs1_val==4 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 980, x3) + +inst_262: +// rs1_val==4 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x4, 0x2, x1, 984, x3) + +inst_263: +// rs1_val==4 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x4, 0xb504, x1, 988, x3) + +inst_264: +// rs1_val==4 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 992, x3) + +inst_265: +// rs1_val==4 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 996, x3) + +inst_266: +// rs1_val==4 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1000, x3) + +inst_267: +// rs1_val==4 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x4, 0x5, x1, 1004, x3) + +inst_268: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1008, x3) + +inst_269: +// rs1_val==4 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1012, x3) + +inst_270: +// rs1_val==4 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1016, x3) + +inst_271: +// rs1_val==46339 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb503, 0xb505, x1, 1020, x3) + +inst_272: +// rs1_val==46339 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1024, x3) + +inst_273: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1028, x3) + +inst_274: +// rs1_val==46339 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1032, x3) + +inst_275: +// rs1_val==46339 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb503, 0x6, x1, 1036, x3) + +inst_276: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1040, x3) + +inst_277: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1044, x3) + +inst_278: +// rs1_val==46339 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1048, x3) + +inst_279: +// rs1_val==46339 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1052, x3) + +inst_280: +// rs1_val==46339 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1056, x3) + +inst_281: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1060, x3) + +inst_282: +// rs1_val==46339 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1064, x3) + +inst_283: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1068, x3) + +inst_284: +// rs1_val==46339 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1072, x3) + +inst_285: +// rs1_val==46339 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb503, 0xb504, x1, 1076, x3) + +inst_286: +// rs1_val==46339 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1080, x3) + +inst_287: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1084, x3) + +inst_288: +// rs1_val==46339 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1088, x3) + +inst_289: +// rs1_val==46339 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb503, 0x5, x1, 1092, x3) + +inst_290: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1096, x3) + +inst_291: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1100, x3) + +inst_292: +// rs1_val==46339 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1104, x3) + +inst_293: +// rs1_val==0 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1108, x3) + +inst_294: +// rs1_val==0 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1112, x3) + +inst_295: +// rs1_val==0 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1116, x3) + +inst_296: +// rs1_val==0 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1120, x3) + +inst_297: +// rs1_val==0 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1124, x3) + +inst_298: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1128, x3) + +inst_299: +// rs1_val==0 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1132, x3) + +inst_300: +// rs1_val==0 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1136, x3) + +inst_301: +// rs1_val==0 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1140, x3) + +inst_302: +// rs1_val==0 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1144, x3) + +inst_303: +// rs1_val==0 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1148, x3) + +inst_304: +// rs1_val==0 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1152, x3) + +inst_305: +// rs1_val==0 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1156, x3) + +inst_306: +// rs1_val==0 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1160, x3) + +inst_307: +// rs1_val==0 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1164, x3) + +inst_308: +// rs1_val==0 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1168, x3) + +inst_309: +// rs1_val==0 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1172, x3) + +inst_310: +// rs1_val==0 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1176, x3) + +inst_311: +// rs1_val==0 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1180, x3) + +inst_312: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1184, x3) + +inst_313: +// rs1_val==0 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1188, x3) + +inst_314: +// rs1_val==0 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1192, x3) + +inst_315: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1196, x3) + +inst_316: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1200, x3) + +inst_317: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1204, x3) + +inst_318: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1208, x3) + +inst_319: +// rs1_val==1717986917 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1212, x3) + +inst_320: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1216, x3) + +inst_321: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1220, x3) + +inst_322: +// rs1_val==1717986917 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1224, x3) + +inst_323: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1228, x3) + +inst_324: +// rs1_val==1717986917 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1232, x3) + +inst_325: +// rs1_val==858993459 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1236, x3) + +inst_326: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1240, x3) + +inst_327: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1244, x3) + +inst_328: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1248, x3) + +inst_329: +// rs1_val==858993459 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1252, x3) + +inst_330: +// rs1_val==858993459 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1256, x3) + +inst_331: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1260, x3) + +inst_332: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1264, x3) + +inst_333: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1268, x3) + +inst_334: +// rs1_val==858993459 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1272, x3) + +inst_335: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1276, x3) + +inst_336: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1280, x3) + +inst_337: +// rs1_val==858993459 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1284, x3) + +inst_338: +// rs1_val==5 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x5, 0xb505, x1, 1288, x3) + +inst_339: +// rs1_val==5 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1292, x3) + +inst_340: +// rs1_val==5 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1296, x3) + +inst_341: +// rs1_val==5 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1300, x3) + +inst_342: +// rs1_val==5 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x5, 0x6, x1, 1304, x3) + +inst_343: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1308, x3) + +inst_344: +// rs1_val==5 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1312, x3) + +inst_345: +// rs1_val==5 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1316, x3) + +inst_346: +// rs1_val==5 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x5, 0xb503, x1, 1320, x3) + +inst_347: +// rs1_val==5 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1324, x3) + +inst_348: +// rs1_val==5 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1328, x3) + +inst_349: +// rs1_val==5 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1332, x3) + +inst_350: +// rs1_val==5 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1336, x3) + +inst_351: +// rs1_val==5 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1340, x3) + +inst_352: +// rs1_val==5 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x5, 0xb504, x1, 1344, x3) + +inst_353: +// rs1_val==5 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1348, x3) + +inst_354: +// rs1_val==5 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1352, x3) + +inst_355: +// rs1_val==5 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1356, x3) + +inst_356: +// rs1_val==5 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1360, x3) + +inst_357: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1364, x3) + +inst_358: +// rs1_val==5 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1368, x3) + +inst_359: +// rs1_val==5 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x5, 0x3, x1, 1372, x3) + +inst_360: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1376, x3) + +inst_361: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1380, x3) + +inst_362: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1384, x3) + +inst_363: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1388, x3) + +inst_364: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1392, x3) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1400, x3) + +inst_367: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1404, x3) + +inst_368: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1408, x3) + +inst_369: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1412, x3) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1416, x3) + +inst_371: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1420, x3) + +inst_372: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1424, x3) + +inst_373: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1428, x3) + +inst_374: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1432, x3) + +inst_375: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1436, x3) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1440, x3) + +inst_377: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1444, x3) + +inst_378: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1448, x3) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1452, x3) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1456, x3) + +inst_381: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1460, x3) + +inst_382: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1464, x3) + +inst_383: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1468, x3) + +inst_384: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1472, x3) + +inst_385: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1476, x3) + +inst_386: +// rs1_val==1431655765 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1480, x3) + +inst_387: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1484, x3) + +inst_388: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1488, x3) + +inst_389: +// rs1_val==1431655765 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1492, x3) + +inst_390: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1496, x3) + +inst_391: +// rs1_val==1431655765 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1500, x3) + +inst_392: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1504, x3) + +inst_393: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1508, x3) + +inst_394: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) + +inst_395: +// rs1_val==1431655765 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1516, x3) + +inst_396: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1520, x3) + +inst_397: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1524, x3) + +inst_398: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1528, x3) + +inst_399: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1532, x3) + +inst_400: +// rs1_val==1431655765 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1536, x3) + +inst_401: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1540, x3) + +inst_402: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1544, x3) + +inst_403: +// rs1_val==1431655765 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1548, x3) + +inst_404: +// rs1_val==3 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x3, 0xb505, x1, 1552, x3) + +inst_405: +// rs1_val==3 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1556, x3) + +inst_406: +// rs1_val==3 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1560, x3) + +inst_407: +// rs1_val==3 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1564, x3) + +inst_408: +// rs1_val==3 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x3, 0x6, x1, 1568, x3) + +inst_409: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1572, x3) + +inst_410: +// rs1_val==3 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1576, x3) + +inst_411: +// rs1_val==3 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1580, x3) + +inst_412: +// rs1_val==3 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x3, 0xb503, x1, 1584, x3) + +inst_413: +// rs1_val==3 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1588, x3) + +inst_414: +// rs1_val==3 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1592, x3) + +inst_415: +// rs1_val==3 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1596, x3) + +inst_416: +// rs1_val==3 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1600, x3) + +inst_417: +// rs1_val==3 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) + +inst_418: +// rs1_val==3 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1608, x3) + +inst_419: +// rs1_val==3 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1612, x3) + +inst_420: +// rs1_val==3 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1616, x3) + +inst_421: +// rs1_val==3 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1620, x3) + +inst_422: +// rs1_val==3 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x3, 0x5, x1, 1624, x3) + +inst_423: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1628, x3) + +inst_424: +// rs1_val==3 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1632, x3) + +inst_425: +// rs1_val==3 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1636, x3) + +inst_426: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1640, x3) + +inst_427: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1644, x3) + +inst_428: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1648, x3) + +inst_429: +// rs1_val==1717986917 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1652, x3) + +inst_430: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1656, x3) + +inst_431: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1660, x3) + +inst_432: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1664, x3) + +inst_433: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1668, x3) + +inst_434: +// rs1_val==1717986917 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1672, x3) + +inst_435: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1676, x3) + +inst_436: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1680, x3) + +inst_437: +// rs1_val==1717986917 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1684, x3) + +inst_438: +// rs1_val==858993458 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1688, x3) + +inst_439: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1692, x3) + +inst_440: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1696, x3) + +inst_441: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1700, x3) + +inst_442: +// rs1_val==858993458 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1704, x3) + +inst_443: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1708, x3) + +inst_444: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1712, x3) + +inst_445: +// rs1_val==858993458 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1716, x3) + +inst_446: +// rs1_val==858993458 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1720, x3) + +inst_447: +// rs1_val==858993458 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1724, x3) + +inst_448: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1728, x3) + +inst_449: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1732, x3) + +inst_450: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1736, x3) + +inst_451: +// rs1_val==858993458 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1740, x3) + +inst_452: +// rs1_val==858993458 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1744, x3) + +inst_453: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1748, x3) + +inst_454: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1752, x3) + +inst_455: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1756, x3) + +inst_456: +// rs1_val==858993458 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1760, x3) + +inst_457: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1764, x3) + +inst_458: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1768, x3) + +inst_459: +// rs1_val==858993458 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1772, x3) + +inst_460: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1776, x3) + +inst_461: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1780, x3) + +inst_462: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1784, x3) + +inst_463: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1788, x3) + +inst_464: +// rs1_val==1431655764 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1792, x3) + +inst_465: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1796, x3) + +inst_466: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1800, x3) + +inst_467: +// rs1_val==1431655764 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1804, x3) + +inst_468: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1808, x3) + +inst_469: +// rs1_val==1431655764 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1812, x3) + +inst_470: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1816, x3) + +inst_471: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1820, x3) + +inst_472: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1824, x3) + +inst_473: +// rs1_val==1431655764 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1828, x3) + +inst_474: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1832, x3) + +inst_475: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1836, x3) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1840, x3) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1844, x3) + +inst_478: +// rs1_val==1431655764 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1848, x3) + +inst_479: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1852, x3) + +inst_480: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1856, x3) + +inst_481: +// rs1_val==1431655764 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1860, x3) + +inst_482: +// rs1_val==2 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1864, x3) + +inst_483: +// rs1_val==2 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1868, x3) + +inst_484: +// rs1_val==2 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1872, x3) + +inst_485: +// rs1_val==2 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1876, x3) + +inst_486: +// rs1_val==2 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x2, 0x6, x1, 1880, x3) + +inst_487: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1884, x3) + +inst_488: +// rs1_val==2 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1888, x3) + +inst_489: +// rs1_val==2 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1892, x3) + +inst_490: +// rs1_val==2 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x2, 0xb503, x1, 1896, x3) + +inst_491: +// rs1_val==2 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1900, x3) + +inst_492: +// rs1_val==2 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1904, x3) + +inst_493: +// rs1_val==2 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1908, x3) + +inst_494: +// rs1_val==2 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1912, x3) + +inst_495: +// rs1_val==2 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1916, x3) + +inst_496: +// rs1_val==2 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1920, x3) + +inst_497: +// rs1_val==2 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1924, x3) + +inst_498: +// rs1_val==2 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1928, x3) + +inst_499: +// rs1_val==2 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1932, x3) + +inst_500: +// rs1_val==2 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1936, x3) + +inst_501: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 1940, x3) + +inst_502: +// rs1_val==2 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1944, x3) + +inst_503: +// rs1_val==2 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x2, 0x3, x1, 1948, x3) + +inst_504: +// rs1_val==46340 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb504, 0xb505, x1, 1952, x3) + +inst_505: +// rs1_val==46340 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 1956, x3) + +inst_506: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 1960, x3) + +inst_507: +// rs1_val==46340 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 1964, x3) + +inst_508: +// rs1_val==46340 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0xb504, 0x6, x1, 1968, x3) + +inst_509: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 1972, x3) + +inst_510: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 1976, x3) + +inst_511: +// rs1_val==46340 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1980, x3) + +inst_512: +// rs1_val==46340 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb504, 0xb503, x1, 1984, x3) + +inst_513: +// rs1_val==46340 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1988, x3) + +inst_514: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 1992, x3) + +inst_515: +// rs1_val==46340 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 1996, x3) + +inst_516: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 2000, x3) + +inst_517: +// rs1_val==46340 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2004, x3) + +inst_518: +// rs1_val==46340 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2008, x3) + +inst_519: +// rs1_val==46340 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 2012, x3) + +inst_520: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 2016, x3) + +inst_521: +// rs1_val==46340 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 2020, x3) + +inst_522: +// rs1_val==46340 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb504, 0x5, x1, 2024, x3) + +inst_523: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 2028, x3) + +inst_524: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 2032, x3) + +inst_525: +// rs1_val==46340 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2036, x3) + +inst_526: +// rs1_val==-46340 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 2040, x3) + +inst_527: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 2044, x3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_528: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 0, x3) + +inst_529: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 4, x3) + +inst_530: +// rs1_val==-46340 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 8, x3) + +inst_531: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 12, x3) + +inst_532: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 16, x3) + +inst_533: +// rs1_val==-46340 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 20, x3) + +inst_534: +// rs1_val==-46340 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 24, x3) + +inst_535: +// rs1_val==-46340 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 28, x3) + +inst_536: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 32, x3) + +inst_537: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 36, x3) + +inst_538: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 40, x3) + +inst_539: +// rs1_val==-46340 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 44, x3) + +inst_540: +// rs1_val==-46340 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 48, x3) + +inst_541: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 52, x3) + +inst_542: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 56, x3) + +inst_543: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 60, x3) + +inst_544: +// rs1_val==-46340 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 64, x3) + +inst_545: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 68, x3) + +inst_546: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 72, x3) + +inst_547: +// rs1_val==-46340 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 76, x3) + +inst_548: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 80, x3) + +inst_549: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 84, x3) + +inst_550: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 88, x3) + +inst_551: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 92, x3) + +inst_552: +// rs1_val==1717986918 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 96, x3) + +inst_553: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 100, x3) + +inst_554: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 104, x3) + +inst_555: +// rs1_val==1717986918 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 108, x3) + +inst_556: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 112, x3) + +inst_557: +// rs1_val==1717986918 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 116, x3) + +inst_558: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 120, x3) + +inst_559: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 124, x3) + +inst_560: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 128, x3) + +inst_561: +// rs1_val==1717986918 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 132, x3) + +inst_562: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 136, x3) + +inst_563: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 140, x3) + +inst_564: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 144, x3) + +inst_565: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 148, x3) + +inst_566: +// rs1_val==1717986918 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 152, x3) + +inst_567: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 156, x3) + +inst_568: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 160, x3) + +inst_569: +// rs1_val==1717986918 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 164, x3) + +inst_570: +// rs1_val==858993459 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 168, x3) + +inst_571: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 172, x3) + +inst_572: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 176, x3) + +inst_573: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 180, x3) + +inst_574: +// rs1_val==858993459 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 184, x3) + +inst_575: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 188, x3) + +inst_576: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 192, x3) + +inst_577: +// rs1_val==858993459 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 196, x3) + +inst_578: +// rs1_val==858993459 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 200, x3) + +inst_579: +// rs2_val == -1073741825, rs1_val == 1024 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000001 +TEST_RR_OP(xor, x12, x10, x11, 0xbffffbff, 0x400, -0x40000001, x1, 204, x3) + +inst_580: +// rs2_val == -536870913, rs1_val == 8192 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x20000001 +TEST_RR_OP(xor, x12, x10, x11, 0xdfffdfff, 0x2000, -0x20000001, x1, 208, x3) + +inst_581: +// rs2_val == -268435457, rs1_val == 1 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x10000001 +TEST_RR_OP(xor, x12, x10, x11, 0xeffffffe, 0x1, -0x10000001, x1, 212, x3) + +inst_582: +// rs2_val == -262145, rs1_val == -65537 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x40001 +TEST_RR_OP(xor, x12, x10, x11, 0x50000, -0x10001, -0x40001, x1, 216, x3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 55*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S new file mode 100644 index 000000000..cc3f509f7 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S @@ -0,0 +1,2881 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xori instruction of the RISC-V E extension for the xori covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xori) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x12, rd==x13, imm_val == (-2**(12-1)), rs1_val != imm_val, rs1_val < 0 and imm_val < 0, imm_val == -2048, rs1_val == -131073 +// opcode: xori ; op1:x12; dest:x13; op1val:-0x20001; immval:-0x800 +TEST_IMM_OP( xori, x13, x12, 0x207ff, -0x20001, -0x800, x1, 0, x2) + +inst_1: +// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val < 0, rs1_val == (2**(xlen-1)-1), imm_val == -1366 +// opcode: xori ; op1:x10; dest:x10; op1val:0x7fffffff; immval:-0x556 +TEST_IMM_OP( xori, x10, x10, 0x80000555, 0x7fffffff, -0x556, x1, 4, x2) + +inst_2: +// rs1==x8, rd==x4, rs1_val == -1073741825, imm_val == -257 +// opcode: xori ; op1:x8; dest:x4; op1val:-0x40000001; immval:-0x101 +TEST_IMM_OP( xori, x4, x8, 0x40000100, -0x40000001, -0x101, x1, 8, x2) + +inst_3: +// rs1==x6, rd==x7, rs1_val == -536870913, rs1_val < 0 and imm_val > 0, imm_val == 2 +// opcode: xori ; op1:x6; dest:x7; op1val:-0x20000001; immval:0x2 +TEST_IMM_OP( xori, x7, x6, 0xdffffffd, -0x20000001, 0x2, x1, 12, x2) + +inst_4: +// rs1==x9, rd==x14, rs1_val == -268435457, +// opcode: xori ; op1:x9; dest:x14; op1val:-0x10000001; immval:0x6 +TEST_IMM_OP( xori, x14, x9, 0xeffffff9, -0x10000001, 0x6, x1, 16, x2) + +inst_5: +// rs1==x7, rd==x3, rs1_val == -134217729, +// opcode: xori ; op1:x7; dest:x3; op1val:-0x8000001; immval:-0x2c +TEST_IMM_OP( xori, x3, x7, 0x800002b, -0x8000001, -0x2c, x1, 20, x2) + +inst_6: +// rs1==x11, rd==x15, rs1_val == -67108865, imm_val == 16 +// opcode: xori ; op1:x11; dest:x15; op1val:-0x4000001; immval:0x10 +TEST_IMM_OP( xori, x15, x11, 0xfbffffef, -0x4000001, 0x10, x1, 24, x2) +RVTEST_SIGBASE( x6,signature_x6_0) + +inst_7: +// rs1==x13, rd==x1, rs1_val == -33554433, +// opcode: xori ; op1:x13; dest:x1; op1val:-0x2000001; immval:0x333 +TEST_IMM_OP( xori, x1, x13, 0xfdfffccc, -0x2000001, 0x333, x6, 0, x7) + +inst_8: +// rs1==x15, rd==x11, rs1_val == -16777217, +// opcode: xori ; op1:x15; dest:x11; op1val:-0x1000001; immval:0x5 +TEST_IMM_OP( xori, x11, x15, 0xfefffffa, -0x1000001, 0x5, x6, 4, x7) + +inst_9: +// rs1==x4, rd==x8, rs1_val == -8388609, imm_val == -65 +// opcode: xori ; op1:x4; dest:x8; op1val:-0x800001; immval:-0x41 +TEST_IMM_OP( xori, x8, x4, 0x800040, -0x800001, -0x41, x6, 8, x7) + +inst_10: +// rs1==x14, rd==x9, rs1_val == -4194305, +// opcode: xori ; op1:x14; dest:x9; op1val:-0x400001; immval:0x7 +TEST_IMM_OP( xori, x9, x14, 0xffbffff8, -0x400001, 0x7, x6, 12, x7) + +inst_11: +// rs1==x1, rd==x2, rs1_val == -2097153, +// opcode: xori ; op1:x1; dest:x2; op1val:-0x200001; immval:-0xa +TEST_IMM_OP( xori, x2, x1, 0x200009, -0x200001, -0xa, x6, 16, x7) + +inst_12: +// rs1==x3, rd==x5, rs1_val == -1048577, +// opcode: xori ; op1:x3; dest:x5; op1val:-0x100001; immval:0x665 +TEST_IMM_OP( xori, x5, x3, 0xffeff99a, -0x100001, 0x665, x6, 20, x7) + +inst_13: +// rs1==x5, rd==x12, rs1_val == -524289, +// opcode: xori ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x4 +TEST_IMM_OP( xori, x12, x5, 0x80003, -0x80001, -0x4, x6, 24, x4) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_14: +// rs1==x2, rd==x6, rs1_val == -262145, +// opcode: xori ; op1:x2; dest:x6; op1val:-0x40001; immval:0x2e +TEST_IMM_OP( xori, x6, x2, 0xfffbffd1, -0x40001, 0x2e, x3, 0, x4) + +inst_15: +// rs1==x0, rs1_val == -65537, imm_val == 512 +// opcode: xori ; op1:x0; dest:x1; op1val:0x0; immval:0x200 +TEST_IMM_OP( xori, x1, x0, 0x200, 0x0, 0x200, x3, 4, x4) + +inst_16: +// rd==x0, rs1_val == -32769, imm_val == 0 +// opcode: xori ; op1:x12; dest:x0; op1val:-0x8001; immval:0x0 +TEST_IMM_OP( xori, x0, x12, 0, -0x8001, 0x0, x3, 8, x4) + +inst_17: +// rs1_val == -16385, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x1 +TEST_IMM_OP( xori, x11, x10, 0x4000, -0x4001, -0x1, x3, 12, x4) + +inst_18: +// rs1_val == -8193, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x4 +TEST_IMM_OP( xori, x11, x10, 0x2003, -0x2001, -0x4, x3, 16, x4) + +inst_19: +// rs1_val == -4097, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffffefd1, -0x1001, 0x2e, x3, 20, x4) + +inst_20: +// rs1_val == -2049, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x801; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xfffff2ab, -0x801, 0x554, x3, 24, x4) + +inst_21: +// rs1_val == -1025, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xfffffbf9, -0x401, 0x6, x3, 28, x4) + +inst_22: +// rs1_val == -513, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 +TEST_IMM_OP( xori, x11, x10, 0x205, -0x201, -0x6, x3, 32, x4) + +inst_23: +// rs1_val == -257, rs1_val == imm_val +// opcode: xori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x101 +TEST_IMM_OP( xori, x11, x10, 0x0, -0x101, -0x101, x3, 36, x4) + +inst_24: +// rs1_val == -129, imm_val == -1025 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x401 +TEST_IMM_OP( xori, x11, x10, 0x480, -0x81, -0x401, x3, 40, x4) + +inst_25: +// rs1_val == -65, imm_val == 1 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x41; immval:0x1 +TEST_IMM_OP( xori, x11, x10, 0xffffffbe, -0x41, 0x1, x3, 44, x4) + +inst_26: +// rs1_val == -33, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x21; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffffffdc, -0x21, 0x3, x3, 48, x4) + +inst_27: +// rs1_val == -17, imm_val == -3 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x3 +TEST_IMM_OP( xori, x11, x10, 0x12, -0x11, -0x3, x3, 52, x4) + +inst_28: +// rs1_val == -9, imm_val == 1365 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x9; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa2, -0x9, 0x555, x3, 56, x4) + +inst_29: +// rs1_val == -5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x5; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, -0x5, 0x554, x3, 60, x4) + +inst_30: +// rs1_val == -3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x3; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaab, -0x3, 0x556, x3, 64, x4) + +inst_31: +// rs1_val == -2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd2, -0x2, 0x2c, x3, 68, x4) + +inst_32: +// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val > 0 and imm_val > 0 +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x7ff +TEST_IMM_OP( xori, x11, x10, 0x66666199, 0x66666666, 0x7ff, x3, 72, x4) + +inst_33: +// imm_val == -513, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x201 +TEST_IMM_OP( xori, x11, x10, 0x1200, -0x1001, -0x201, x3, 76, x4) + +inst_34: +// imm_val == -129, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x20001; immval:-0x81 +TEST_IMM_OP( xori, x11, x10, 0x20080, -0x20001, -0x81, x3, 80, x4) + +inst_35: +// imm_val == -33, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x21 +TEST_IMM_OP( xori, x11, x10, 0x100020, -0x100001, -0x21, x3, 84, x4) + +inst_36: +// imm_val == -17, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x11 +TEST_IMM_OP( xori, x11, x10, 0x11, -0x2, -0x11, x3, 88, x4) + +inst_37: +// imm_val == -9, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x9 +TEST_IMM_OP( xori, x11, x10, 0x100008, -0x100001, -0x9, x3, 92, x4) + +inst_38: +// imm_val == -5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x5 +TEST_IMM_OP( xori, x11, x10, 0x2004, -0x2001, -0x5, x3, 96, x4) + +inst_39: +// imm_val == -2, rs1_val == 262144 +// opcode: xori ; op1:x10; dest:x11; op1val:0x40000; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xfffbfffe, 0x40000, -0x2, x3, 100, x4) + +inst_40: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: xori ; op1:x10; dest:x11; op1val:-0x80000000; immval:-0x8 +TEST_IMM_OP( xori, x11, x10, 0x7ffffff8, -0x80000000, -0x8, x3, 104, x4) + +inst_41: +// rs1_val == 1073741824, imm_val == 64 +// opcode: xori ; op1:x10; dest:x11; op1val:0x40000000; immval:0x40 +TEST_IMM_OP( xori, x11, x10, 0x40000040, 0x40000000, 0x40, x3, 108, x4) + +inst_42: +// rs1_val == 536870912, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x1 +TEST_IMM_OP( xori, x11, x10, 0x20000001, 0x20000000, 0x1, x3, 112, x4) + +inst_43: +// rs1_val == 268435456, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10000000; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x10000006, 0x10000000, 0x6, x3, 116, x4) + +inst_44: +// rs1_val == 134217728, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8000000; immval:0x3ff +TEST_IMM_OP( xori, x11, x10, 0x80003ff, 0x8000000, 0x3ff, x3, 120, x4) + +inst_45: +// rs1_val == 67108864, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x4000005, 0x4000000, 0x5, x3, 124, x4) + +inst_46: +// rs1_val == 33554432, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xfdffffd3, 0x2000000, -0x2d, x3, 128, x4) + +inst_47: +// rs1_val == 16777216, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1000000; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x1000003, 0x1000000, 0x3, x3, 132, x4) + +inst_48: +// rs1_val == 8388608, +// opcode: xori ; op1:x10; dest:x11; op1val:0x800000; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x80002e, 0x800000, 0x2e, x3, 136, x4) + +inst_49: +// rs1_val == 4194304, +// opcode: xori ; op1:x10; dest:x11; op1val:0x400000; immval:-0x3 +TEST_IMM_OP( xori, x11, x10, 0xffbffffd, 0x400000, -0x3, x3, 140, x4) + +inst_50: +// rs1_val == 2097152, +// opcode: xori ; op1:x10; dest:x11; op1val:0x200000; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffdfffd4, 0x200000, -0x2c, x3, 144, x4) + +inst_51: +// rs1_val == 1048576, +// opcode: xori ; op1:x10; dest:x11; op1val:0x100000; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x100554, 0x100000, 0x554, x3, 148, x4) + +inst_52: +// rs1_val == 524288, +// opcode: xori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x201 +TEST_IMM_OP( xori, x11, x10, 0xfff7fdff, 0x80000, -0x201, x3, 152, x4) + +inst_53: +// rs1_val == 131072, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xfffdfffe, 0x20000, -0x2, x3, 156, x4) + +inst_54: +// rs1_val == 65536, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x10003, 0x10000, 0x3, x3, 160, x4) + +inst_55: +// rs1_val == 32768, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8000; immval:0x7ff +TEST_IMM_OP( xori, x11, x10, 0x87ff, 0x8000, 0x7ff, x3, 164, x4) + +inst_56: +// rs1_val == 16384, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x41 +TEST_IMM_OP( xori, x11, x10, 0xffffbfbf, 0x4000, -0x41, x3, 168, x4) + +inst_57: +// rs1_val == 8192, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7 +TEST_IMM_OP( xori, x11, x10, 0x2007, 0x2000, 0x7, x3, 172, x4) + +inst_58: +// rs1_val == 4096, imm_val == 4 +// opcode: xori ; op1:x10; dest:x11; op1val:0x1000; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x1004, 0x1000, 0x4, x3, 176, x4) + +inst_59: +// rs1_val == 2048, imm_val == 1024 +// opcode: xori ; op1:x10; dest:x11; op1val:0x800; immval:0x400 +TEST_IMM_OP( xori, x11, x10, 0xc00, 0x800, 0x400, x3, 180, x4) + +inst_60: +// rs1_val == 1024, +// opcode: xori ; op1:x10; dest:x11; op1val:0x400; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x267, 0x400, 0x667, x3, 184, x4) + +inst_61: +// rs1_val == 512, +// opcode: xori ; op1:x10; dest:x11; op1val:0x200; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x204, 0x200, 0x4, x3, 188, x4) + +inst_62: +// rs1_val == 256, +// opcode: xori ; op1:x10; dest:x11; op1val:0x100; immval:-0x8 +TEST_IMM_OP( xori, x11, x10, 0xfffffef8, 0x100, -0x8, x3, 192, x4) + +inst_63: +// rs1_val == 128, +// opcode: xori ; op1:x10; dest:x11; op1val:0x80; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xffffff7e, 0x80, -0x2, x3, 196, x4) + +inst_64: +// rs1_val == 64, +// opcode: xori ; op1:x10; dest:x11; op1val:0x40; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x40, 0x40, 0x0, x3, 200, x4) + +inst_65: +// rs1_val == 32, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x20, 0x20, 0x0, x3, 204, x4) + +inst_66: +// rs1_val == 16, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x15, 0x10, 0x5, x3, 208, x4) + +inst_67: +// rs1_val == 8, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb, 0x8, 0x3, x3, 212, x4) + +inst_68: +// rs1_val == 4, rs1_val==4 and imm_val==-45 +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 216, x4) + +inst_69: +// rs1_val == 2, rs1_val==2 and imm_val==1364 +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x2, 0x554, x3, 220, x4) + +inst_70: +// rs1_val == 1, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:-0x11 +TEST_IMM_OP( xori, x11, x10, 0xffffffee, 0x1, -0x11, x3, 224, x4) + +inst_71: +// imm_val == 256, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3fffffff; immval:0x100 +TEST_IMM_OP( xori, x11, x10, 0x3ffffeff, 0x3fffffff, 0x100, x3, 228, x4) + +inst_72: +// imm_val == 128, rs1_val == -1431655766 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x80 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa2a, -0x55555556, 0x80, x3, 232, x4) + +inst_73: +// imm_val == 32, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:0x20 +TEST_IMM_OP( xori, x11, x10, 0x21, 0x1, 0x20, x3, 236, x4) + +inst_74: +// imm_val == 8, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x7; immval:0x8 +TEST_IMM_OP( xori, x11, x10, 0xfffffff1, -0x7, 0x8, x3, 240, x4) + +inst_75: +// rs1_val==46341 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52b, 0xb505, 0x2e, x3, 244, x4) + +inst_76: +// rs1_val==46341 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, 0xb505, -0x2c, x3, 248, x4) + +inst_77: +// rs1_val==46341 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb505, 0x667, x3, 252, x4) + +inst_78: +// rs1_val==46341 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb505, 0x334, x3, 256, x4) + +inst_79: +// rs1_val==46341 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb505, 0x6, x3, 260, x4) + +inst_80: +// rs1_val==46341 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb505, -0x555, x3, 264, x4) + +inst_81: +// rs1_val==46341 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb053, 0xb505, 0x556, x3, 268, x4) + +inst_82: +// rs1_val==46341 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb505, 0x4, x3, 272, x4) + +inst_83: +// rs1_val==46341 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb505, 0x2c, x3, 276, x4) + +inst_84: +// rs1_val==46341 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb505, 0x0, x3, 280, x4) + +inst_85: +// rs1_val==46341 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb360, 0xb505, 0x665, x3, 284, x4) + +inst_86: +// rs1_val==46341 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb505, 0x332, x3, 288, x4) + +inst_87: +// rs1_val==46341 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb505, 0x554, x3, 292, x4) + +inst_88: +// rs1_val==46341 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb505, 0x2, x3, 296, x4) + +inst_89: +// rs1_val==46341 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb505, 0x2d, x3, 300, x4) + +inst_90: +// rs1_val==46341 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad6, 0xb505, -0x2d, x3, 304, x4) + +inst_91: +// rs1_val==46341 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb505, 0x666, x3, 308, x4) + +inst_92: +// rs1_val==46341 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb505, 0x333, x3, 312, x4) + +inst_93: +// rs1_val==46341 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb505, 0x5, x3, 316, x4) + +inst_94: +// rs1_val==46341 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb505, -0x556, x3, 320, x4) + +inst_95: +// rs1_val==46341 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb505, 0x555, x3, 324, x4) + +inst_96: +// rs1_val==46341 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb505, 0x3, x3, 328, x4) + +inst_97: +// rs1_val==-46339 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffff4ad3, -0xb503, 0x2e, x3, 332, x4) + +inst_98: +// rs1_val==-46339 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xb529, -0xb503, -0x2c, x3, 336, x4) + +inst_99: +// rs1_val==-46339 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb503, 0x667, x3, 340, x4) + +inst_100: +// rs1_val==-46339 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xffff49c9, -0xb503, 0x334, x3, 344, x4) + +inst_101: +// rs1_val==-46339 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xffff4afb, -0xb503, 0x6, x3, 348, x4) + +inst_102: +// rs1_val==-46339 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb503, -0x555, x3, 352, x4) + +inst_103: +// rs1_val==-46339 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fab, -0xb503, 0x556, x3, 356, x4) + +inst_104: +// rs1_val==-46339 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb503, 0x4, x3, 360, x4) + +inst_105: +// rs1_val==-46339 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb503, 0x2c, x3, 364, x4) + +inst_106: +// rs1_val==-46339 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 368, x4) + +inst_107: +// rs1_val==-46339 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xffff4c98, -0xb503, 0x665, x3, 372, x4) + +inst_108: +// rs1_val==-46339 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb503, 0x332, x3, 376, x4) + +inst_109: +// rs1_val==-46339 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb503, 0x554, x3, 380, x4) + +inst_110: +// rs1_val==-46339 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 384, x4) + +inst_111: +// rs1_val==-46339 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb503, 0x2d, x3, 388, x4) + +inst_112: +// rs1_val==-46339 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52e, -0xb503, -0x2d, x3, 392, x4) + +inst_113: +// rs1_val==-46339 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb503, 0x666, x3, 396, x4) + +inst_114: +// rs1_val==-46339 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb503, 0x333, x3, 400, x4) + +inst_115: +// rs1_val==-46339 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb503, 0x5, x3, 404, x4) + +inst_116: +// rs1_val==-46339 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb503, -0x556, x3, 408, x4) + +inst_117: +// rs1_val==-46339 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb503, 0x555, x3, 412, x4) + +inst_118: +// rs1_val==-46339 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb503, 0x3, x3, 416, x4) + +inst_119: +// rs1_val==1717986919 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666667, 0x2e, x3, 420, x4) + +inst_120: +// rs1_val==1717986919 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b3, 0x66666667, -0x2c, x3, 424, x4) + +inst_121: +// rs1_val==1717986919 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666667, 0x667, x3, 428, x4) + +inst_122: +// rs1_val==1717986919 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666553, 0x66666667, 0x334, x3, 432, x4) + +inst_123: +// rs1_val==1717986919 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666667, 0x6, x3, 436, x4) + +inst_124: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666667, -0x555, x3, 440, x4) + +inst_125: +// rs1_val==1717986919 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666667, 0x556, x3, 444, x4) + +inst_126: +// rs1_val==1717986919 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666667, 0x4, x3, 448, x4) + +inst_127: +// rs1_val==1717986919 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666667, 0x2c, x3, 452, x4) + +inst_128: +// rs1_val==1717986919 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 456, x4) + +inst_129: +// rs1_val==1717986919 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666667, 0x665, x3, 460, x4) + +inst_130: +// rs1_val==1717986919 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666667, 0x332, x3, 464, x4) + +inst_131: +// rs1_val==1717986919 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666667, 0x554, x3, 468, x4) + +inst_132: +// rs1_val==1717986919 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666667, 0x2, x3, 472, x4) + +inst_133: +// rs1_val==1717986919 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666667, 0x2d, x3, 476, x4) + +inst_134: +// rs1_val==1717986919 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b4, 0x66666667, -0x2d, x3, 480, x4) + +inst_135: +// rs1_val==1717986919 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666667, 0x666, x3, 484, x4) + +inst_136: +// rs1_val==1717986919 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666667, 0x333, x3, 488, x4) + +inst_137: +// rs1_val==1717986919 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666667, 0x5, x3, 492, x4) + +inst_138: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666667, -0x556, x3, 496, x4) + +inst_139: +// rs1_val==1717986919 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666667, 0x555, x3, 500, x4) + +inst_140: +// rs1_val==1717986919 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666667, 0x3, x3, 504, x4) + +inst_141: +// rs1_val==858993460 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331a, 0x33333334, 0x2e, x3, 508, x4) + +inst_142: +// rs1_val==858993460 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333334, -0x2c, x3, 512, x4) + +inst_143: +// rs1_val==858993460 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333553, 0x33333334, 0x667, x3, 516, x4) + +inst_144: +// rs1_val==858993460 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333334, 0x334, x3, 520, x4) + +inst_145: +// rs1_val==858993460 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333334, 0x6, x3, 524, x4) + +inst_146: +// rs1_val==858993460 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc99f, 0x33333334, -0x555, x3, 528, x4) + +inst_147: +// rs1_val==858993460 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333662, 0x33333334, 0x556, x3, 532, x4) + +inst_148: +// rs1_val==858993460 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333334, 0x4, x3, 536, x4) + +inst_149: +// rs1_val==858993460 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x33333318, 0x33333334, 0x2c, x3, 540, x4) + +inst_150: +// rs1_val==858993460 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 544, x4) + +inst_151: +// rs1_val==858993460 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333551, 0x33333334, 0x665, x3, 548, x4) + +inst_152: +// rs1_val==858993460 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333334, 0x332, x3, 552, x4) + +inst_153: +// rs1_val==858993460 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333660, 0x33333334, 0x554, x3, 556, x4) + +inst_154: +// rs1_val==858993460 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 560, x4) + +inst_155: +// rs1_val==858993460 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x33333319, 0x33333334, 0x2d, x3, 564, x4) + +inst_156: +// rs1_val==858993460 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333334, -0x2d, x3, 568, x4) + +inst_157: +// rs1_val==858993460 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333552, 0x33333334, 0x666, x3, 572, x4) + +inst_158: +// rs1_val==858993460 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333334, 0x333, x3, 576, x4) + +inst_159: +// rs1_val==858993460 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333334, 0x5, x3, 580, x4) + +inst_160: +// rs1_val==858993460 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc99e, 0x33333334, -0x556, x3, 584, x4) + +inst_161: +// rs1_val==858993460 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333661, 0x33333334, 0x555, x3, 588, x4) + +inst_162: +// rs1_val==858993460 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 592, x4) + +inst_163: +// rs1_val==6 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x28, 0x6, 0x2e, x3, 596, x4) + +inst_164: +// rs1_val==6 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd2, 0x6, -0x2c, x3, 600, x4) + +inst_165: +// rs1_val==6 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x661, 0x6, 0x667, x3, 604, x4) + +inst_166: +// rs1_val==6 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x332, 0x6, 0x334, x3, 608, x4) + +inst_167: +// rs1_val==6 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x6, 0x6, x3, 612, x4) + +inst_168: +// rs1_val==6 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaad, 0x6, -0x555, x3, 616, x4) + +inst_169: +// rs1_val==6 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x6, 0x556, x3, 620, x4) + +inst_170: +// rs1_val==6 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x6, 0x4, x3, 624, x4) + +inst_171: +// rs1_val==6 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2a, 0x6, 0x2c, x3, 628, x4) + +inst_172: +// rs1_val==6 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x6, 0x0, x3, 632, x4) + +inst_173: +// rs1_val==6 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x6, 0x665, x3, 636, x4) + +inst_174: +// rs1_val==6 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x334, 0x6, 0x332, x3, 640, x4) + +inst_175: +// rs1_val==6 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x552, 0x6, 0x554, x3, 644, x4) + +inst_176: +// rs1_val==6 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x6, 0x2, x3, 648, x4) + +inst_177: +// rs1_val==6 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2b, 0x6, 0x2d, x3, 652, x4) + +inst_178: +// rs1_val==6 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd5, 0x6, -0x2d, x3, 656, x4) + +inst_179: +// rs1_val==6 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x660, 0x6, 0x666, x3, 660, x4) + +inst_180: +// rs1_val==6 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x335, 0x6, 0x333, x3, 664, x4) + +inst_181: +// rs1_val==6 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x6, 0x5, x3, 668, x4) + +inst_182: +// rs1_val==6 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaac, 0x6, -0x556, x3, 672, x4) + +inst_183: +// rs1_val==6 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x553, 0x6, 0x555, x3, 676, x4) + +inst_184: +// rs1_val==6 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x6, 0x3, x3, 680, x4) + +inst_185: +// rs1_val==-1431655765 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, -0x55555555, 0x2e, x3, 684, x4) + +inst_186: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557f, -0x55555555, -0x2c, x3, 688, x4) + +inst_187: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555555, 0x667, x3, 692, x4) + +inst_188: +// rs1_val==-1431655765 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa99f, -0x55555555, 0x334, x3, 696, x4) + +inst_189: +// rs1_val==-1431655765 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaad, -0x55555555, 0x6, x3, 700, x4) + +inst_190: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555555, -0x555, x3, 704, x4) + +inst_191: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, -0x55555555, 0x556, x3, 708, x4) + +inst_192: +// rs1_val==-1431655765 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 712, x4) + +inst_193: +// rs1_val==-1431655765 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555555, 0x2c, x3, 716, x4) + +inst_194: +// rs1_val==-1431655765 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 720, x4) + +inst_195: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xaaaaacce, -0x55555555, 0x665, x3, 724, x4) + +inst_196: +// rs1_val==-1431655765 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555555, 0x332, x3, 728, x4) + +inst_197: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 732, x4) + +inst_198: +// rs1_val==-1431655765 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555555, 0x2, x3, 736, x4) + +inst_199: +// rs1_val==-1431655765 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555555, 0x2d, x3, 740, x4) + +inst_200: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555578, -0x55555555, -0x2d, x3, 744, x4) + +inst_201: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555555, 0x666, x3, 748, x4) + +inst_202: +// rs1_val==-1431655765 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555555, 0x333, x3, 752, x4) + +inst_203: +// rs1_val==-1431655765 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555555, 0x5, x3, 756, x4) + +inst_204: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555555, -0x556, x3, 760, x4) + +inst_205: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555555, 0x555, x3, 764, x4) + +inst_206: +// rs1_val==-1431655765 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555555, 0x3, x3, 768, x4) + +inst_207: +// rs1_val==1431655766 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555556, 0x2e, x3, 772, x4) + +inst_208: +// rs1_val==1431655766 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa82, 0x55555556, -0x2c, x3, 776, x4) + +inst_209: +// rs1_val==1431655766 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555556, 0x667, x3, 780, x4) + +inst_210: +// rs1_val==1431655766 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555662, 0x55555556, 0x334, x3, 784, x4) + +inst_211: +// rs1_val==1431655766 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555556, 0x6, x3, 788, x4) + +inst_212: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, 0x55555556, -0x555, x3, 792, x4) + +inst_213: +// rs1_val==1431655766 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555556, 0x556, x3, 796, x4) + +inst_214: +// rs1_val==1431655766 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555556, 0x4, x3, 800, x4) + +inst_215: +// rs1_val==1431655766 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555556, 0x2c, x3, 804, x4) + +inst_216: +// rs1_val==1431655766 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 808, x4) + +inst_217: +// rs1_val==1431655766 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555556, 0x665, x3, 812, x4) + +inst_218: +// rs1_val==1431655766 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555664, 0x55555556, 0x332, x3, 816, x4) + +inst_219: +// rs1_val==1431655766 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555556, 0x554, x3, 820, x4) + +inst_220: +// rs1_val==1431655766 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555556, 0x2, x3, 824, x4) + +inst_221: +// rs1_val==1431655766 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555556, 0x2d, x3, 828, x4) + +inst_222: +// rs1_val==1431655766 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, 0x55555556, -0x2d, x3, 832, x4) + +inst_223: +// rs1_val==1431655766 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555556, 0x666, x3, 836, x4) + +inst_224: +// rs1_val==1431655766 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555665, 0x55555556, 0x333, x3, 840, x4) + +inst_225: +// rs1_val==1431655766 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555556, 0x5, x3, 844, x4) + +inst_226: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, 0x55555556, -0x556, x3, 848, x4) + +inst_227: +// rs1_val==1431655766 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555556, 0x555, x3, 852, x4) + +inst_228: +// rs1_val==1431655766 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555556, 0x3, x3, 856, x4) + +inst_229: +// rs1_val==4 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2a, 0x4, 0x2e, x3, 860, x4) + +inst_230: +// rs1_val==4 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x4, -0x2c, x3, 864, x4) + +inst_231: +// rs1_val==4 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x4, 0x667, x3, 868, x4) + +inst_232: +// rs1_val==4 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x4, 0x334, x3, 872, x4) + +inst_233: +// rs1_val==4 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x4, 0x6, x3, 876, x4) + +inst_234: +// rs1_val==4 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 880, x4) + +inst_235: +// rs1_val==4 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x552, 0x4, 0x556, x3, 884, x4) + +inst_236: +// rs1_val==4 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x4, 0x4, x3, 888, x4) + +inst_237: +// rs1_val==4 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x28, 0x4, 0x2c, x3, 892, x4) + +inst_238: +// rs1_val==4 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x4, 0x0, x3, 896, x4) + +inst_239: +// rs1_val==4 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x661, 0x4, 0x665, x3, 900, x4) + +inst_240: +// rs1_val==4 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x4, 0x332, x3, 904, x4) + +inst_241: +// rs1_val==4 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x4, 0x554, x3, 908, x4) + +inst_242: +// rs1_val==4 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x4, 0x2, x3, 912, x4) + +inst_243: +// rs1_val==4 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x29, 0x4, 0x2d, x3, 916, x4) + +inst_244: +// rs1_val==4 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x662, 0x4, 0x666, x3, 920, x4) + +inst_245: +// rs1_val==4 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x4, 0x333, x3, 924, x4) + +inst_246: +// rs1_val==4 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x4, 0x5, x3, 928, x4) + +inst_247: +// rs1_val==4 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 932, x4) + +inst_248: +// rs1_val==4 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x551, 0x4, 0x555, x3, 936, x4) + +inst_249: +// rs1_val==4 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x4, 0x3, x3, 940, x4) + +inst_250: +// rs1_val==46339 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52d, 0xb503, 0x2e, x3, 944, x4) + +inst_251: +// rs1_val==46339 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb503, -0x2c, x3, 948, x4) + +inst_252: +// rs1_val==46339 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb364, 0xb503, 0x667, x3, 952, x4) + +inst_253: +// rs1_val==46339 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb503, 0x334, x3, 956, x4) + +inst_254: +// rs1_val==46339 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb503, 0x6, x3, 960, x4) + +inst_255: +// rs1_val==46339 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, 0xb503, -0x555, x3, 964, x4) + +inst_256: +// rs1_val==46339 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb055, 0xb503, 0x556, x3, 968, x4) + +inst_257: +// rs1_val==46339 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb503, 0x4, x3, 972, x4) + +inst_258: +// rs1_val==46339 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 976, x4) + +inst_259: +// rs1_val==46339 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb503, 0x0, x3, 980, x4) + +inst_260: +// rs1_val==46339 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb366, 0xb503, 0x665, x3, 984, x4) + +inst_261: +// rs1_val==46339 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb503, 0x332, x3, 988, x4) + +inst_262: +// rs1_val==46339 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb057, 0xb503, 0x554, x3, 992, x4) + +inst_263: +// rs1_val==46339 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb503, 0x2, x3, 996, x4) + +inst_264: +// rs1_val==46339 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52e, 0xb503, 0x2d, x3, 1000, x4) + +inst_265: +// rs1_val==46339 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb503, -0x2d, x3, 1004, x4) + +inst_266: +// rs1_val==46339 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb365, 0xb503, 0x666, x3, 1008, x4) + +inst_267: +// rs1_val==46339 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb503, 0x333, x3, 1012, x4) + +inst_268: +// rs1_val==46339 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb503, 0x5, x3, 1016, x4) + +inst_269: +// rs1_val==46339 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, 0xb503, -0x556, x3, 1020, x4) + +inst_270: +// rs1_val==46339 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb056, 0xb503, 0x555, x3, 1024, x4) + +inst_271: +// rs1_val==46339 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb503, 0x3, x3, 1028, x4) + +inst_272: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1032, x4) + +inst_273: +// rs1_val==0 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1036, x4) + +inst_274: +// rs1_val==0 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x667, 0x0, 0x667, x3, 1040, x4) + +inst_275: +// rs1_val==0 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x334, 0x0, 0x334, x3, 1044, x4) + +inst_276: +// rs1_val==0 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x0, 0x6, x3, 1048, x4) + +inst_277: +// rs1_val==0 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1052, x4) + +inst_278: +// rs1_val==0 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x0, 0x556, x3, 1056, x4) + +inst_279: +// rs1_val==0 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x0, 0x4, x3, 1060, x4) + +inst_280: +// rs1_val==0 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1064, x4) + +inst_281: +// rs1_val==0 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x0, 0x0, x3, 1068, x4) + +inst_282: +// rs1_val==0 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x0, 0x665, x3, 1072, x4) + +inst_283: +// rs1_val==0 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x332, 0x0, 0x332, x3, 1076, x4) + +inst_284: +// rs1_val==0 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x554, 0x0, 0x554, x3, 1080, x4) + +inst_285: +// rs1_val==0 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x0, 0x2, x3, 1084, x4) + +inst_286: +// rs1_val==0 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1088, x4) + +inst_287: +// rs1_val==0 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1092, x4) + +inst_288: +// rs1_val==0 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x666, 0x0, 0x666, x3, 1096, x4) + +inst_289: +// rs1_val==0 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x333, 0x0, 0x333, x3, 1100, x4) + +inst_290: +// rs1_val==0 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x0, 0x5, x3, 1104, x4) + +inst_291: +// rs1_val==0 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1108, x4) + +inst_292: +// rs1_val==0 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x555, 0x0, 0x555, x3, 1112, x4) + +inst_293: +// rs1_val==0 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x0, 0x3, x3, 1116, x4) + +inst_294: +// rs1_val==1717986917 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666665, 0x2e, x3, 1120, x4) + +inst_295: +// rs1_val==1717986917 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b1, 0x66666665, -0x2c, x3, 1124, x4) + +inst_296: +// rs1_val==1717986917 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666665, 0x667, x3, 1128, x4) + +inst_297: +// rs1_val==1717986917 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666551, 0x66666665, 0x334, x3, 1132, x4) + +inst_298: +// rs1_val==1717986917 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666665, 0x6, x3, 1136, x4) + +inst_299: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999cce, 0x66666665, -0x555, x3, 1140, x4) + +inst_300: +// rs1_val==1717986917 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666665, 0x556, x3, 1144, x4) + +inst_301: +// rs1_val==1717986917 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666665, 0x4, x3, 1148, x4) + +inst_302: +// rs1_val==1717986917 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666665, 0x2c, x3, 1152, x4) + +inst_303: +// rs1_val==-1431655766 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555556, -0x555, x3, 1156, x4) + +inst_304: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, -0x55555556, 0x556, x3, 1160, x4) + +inst_305: +// rs1_val==-1431655766 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1164, x4) + +inst_306: +// rs1_val==-1431655766 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555556, 0x2c, x3, 1168, x4) + +inst_307: +// rs1_val==-1431655766 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1172, x4) + +inst_308: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccf, -0x55555556, 0x665, x3, 1176, x4) + +inst_309: +// rs1_val==-1431655766 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555556, 0x332, x3, 1180, x4) + +inst_310: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1184, x4) + +inst_311: +// rs1_val==-1431655766 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555556, 0x2, x3, 1188, x4) + +inst_312: +// rs1_val==-1431655766 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555556, 0x2d, x3, 1192, x4) + +inst_313: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555579, -0x55555556, -0x2d, x3, 1196, x4) + +inst_314: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555556, 0x666, x3, 1200, x4) + +inst_315: +// rs1_val==-1431655766 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555556, 0x333, x3, 1204, x4) + +inst_316: +// rs1_val==-1431655766 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1208, x4) + +inst_317: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555556, -0x556, x3, 1212, x4) + +inst_318: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1216, x4) + +inst_319: +// rs1_val==-1431655766 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555556, 0x3, x3, 1220, x4) + +inst_320: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555555, 0x2e, x3, 1224, x4) + +inst_321: +// rs1_val==1431655765 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa81, 0x55555555, -0x2c, x3, 1228, x4) + +inst_322: +// rs1_val==1431655765 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555555, 0x667, x3, 1232, x4) + +inst_323: +// rs1_val==1431655765 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555661, 0x55555555, 0x334, x3, 1236, x4) + +inst_324: +// rs1_val==1431655765 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555555, 0x6, x3, 1240, x4) + +inst_325: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555555, -0x555, x3, 1244, x4) + +inst_326: +// rs1_val==1431655765 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555555, 0x556, x3, 1248, x4) + +inst_327: +// rs1_val==1431655765 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555555, 0x4, x3, 1252, x4) + +inst_328: +// rs1_val==1431655765 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555555, 0x2c, x3, 1256, x4) + +inst_329: +// rs1_val==1431655765 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1260, x4) + +inst_330: +// rs1_val==1431655765 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555555, 0x665, x3, 1264, x4) + +inst_331: +// rs1_val==1431655765 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555555, 0x332, x3, 1268, x4) + +inst_332: +// rs1_val==1431655765 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555555, 0x554, x3, 1272, x4) + +inst_333: +// rs1_val==1431655765 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1276, x4) + +inst_334: +// rs1_val==1431655765 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555555, 0x2d, x3, 1280, x4) + +inst_335: +// rs1_val==1431655765 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, 0x55555555, -0x2d, x3, 1284, x4) + +inst_336: +// rs1_val==1431655765 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555555, 0x666, x3, 1288, x4) + +inst_337: +// rs1_val==1431655765 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555555, 0x333, x3, 1292, x4) + +inst_338: +// rs1_val==1431655765 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555555, 0x5, x3, 1296, x4) + +inst_339: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555555, -0x556, x3, 1300, x4) + +inst_340: +// rs1_val==1431655765 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555555, 0x555, x3, 1304, x4) + +inst_341: +// rs1_val==1431655765 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555555, 0x3, x3, 1308, x4) + +inst_342: +// rs1_val==3 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2d, 0x3, 0x2e, x3, 1312, x4) + +inst_343: +// rs1_val==3 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1316, x4) + +inst_344: +// rs1_val==3 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x664, 0x3, 0x667, x3, 1320, x4) + +inst_345: +// rs1_val==3 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x3, 0x334, x3, 1324, x4) + +inst_346: +// rs1_val==3 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x3, 0x6, x3, 1328, x4) + +inst_347: +// rs1_val==3 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x3, -0x555, x3, 1332, x4) + +inst_348: +// rs1_val==3 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x555, 0x3, 0x556, x3, 1336, x4) + +inst_349: +// rs1_val==3 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x3, 0x4, x3, 1340, x4) + +inst_350: +// rs1_val==3 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1344, x4) + +inst_351: +// rs1_val==3 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x3, 0x0, x3, 1348, x4) + +inst_352: +// rs1_val==3 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x666, 0x3, 0x665, x3, 1352, x4) + +inst_353: +// rs1_val==3 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x3, 0x332, x3, 1356, x4) + +inst_354: +// rs1_val==3 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x557, 0x3, 0x554, x3, 1360, x4) + +inst_355: +// rs1_val==3 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x3, 0x2, x3, 1364, x4) + +inst_356: +// rs1_val==3 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x3, 0x2d, x3, 1368, x4) + +inst_357: +// rs1_val==3 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x3, -0x2d, x3, 1372, x4) + +inst_358: +// rs1_val==3 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x3, 0x666, x3, 1376, x4) + +inst_359: +// rs1_val==3 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x3, 0x333, x3, 1380, x4) + +inst_360: +// rs1_val==3 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x3, 0x5, x3, 1384, x4) + +inst_361: +// rs1_val==3 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x3, -0x556, x3, 1388, x4) + +inst_362: +// rs1_val==3 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x3, 0x555, x3, 1392, x4) + +inst_363: +// rs1_val==3 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x3, 0x3, x3, 1396, x4) + +inst_364: +// rs1_val==1717986917 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1400, x4) + +inst_365: +// rs1_val==1717986917 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666665, 0x665, x3, 1404, x4) + +inst_366: +// rs1_val==1717986917 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666557, 0x66666665, 0x332, x3, 1408, x4) + +inst_367: +// rs1_val==1717986917 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666665, 0x554, x3, 1412, x4) + +inst_368: +// rs1_val==1717986917 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1416, x4) + +inst_369: +// rs1_val==1717986917 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666665, 0x2d, x3, 1420, x4) + +inst_370: +// rs1_val==1717986917 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b6, 0x66666665, -0x2d, x3, 1424, x4) + +inst_371: +// rs1_val==1717986917 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666665, 0x666, x3, 1428, x4) + +inst_372: +// rs1_val==1717986917 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666556, 0x66666665, 0x333, x3, 1432, x4) + +inst_373: +// rs1_val==1717986917 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666665, 0x5, x3, 1436, x4) + +inst_374: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccf, 0x66666665, -0x556, x3, 1440, x4) + +inst_375: +// rs1_val==1717986917 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666665, 0x555, x3, 1444, x4) + +inst_376: +// rs1_val==1717986917 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666665, 0x3, x3, 1448, x4) + +inst_377: +// rs1_val==858993458 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331c, 0x33333332, 0x2e, x3, 1452, x4) + +inst_378: +// rs1_val==858993458 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce6, 0x33333332, -0x2c, x3, 1456, x4) + +inst_379: +// rs1_val==858993458 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333332, 0x667, x3, 1460, x4) + +inst_380: +// rs1_val==858993458 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333332, 0x334, x3, 1464, x4) + +inst_381: +// rs1_val==858993458 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333332, 0x6, x3, 1468, x4) + +inst_382: +// rs1_val==858993458 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333332, -0x555, x3, 1472, x4) + +inst_383: +// rs1_val==858993458 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333664, 0x33333332, 0x556, x3, 1476, x4) + +inst_384: +// rs1_val==858993458 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1480, x4) + +inst_385: +// rs1_val==858993458 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333332, 0x2c, x3, 1484, x4) + +inst_386: +// rs1_val==858993458 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1488, x4) + +inst_387: +// rs1_val==858993458 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333557, 0x33333332, 0x665, x3, 1492, x4) + +inst_388: +// rs1_val==858993458 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333332, 0x332, x3, 1496, x4) + +inst_389: +// rs1_val==858993458 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333332, 0x554, x3, 1500, x4) + +inst_390: +// rs1_val==858993458 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333332, 0x2, x3, 1504, x4) + +inst_391: +// rs1_val==858993458 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333332, 0x2d, x3, 1508, x4) + +inst_392: +// rs1_val==858993458 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce1, 0x33333332, -0x2d, x3, 1512, x4) + +inst_393: +// rs1_val==858993458 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333332, 0x666, x3, 1516, x4) + +inst_394: +// rs1_val==858993458 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333332, 0x333, x3, 1520, x4) + +inst_395: +// rs1_val==858993458 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1524, x4) + +inst_396: +// rs1_val==858993458 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333332, -0x556, x3, 1528, x4) + +inst_397: +// rs1_val==858993458 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333332, 0x555, x3, 1532, x4) + +inst_398: +// rs1_val==858993458 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333332, 0x3, x3, 1536, x4) + +inst_399: +// rs1_val==1431655764 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555554, 0x2e, x3, 1540, x4) + +inst_400: +// rs1_val==1431655764 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa80, 0x55555554, -0x2c, x3, 1544, x4) + +inst_401: +// rs1_val==1431655764 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555554, 0x667, x3, 1548, x4) + +inst_402: +// rs1_val==1431655764 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555660, 0x55555554, 0x334, x3, 1552, x4) + +inst_403: +// rs1_val==1431655764 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555554, 0x6, x3, 1556, x4) + +inst_404: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555554, -0x555, x3, 1560, x4) + +inst_405: +// rs1_val==1431655764 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555554, 0x556, x3, 1564, x4) + +inst_406: +// rs1_val==1431655764 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555554, 0x4, x3, 1568, x4) + +inst_407: +// rs1_val==1431655764 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555554, 0x2c, x3, 1572, x4) + +inst_408: +// rs1_val==1431655764 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1576, x4) + +inst_409: +// rs1_val==1431655764 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555554, 0x665, x3, 1580, x4) + +inst_410: +// rs1_val==1431655764 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555554, 0x332, x3, 1584, x4) + +inst_411: +// rs1_val==1431655764 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555554, 0x554, x3, 1588, x4) + +inst_412: +// rs1_val==1431655764 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1592, x4) + +inst_413: +// rs1_val==1431655764 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555554, 0x2d, x3, 1596, x4) + +inst_414: +// rs1_val==1431655764 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, 0x55555554, -0x2d, x3, 1600, x4) + +inst_415: +// rs1_val==1431655764 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555554, 0x666, x3, 1604, x4) + +inst_416: +// rs1_val==1431655764 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555554, 0x333, x3, 1608, x4) + +inst_417: +// rs1_val==1431655764 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555554, 0x5, x3, 1612, x4) + +inst_418: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555554, -0x556, x3, 1616, x4) + +inst_419: +// rs1_val==1431655764 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555554, 0x555, x3, 1620, x4) + +inst_420: +// rs1_val==1431655764 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1624, x4) + +inst_421: +// rs1_val==2 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2c, 0x2, 0x2e, x3, 1628, x4) + +inst_422: +// rs1_val==2 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1632, x4) + +inst_423: +// rs1_val==2 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x2, 0x667, x3, 1636, x4) + +inst_424: +// rs1_val==2 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x2, 0x334, x3, 1640, x4) + +inst_425: +// rs1_val==2 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x2, 0x6, x3, 1644, x4) + +inst_426: +// rs1_val==2 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x2, -0x555, x3, 1648, x4) + +inst_427: +// rs1_val==2 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x554, 0x2, 0x556, x3, 1652, x4) + +inst_428: +// rs1_val==2 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x2, 0x4, x3, 1656, x4) + +inst_429: +// rs1_val==2 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1660, x4) + +inst_430: +// rs1_val==2 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x2, 0x0, x3, 1664, x4) + +inst_431: +// rs1_val==2 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x667, 0x2, 0x665, x3, 1668, x4) + +inst_432: +// rs1_val==2 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x2, 0x332, x3, 1672, x4) + +inst_433: +// rs1_val==2 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x2, 0x2, x3, 1676, x4) + +inst_434: +// rs1_val==2 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1680, x4) + +inst_435: +// rs1_val==2 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x2, -0x2d, x3, 1684, x4) + +inst_436: +// rs1_val==2 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x664, 0x2, 0x666, x3, 1688, x4) + +inst_437: +// rs1_val==2 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x2, 0x333, x3, 1692, x4) + +inst_438: +// rs1_val==2 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x2, 0x5, x3, 1696, x4) + +inst_439: +// rs1_val==2 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x2, -0x556, x3, 1700, x4) + +inst_440: +// rs1_val==2 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x557, 0x2, 0x555, x3, 1704, x4) + +inst_441: +// rs1_val==2 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x2, 0x3, x3, 1708, x4) + +inst_442: +// rs1_val==46340 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52a, 0xb504, 0x2e, x3, 1712, x4) + +inst_443: +// rs1_val==46340 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb504, -0x2c, x3, 1716, x4) + +inst_444: +// rs1_val==46340 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb504, 0x667, x3, 1720, x4) + +inst_445: +// rs1_val==46340 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb504, 0x334, x3, 1724, x4) + +inst_446: +// rs1_val==46340 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb502, 0xb504, 0x6, x3, 1728, x4) + +inst_447: +// rs1_val==46340 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb504, -0x555, x3, 1732, x4) + +inst_448: +// rs1_val==46340 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb052, 0xb504, 0x556, x3, 1736, x4) + +inst_449: +// rs1_val==46340 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb504, 0x4, x3, 1740, x4) + +inst_450: +// rs1_val==46340 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb504, 0x2c, x3, 1744, x4) + +inst_451: +// rs1_val==46340 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1748, x4) + +inst_452: +// rs1_val==46340 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb361, 0xb504, 0x665, x3, 1752, x4) + +inst_453: +// rs1_val==46340 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb504, 0x332, x3, 1756, x4) + +inst_454: +// rs1_val==46340 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb504, 0x554, x3, 1760, x4) + +inst_455: +// rs1_val==46340 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1764, x4) + +inst_456: +// rs1_val==46340 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb504, 0x2d, x3, 1768, x4) + +inst_457: +// rs1_val==46340 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb504, -0x2d, x3, 1772, x4) + +inst_458: +// rs1_val==46340 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb504, 0x666, x3, 1776, x4) + +inst_459: +// rs1_val==46340 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb504, 0x333, x3, 1780, x4) + +inst_460: +// rs1_val==46340 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb504, 0x5, x3, 1784, x4) + +inst_461: +// rs1_val==46340 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb504, -0x556, x3, 1788, x4) + +inst_462: +// rs1_val==46340 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb504, 0x555, x3, 1792, x4) + +inst_463: +// rs1_val==46340 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1796, x4) + +inst_464: +// rs1_val==-46340 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffff4ad2, -0xb504, 0x2e, x3, 1800, x4) + +inst_465: +// rs1_val==-46340 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xb528, -0xb504, -0x2c, x3, 1804, x4) + +inst_466: +// rs1_val==-46340 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb504, 0x667, x3, 1808, x4) + +inst_467: +// rs1_val==-46340 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xffff49c8, -0xb504, 0x334, x3, 1812, x4) + +inst_468: +// rs1_val==-46340 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xffff4afa, -0xb504, 0x6, x3, 1816, x4) + +inst_469: +// rs1_val==-46340 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb504, -0x555, x3, 1820, x4) + +inst_470: +// rs1_val==-46340 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4faa, -0xb504, 0x556, x3, 1824, x4) + +inst_471: +// rs1_val==-46340 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb504, 0x4, x3, 1828, x4) + +inst_472: +// rs1_val==-46340 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb504, 0x2c, x3, 1832, x4) + +inst_473: +// rs1_val==-46340 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1836, x4) + +inst_474: +// rs1_val==-46340 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xffff4c99, -0xb504, 0x665, x3, 1840, x4) + +inst_475: +// rs1_val==-46340 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb504, 0x332, x3, 1844, x4) + +inst_476: +// rs1_val==-46340 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb504, 0x554, x3, 1848, x4) + +inst_477: +// rs1_val==-46340 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1852, x4) + +inst_478: +// rs1_val==-46340 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb504, 0x2d, x3, 1856, x4) + +inst_479: +// rs1_val==-46340 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52f, -0xb504, -0x2d, x3, 1860, x4) + +inst_480: +// rs1_val==-46340 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb504, 0x666, x3, 1864, x4) + +inst_481: +// rs1_val==-46340 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb504, 0x333, x3, 1868, x4) + +inst_482: +// rs1_val==-46340 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb504, 0x5, x3, 1872, x4) + +inst_483: +// rs1_val==-46340 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb504, -0x556, x3, 1876, x4) + +inst_484: +// rs1_val==-46340 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb504, 0x555, x3, 1880, x4) + +inst_485: +// rs1_val==-46340 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1884, x4) + +inst_486: +// rs1_val==1717986918 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666666, 0x2e, x3, 1888, x4) + +inst_487: +// rs1_val==1717986918 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b2, 0x66666666, -0x2c, x3, 1892, x4) + +inst_488: +// rs1_val==1717986918 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666666, 0x667, x3, 1896, x4) + +inst_489: +// rs1_val==1717986918 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666552, 0x66666666, 0x334, x3, 1900, x4) + +inst_490: +// rs1_val==1717986918 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666666, 0x6, x3, 1904, x4) + +inst_491: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666666, -0x555, x3, 1908, x4) + +inst_492: +// rs1_val==1717986918 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666666, 0x556, x3, 1912, x4) + +inst_493: +// rs1_val==1717986918 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666666, 0x4, x3, 1916, x4) + +inst_494: +// rs1_val==1717986918 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666666, 0x2c, x3, 1920, x4) + +inst_495: +// rs1_val==1717986918 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1924, x4) + +inst_496: +// rs1_val==1717986918 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666666, 0x665, x3, 1928, x4) + +inst_497: +// rs1_val==1717986918 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666666, 0x332, x3, 1932, x4) + +inst_498: +// rs1_val==1717986918 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666666, 0x554, x3, 1936, x4) + +inst_499: +// rs1_val==1717986918 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666666, 0x2, x3, 1940, x4) + +inst_500: +// rs1_val==1717986918 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666666, 0x2d, x3, 1944, x4) + +inst_501: +// rs1_val==1717986918 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b5, 0x66666666, -0x2d, x3, 1948, x4) + +inst_502: +// rs1_val==1717986918 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666666, 0x666, x3, 1952, x4) + +inst_503: +// rs1_val==1717986918 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666666, 0x333, x3, 1956, x4) + +inst_504: +// rs1_val==1717986918 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666666, 0x5, x3, 1960, x4) + +inst_505: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666666, -0x556, x3, 1964, x4) + +inst_506: +// rs1_val==1717986918 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666666, 0x555, x3, 1968, x4) + +inst_507: +// rs1_val==1717986918 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666666, 0x3, x3, 1972, x4) + +inst_508: +// rs1_val==858993459 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331d, 0x33333333, 0x2e, x3, 1976, x4) + +inst_509: +// rs1_val==858993459 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333333, -0x2c, x3, 1980, x4) + +inst_510: +// rs1_val==858993459 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333333, 0x667, x3, 1984, x4) + +inst_511: +// rs1_val==858993459 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333333, 0x334, x3, 1988, x4) + +inst_512: +// rs1_val==858993459 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333335, 0x33333333, 0x6, x3, 1992, x4) + +inst_513: +// rs1_val==858993459 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333333, -0x555, x3, 1996, x4) + +inst_514: +// rs1_val==858993459 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333665, 0x33333333, 0x556, x3, 2000, x4) + +inst_515: +// rs1_val==858993459 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2004, x4) + +inst_516: +// rs1_val==858993459 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333333, 0x2c, x3, 2008, x4) + +inst_517: +// rs1_val==858993459 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2012, x4) + +inst_518: +// rs1_val==858993459 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333556, 0x33333333, 0x665, x3, 2016, x4) + +inst_519: +// rs1_val==858993459 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333333, 0x332, x3, 2020, x4) + +inst_520: +// rs1_val==858993459 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333333, 0x554, x3, 2024, x4) + +inst_521: +// rs1_val==858993459 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333333, 0x2, x3, 2028, x4) + +inst_522: +// rs1_val==858993459 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333333, 0x2d, x3, 2032, x4) + +inst_523: +// rs1_val==858993459 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333333, -0x2d, x3, 2036, x4) + +inst_524: +// rs1_val==858993459 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333333, 0x666, x3, 2040, x4) + +inst_525: +// rs1_val==858993459 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333333, 0x333, x3, 2044, x4) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_526: +// rs1_val==858993459 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333333, 0x5, x3, 0, x4) + +inst_527: +// rs1_val==858993459 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333333, -0x556, x3, 4, x4) + +inst_528: +// rs1_val==858993459 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333333, 0x555, x3, 8, x4) + +inst_529: +// rs1_val==858993459 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333333, 0x3, x3, 12, x4) + +inst_530: +// rs1_val==5 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2b, 0x5, 0x2e, x3, 16, x4) + +inst_531: +// rs1_val==5 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x5, -0x2c, x3, 20, x4) + +inst_532: +// rs1_val==5 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x662, 0x5, 0x667, x3, 24, x4) + +inst_533: +// rs1_val==5 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x5, 0x334, x3, 28, x4) + +inst_534: +// rs1_val==5 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x5, 0x6, x3, 32, x4) + +inst_535: +// rs1_val==5 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x5, -0x555, x3, 36, x4) + +inst_536: +// rs1_val==5 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x553, 0x5, 0x556, x3, 40, x4) + +inst_537: +// rs1_val==5 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x5, 0x4, x3, 44, x4) + +inst_538: +// rs1_val==5 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x29, 0x5, 0x2c, x3, 48, x4) + +inst_539: +// rs1_val==5 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x5, 0x0, x3, 52, x4) + +inst_540: +// rs1_val==5 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x660, 0x5, 0x665, x3, 56, x4) + +inst_541: +// rs1_val==5 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x5, 0x332, x3, 60, x4) + +inst_542: +// rs1_val==5 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x551, 0x5, 0x554, x3, 64, x4) + +inst_543: +// rs1_val==5 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x5, 0x2, x3, 68, x4) + +inst_544: +// rs1_val==5 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x28, 0x5, 0x2d, x3, 72, x4) + +inst_545: +// rs1_val==5 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x5, -0x2d, x3, 76, x4) + +inst_546: +// rs1_val==5 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x5, 0x666, x3, 80, x4) + +inst_547: +// rs1_val==5 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x5, 0x333, x3, 84, x4) + +inst_548: +// rs1_val==5 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x5, 0x5, x3, 88, x4) + +inst_549: +// rs1_val==5 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 92, x4) + +inst_550: +// rs1_val==5 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x5, 0x555, x3, 96, x4) + +inst_551: +// rs1_val==5 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x5, 0x3, x3, 100, x4) + +inst_552: +// rs1_val==-1431655766 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa84, -0x55555556, 0x2e, x3, 104, x4) + +inst_553: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557e, -0x55555556, -0x2c, x3, 108, x4) + +inst_554: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555556, 0x667, x3, 112, x4) + +inst_555: +// rs1_val==-1431655766 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa99e, -0x55555556, 0x334, x3, 116, x4) + +inst_556: +// rs1_val==-1431655766 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaac, -0x55555556, 0x6, x3, 120, x4) + +inst_557: +// rs1_val == -65537, imm_val == 512 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x200 +TEST_IMM_OP( xori, x11, x10, 0xfffefdff, -0x10001, 0x200, x3, 124, x4) + +inst_558: +// rs1_val == -32769, imm_val == 0 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff7fff, -0x8001, 0x0, x3, 128, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x6_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 33*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END From 69e79ccdf379f8b7f0976ac95eb1eb2155ef0c00 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Fri, 4 Feb 2022 19:17:46 +0000 Subject: [PATCH 057/100] Allowed commenting in signature files --- tests/wally-riscv-arch-test/riscv-test-env/verify.sh | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-env/verify.sh b/tests/wally-riscv-arch-test/riscv-test-env/verify.sh index f69a4c633..c01fd329c 100755 --- a/tests/wally-riscv-arch-test/riscv-test-env/verify.sh +++ b/tests/wally-riscv-arch-test/riscv-test-env/verify.sh @@ -28,7 +28,8 @@ do echo -e "Check $(printf %-24s ${stub}) \e[33m ... IGNORE \e[39m" continue fi - diff --ignore-case --strip-trailing-cr ${ref} ${sig} &> /dev/null + # KMG: added snippet to ignore comments in reference file + diff -I '#*' -I '//*' --ignore-case --strip-trailing-cr ${ref} ${sig} &> /dev/null if [ $? == 0 ] then echo -e "\e[32m ... OK \e[39m" From 52008b122ae83b4cc200535ac69be3d07640dae4 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 02:04:52 +0000 Subject: [PATCH 058/100] added commenting in reference outputs that aren't simulated in spike --- tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include b/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include index 35ca54187..2bea49060 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include +++ b/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include @@ -64,7 +64,7 @@ copy: $(info <<<<<<<<<<<<<<<<<<<<<<<<<<<< COPYING REFERENCES WITHOUT SIMULATING >>>>>>>>>>>>>>>>>>>>>>>>>>>>) $(info !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!) $(V) echo "Copying References without simulating" - $(V) for test in $(target_tests_nosim); do cp $(ref_dir)/$$test.reference_output $(work_dir_isa)/$$test.signature.output; done + $(V) for test in $(target_tests_nosim); do grep -o '^[^//#]*' $(ref_dir)/$$test.reference_output > $(work_dir_isa)/$$test.signature.output; done compile: $(combined_elf) run: $(target_log) From c5b6f49b2ffbcd3d9a80d34f311785f51b76d204 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 02:05:20 +0000 Subject: [PATCH 059/100] added comments to existing MMU tests --- .../WALLY-MMU-SV39.reference_output | 76 +++++++------- .../WALLY-MMU-SV48.reference_output | 82 ++++++++-------- .../references/WALLY-PMA.reference_output | 98 +++++++++---------- .../references/WALLY-PMP.reference_output | 52 +++++----- .../WALLY-minfo-01.reference_output | 18 ++-- 5 files changed, 163 insertions(+), 163 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV39.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV39.reference_output index 492379dee..b0f6ca4c7 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV39.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV39.reference_output @@ -1,104 +1,104 @@ -0000000b +0000000b # Test 12.3.1.1.3: ecall from going to S mode from M mode 00000000 -beef0000 +beef0000 # 7 read test successes 0000dead -beef0055 +beef0055 # read 2 0880dead -beef0033 +beef0033 # read 3 0990dead -beef0077 +beef0077 # read 4 0110dead -beef0099 +beef0099 # read 5 0220dead -beef0440 +beef0440 # read 6 0330dead -beef0bb0 +beef0bb0 # read 7 0440dead -beef0000 +beef0000 # Test 12.3.1.1.4: 3 read test successes 0000dead -beef0055 +beef0055 # read 2 0880dead -beef0099 +beef0099 # read 3 0220dead -0000000d +0000000d # Test 12.3.1.2.1: 2 read tests with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read 2 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.2.2: read test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.2.3: write test with page fault 00000000 -0000000d +0000000d # Test 12.3.1.2.4: read test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.2.5: 2 read tests with page faults 00000000 00000bad 00000000 -0000000d +0000000d # read 2 00000000 00000bad 00000000 -00000111 +00000111 # Test 12.3.1.3.1: execute test success 00000000 -00000009 +00000009 # ecall from going to U mode from S mode 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000c +0000000c # execute test with page fault 00000000 00000bad 00000000 -beef0033 +beef0033 # Test 12.3.1.3.2: read test success 0990dead -00000008 +00000008 # ecall from going to S mode from U mode 00000000 -beef0077 +beef0077 # read test success 0110dead -0000000c +0000000c # execute test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.3.3: read test with page fault 00000000 00000bad 00000000 -beef0440 +beef0440 # read test success 0330dead -beef0110 +beef0110 # Test 12.3.1.3.4: read test success 0440dead -0000000f +0000000f # write test with page fault 00000000 -0000000c +0000000c # Test 12.3.1.3.5: execute test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.3.6: write test with page fault 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.3.7: write test with page fault 00000000 -beef0bb0 +beef0bb0 # read test success 0440dead -00000009 +00000009 # ecall from test termination from S mode 00000000 -deadbeef +deadbeef # rest of the output space deadbeef deadbeef deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV48.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV48.reference_output index 68a13c253..1d4ff8e33 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV48.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV48.reference_output @@ -1,112 +1,112 @@ -0000000b +0000000b # Test 12.3.1.1.3: ecall from going to S mode from M mode 00000000 -beef0cc0 +beef0cc0 # 8 read test successes 0ee0dead -beef0000 +beef0000 # read 2 0000dead -beef0055 +beef0055 # read 3 0880dead -beef0033 +beef0033 # read 4 0990dead -beef0077 +beef0077 # read 5 0110dead -beef0099 +beef0099 # read 6 0220dead -beef0440 +beef0440 # read 7 0330dead -beef0bb0 +beef0bb0 # read 8 0440dead -beef0cc0 +beef0cc0 # Test 12.3.1.1.4: 4 read test successes 0ee0dead -beef0000 +beef0000 # read 2 0000dead -beef0055 +beef0055 # read 3 0880dead -beef0099 +beef0099 # read 4 0220dead -0000000d +0000000d # Test 12.3.1.2.1: 2 read tests with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read 2 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.2.2: read test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.2.3: write test with page fault 00000000 -0000000d +0000000d # Test 12.3.1.2.4: read test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.2.5: 3 read tests with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read 2 00000000 00000bad 00000000 -0000000d +0000000d # read 3 00000000 00000bad 00000000 -00000111 +00000111 # Test 12.3.1.3.1: Execute test success 00000000 -00000009 +00000009 # ecall from going to U mode from S mode 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000c +0000000c # execute test with page fault 00000000 00000bad 00000000 -beef0033 +beef0033 # Test 12.3.1.3.2: read test success 0990dead -00000008 +00000008 # ecall from going to S mode from U mode 00000000 -beef0077 +beef0077 # read test success 0110dead -0000000c +0000000c # execute test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read test with page fault` 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.3.3: read test with page fault 00000000 00000bad 00000000 -beef0440 +beef0440 # read test success 0330dead -beef0110 +beef0110 # Test 12.3.1.3.4: read test success 0440dead -0000000f +0000000f # write test with page fault 00000000 -0000000c +0000000c # Test 12.3.1.3.5: executable test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.3.6: write test with page fault 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.3.7: write test with page fault 00000000 -beef0bb0 +beef0bb0 # read test success 0440dead -00000009 +00000009 # ecall from test termination in S mode. 00000000 -deadbeef +deadbeef # rest of the output space deadbeef deadbeef deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMA.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMA.reference_output index 7ba4cff92..c8a68e8e2 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMA.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMA.reference_output @@ -1,148 +1,148 @@ -beef00b4 -0000dead -beef00b5 +beef00b4 # Test 12.3.2.1: read 64 bits success in CLINT +0000dead # all of these read successes are also confirming successful writes +beef00b5 # read 32 bits success in CLINT (sign extended) ffffffff -000000b6 +000000b6 # read 16 bits success in CLINT 00000000 -ffffffb7 +ffffffb7 # read 8 bits success in CLINT (sign extended) ffffffff -00000001 +00000001 # execute test with access fault in CLINT 00000000 00000bad 00000000 -00000007 +00000007 # write 64 bits with access fault in PLIC 00000000 -00000005 +00000005 # read 64 bits with access fault in PLIC 00000000 00000bad 00000000 -00000002 +00000002 # read 32 bits success in PLIC (confriming 32 bit write) 00000000 -00000007 +00000007 # write 16 bits with access fault in PLIC 00000000 -00000005 +00000005 # read 16 bits with access fault in PLIC 00000000 00000bad 00000000 -00000007 +00000007 # write 8 bits with access fault in PLIC 00000000 -00000005 +00000005 # read 8 bits with access fault in PLIC 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault in PLIC 00000000 00000bad 00000000 -00000007 +00000007 # write 64 bits with access fault in UART 00000000 -00000005 +00000005 # read 64 bits with access fault in UART 00000000 00000bad 00000000 -00000007 +00000007 # write 32 bits with access fault in UART 00000000 -00000005 +00000005 # read 32 bits with access fault in UART 00000000 00000bad 00000000 -00000007 +00000007 # write 16 bits with access fault in UART 00000000 -00000005 +00000005 # read 16 bits with access fault in UART 00000000 00000bad 00000000 -ffffffbf +ffffffbf # read 8 bits success in UART (confirming 8 bit write) ffffffff -00000001 +00000001 # execute test with access fault in UART 00000000 00000bad 00000000 -00000007 +00000007 # write 64 bits with access fault in GPIO 00000000 -00000005 +00000005 # read 64 bits with access fault in GPIO 00000000 00000bad 00000000 -beef00c1 +beef00c1 # read 32 bits success in GPIO (confirming 32 bit write) ffffffff -00000007 +00000007 # write 16 bits with access fault in GPIO 00000000 -00000005 +00000005 # read 16 bits with access fault in GPIO 00000000 00000bad 00000000 -00000007 +00000007 # write 8 bits with access fault in GPIO 00000000 -00000005 +00000005 # read 8 bits with access fault in GPIO 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault in GPIO 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault in random memory location 00000000 -00000005 +00000005 # read test with access fault in random memory location 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault in random memory location 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after BOOTROM 00000000 -00000005 +00000005 # read test with access fault just after BOOTROM 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after BOOTROM 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after CLINT 00000000 -00000005 +00000005 # read test with access fault just after CLINT 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after CLINT 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after PLIC 00000000 -00000005 +00000005 # read test with access fault just after PLIC 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after PLIC 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after UART 00000000 -00000005 +00000005 # read test with access fault just after UART 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after UART 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after GPIO 00000000 -00000005 +00000005 # read test with access fault just after GPIO 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after GPIO 00000000 00000bad 00000000 -0000000b +0000000b # ecall from terminating tests in M mode 00000000 deadbeef deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMP.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMP.reference_output index 931f8a160..ea5a0cb18 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMP.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMP.reference_output @@ -1,58 +1,58 @@ -0fffffff +0fffffff # Test 12.3.2.2.1: writeback of value written to PMPADDR0 +00000000 +20040000 # writeback of value written to PMPADDR1 00000000 -20040000 +2004003f # writeback of value written to PMPADDR2 00000000 -2004003f +20040080 # writeback of value written to PMPADDR3 00000000 -20040080 +20040084 # writeback of value written to PMPADDR4 00000000 -20040084 +200400c0 # writeback of value written to PMPADDR5 00000000 -200400c0 +2004013f # writeback of value written to PMPADDR6 00000000 -2004013f +2fffffff # writeback of value written to PMPADDR15 00000000 -2fffffff -00000000 -0009001f +0009001f # writeback of value written to PMPCFG0 0018900c -00000000 +00000000 # writeback of value written to PMPCFG2 1f000000 -0009001f +0009001f # old value of PMPCFG0 after failed write to locked out region 0018900c -200400c0 +200400c0 # old value of PMPADDR5 after failed write to locked out region 00000000 -00000005 +00000005 # Test 12.3.2.2.2: read test with access fault to region with L=1, R=0 00000000 00000bad 00000000 -00600dbb +00600dbb # read test success from region with L=X=W=R=0 00000000 -0000000b +0000000b # Test 12.3.2.2.3: ecall from going to S mode from M mode 00000000 -00600d15 +00600d15 # read test success from RW range (confirming previous write) 00000000 -00600d02 +00600d02 # read test success from outside the edge of a read only range 00000000 -00600d12 +00600d12 # read test success from outside the other edge of a read only range 00000000 -00000007 +00000007 # write test with access fault in read only range 00000000 -00600daa +00600daa # read success from read only range 00000000 -00000007 +00000007 # write test with access fault in no-access range 00000000 -00000005 +00000005 # read test with access fault in no-access range 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault in no-execute range 00000000 00000bad 00000000 -00000111 +00000111 # execute sucess when X=1 00000000 -00000009 +00000009 # ecall from terminating tests in S mode 00000000 deadbeef deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-minfo-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-minfo-01.reference_output index 880d5dc82..e37c37626 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-minfo-01.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-minfo-01.reference_output @@ -1,20 +1,20 @@ -00000002 +00000002 # Test 5.2.3.1: write to read-only CSR failed with illegal instruction 00000000 -00000011 +00000011 # confirm read-only permissions of mvendorid 00000000 -00000002 +00000002 # write to read-only CSR failed with illegal instruction 00000000 -00000011 +00000011 # confirm read-only permissions of marchid 00000000 -00000002 +00000002 # write to read-only CSR failed with illegal instruction 00000000 -00000011 +00000011 # confirm read-only permissions of mimpid 00000000 -00000002 +00000002 # write to read-only CSR failed with illegal instruction 00000000 -00000011 +00000011 # confirm read-only permissions of mhartid 00000000 -0000000b +0000000b # ecall from terminating tests in M mode 00000000 deadbeef deadbeef From 04197273f6d54646d1c6fe97c7e9a164bc0d12f7 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 02:05:42 +0000 Subject: [PATCH 060/100] light cleanup --- .../riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S | 2 +- .../rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h | 4 ++-- .../riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S | 2 +- 3 files changed, 4 insertions(+), 4 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S index 226c93984..5c894081c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S @@ -72,7 +72,7 @@ .8byte 0x0, 0x0018FF0C0009001F, write_pmpcfg_0 # attempt to edit only pmp5cfg (pmpcfg0[47:40]) after lockout. # instruction ignored, output is 0x0018900C0009001F, NOT 0x0018FF0C0009001F .8byte 0x5, 0xFFFFFFFF, write_pmpaddr_5 # attempt to edit pmpaddr5 after lockout. -# instruction ignored, output is 0x80100300, NOT 0xFFFFFFFF +# instruction ignored, output is 0x200400c0, NOT 0xFFFFFFFF # Test 12.3.2.2.2 Machine mode access diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h index a044f737c..06eaf9b6c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h @@ -478,9 +478,9 @@ begin_test: // label here to jump to so we dont go through the trap handler befo csrwi \CSR\(), 0xA // Attempt to write a 'random' value to the CSR csrr x30, \CSR bne x30, x29, 1f // 1f represents write_access - li x30, 0x11 // Write succeeded, violating read only permissions. + li x30, 0x11 // Write failed, confirming read only permissions. j 2f // j r_access_end -1: // w_access (test failed) +1: // w_access (write succeeded, violating read-only) li x30, 0xBAD 2: // r_access end sd x30, 0(x6) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S index 2367a32bb..695c75222 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S @@ -25,7 +25,7 @@ INIT_TESTS -// Test 5.2.3.1: tersting Read-only access to Machine info CSRs +// Test 5.2.3.1: testing Read-only access to Machine info CSRs csr_r_access mvendorid csr_r_access marchid From 6e3bec9aa5ca399bc3e0322d9e2245fafe65e17d Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 19:45:58 +0000 Subject: [PATCH 061/100] added CSR permission tests --- ...WALLY-CSR-permission-s-01.reference_output | 1024 +++++++++++++++++ ...WALLY-CSR-permission-u-01.reference_output | 1024 +++++++++++++++++ .../privilege/src/WALLY-CSR-permission-s-01.S | 153 +++ .../privilege/src/WALLY-CSR-permission-u-01.S | 169 +++ 4 files changed, 2370 insertions(+) create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-s-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-u-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-s-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-u-01.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-s-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-s-01.reference_output new file mode 100644 index 000000000..811bfe7ca --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-s-01.reference_output @@ -0,0 +1,1024 @@ +0000000b # Test 5.2.3.6: ecall from going to S mode from M mode +00000000 +00000002 # S mode write to mvendorid with illegal instruction +00000000 +00000002 # S mode read from mvendorid with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to marchid with illegal instruction +00000000 +00000002 # S mode read from marchid with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mimpid with illegal instruction +00000000 +00000002 # S mode read from mimpid with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhartid with illegal instruction +00000000 +00000002 # S mode read from mhartid with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mstatus with illegal instruction +00000000 +00000002 # S mode read from mstatus with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to misa with illegal instruction +00000000 +00000002 # S mode read from misa with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to medeleg with illegal instruction +00000000 +00000002 # S mode read from medeleg with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mideleg with illegal instruction +00000000 +00000002 # S mode read from mideleg with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mie with illegal instruction +00000000 +00000002 # S mode read from mie with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mtvec with illegal instruction +00000000 +00000002 # S mode read from mtvec with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mcounteren with illegal instruction +00000000 +00000002 # S mode read from mcounteren with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mscratch with illegal instruction +00000000 +00000002 # S mode read from mscratch with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mepc with illegal instruction +00000000 +00000002 # S mode read from mepc with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mcause with illegal instruction +00000000 +00000002 # S mode read from mcause with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mtval with illegal instruction +00000000 +00000002 # S mode read from mtval with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mip with illegal instruction +00000000 +00000002 # S mode read from mip with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpcfg0 with illegal instruction +00000000 +00000002 # S mode read from pmpcfg0 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpcfg2 with illegal instruction +00000000 +00000002 # S mode read from pmpcfg2 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr0 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr0 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr1 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr1 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr2 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr2 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr3 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr4 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr5 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr6 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr7 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr8 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr9 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr10 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr11 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr12 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr13 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr14 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr15 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mcycle with illegal instruction +00000000 +00000002 # S mode read from mcycle with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to minstret with illegal instruction +00000000 +00000002 # S mode read from minstret with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter3 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter4 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter5 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter6 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter7 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter8 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter9 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter10 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter11 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter12 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter13 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter14 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter15 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter16 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter16 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter17 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter17 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter18 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter18 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter19 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter19 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter20 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter20 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter21 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter21 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter22 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter22 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter23 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter23 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter24 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter24 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter25 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter25 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter26 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter26 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter27 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter27 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter28 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter28 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter29 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter29 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter30 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter30 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter31 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter31 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mcountinhibit with illegal instruction +00000000 +00000002 # S mode read from mcountinhibit with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent3 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent4 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent5 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent6 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent7 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent8 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent9 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent10 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent11 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent12 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent13 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent14 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent15 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent16 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent16 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent17 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent17 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent18 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent18 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent19 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent19 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent20 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent20 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent21 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent21 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent22 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent22 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent23 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent23 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent24 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent24 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent25 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent25 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent26 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent26 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent27 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent27 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent28 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent28 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent29 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent29 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent30 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent30 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent31 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent31 with illegal instruction +00000000 +00000bad +00000000 +00000009 # ecall from terminating tess from S mode +00000000 +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-u-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-u-01.reference_output new file mode 100644 index 000000000..c8cd62ab1 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-u-01.reference_output @@ -0,0 +1,1024 @@ +0000000b # Test 5.2.3.6: ecall from going to U mode from M mode +00000000 +00000002 # U mode write to sstatus with illegal instruction +00000000 +00000002 # U mode read from sstatus with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to sie with illegal instruction +00000000 +00000002 # U mode read from sie with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to stvec with illegal instruction +00000000 +00000002 # U mode read from stvec with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to scounteren with illegal instruction +00000000 +00000002 # U mode read from scounteren with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to sscratch with illegal instruction +00000000 +00000002 # U mode read from sscratch with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to sepc with illegal instruction +00000000 +00000002 # U mode read from sepc with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to scause with illegal instruction +00000000 +00000002 # U mode read from scause with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to stval with illegal instruction +00000000 +00000002 # U mode read from stval with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to sip with illegal instruction +00000000 +00000002 # U mode read from sip with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to satp with illegal instruction +00000000 +00000002 # U mode read from satp with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mvendorid with illegal instruction +00000000 +00000002 # U mode read from mvendorid with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to marchid with illegal instruction +00000000 +00000002 # U mode read from marchid with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mimpid with illegal instruction +00000000 +00000002 # U mode read from mimpid with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhartid with illegal instruction +00000000 +00000002 # U mode read from mhartid with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mstatus with illegal instruction +00000000 +00000002 # U mode read from mstatus with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to misa with illegal instruction +00000000 +00000002 # U mode read from misa with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to medeleg with illegal instruction +00000000 +00000002 # U mode read from medeleg with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mideleg with illegal instruction +00000000 +00000002 # U mode read from mideleg with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mie with illegal instruction +00000000 +00000002 # U mode read from mie with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mtvec with illegal instruction +00000000 +00000002 # U mode read from mtvec with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mcounteren with illegal instruction +00000000 +00000002 # U mode read from mcounteren with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mscratch with illegal instruction +00000000 +00000002 # U mode read from mscratch with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mepc with illegal instruction +00000000 +00000002 # U mode read from mepc with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mcause with illegal instruction +00000000 +00000002 # U mode read from mcause with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mtval with illegal instruction +00000000 +00000002 # U mode read from mtval with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mip with illegal instruction +00000000 +00000002 # U mode read from mip with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpcfg0 with illegal instruction +00000000 +00000002 # U mode read from pmpcfg0 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpcfg2 with illegal instruction +00000000 +00000002 # U mode read from pmpcfg2 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr0 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr0 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr1 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr1 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr2 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr2 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr3 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr4 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr5 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr6 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr7 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr8 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr9 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr10 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr11 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr12 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr13 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr14 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr15 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mcycle with illegal instruction +00000000 +00000002 # U mode read from mcycle with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to minstret with illegal instruction +00000000 +00000002 # U mode read from minstret with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter3 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter4 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter5 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter6 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter7 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter8 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter9 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter10 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter11 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter12 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter13 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter14 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter15 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter16 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter16 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter17 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter17 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter18 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter18 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter19 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter19 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter20 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter20 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter21 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter21 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter22 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter22 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter23 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter23 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter24 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter24 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter25 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter25 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter26 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter26 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter27 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter27 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter28 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter28 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter29 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter29 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter30 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter30 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter31 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter31 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mcountinhibit with illegal instruction +00000000 +00000002 # U mode read from mcountinhibit with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent3 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent4 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent5 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent6 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent7 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent8 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent9 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent10 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent11 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent12 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent13 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent14 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent15 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent16 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent16 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent17 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent17 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent18 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent18 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent19 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent19 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent20 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent20 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent21 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent21 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent22 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent22 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent23 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent23 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent24 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent24 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent25 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent25 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent26 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent26 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent27 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent27 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent28 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent28 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent29 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent29 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent30 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent30 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent31 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent31 with illegal instruction +00000000 +00000bad +00000000 +00000008 # ecall from terminating tests in U mode +00000000 +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-s-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-s-01.S new file mode 100644 index 000000000..ce1069834 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-s-01.S @@ -0,0 +1,153 @@ +/////////////////////////////////////////// +// +// WALLY-CSR-permissions +// +// Author: Kip Macsai-Goren +// +// Created 2022-02-05 +// +// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University +// +// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation +// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, +// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software +// is furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES +// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS +// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT +// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +/////////////////////////////////////////// + +#include "WALLY-TEST-MACROS-64.h" + +INIT_TESTS + +# Test 5.2.3.6: Test that all the machine mode CSR's are innaccessible for reads and writes in S mode. + +# *** several of these appear not to be implemented in the assembler? +# I get "assembler messages: error: unkown CSR" with many of them. + +goto_s_mode 0x0, 0x0 + +# Attempt to write 0xbad to each of these CSRs and read the value back +# should result in an illegal instruction for the write and read, respectively + +# Machine information Registers +write_read_csr mvendorid, 0xbad +write_read_csr marchid, 0xbad +write_read_csr mimpid, 0xbad +write_read_csr mhartid, 0xbad +# write_read_csr mconfigptr, 0xbad # mconfigptr unimplemented in spike as of 31 Jan 22 + +# Machine Trap Setup +write_read_csr mstatus, 0xbad +write_read_csr misa, 0xbad +write_read_csr medeleg, 0xbad +write_read_csr mideleg, 0xbad +write_read_csr mie, 0xbad +write_read_csr mtvec, 0xbad +write_read_csr mcounteren, 0xbad + +# Machine Trap Handling +write_read_csr mscratch, 0xbad +write_read_csr mepc, 0xbad +write_read_csr mcause, 0xbad +write_read_csr mtval, 0xbad +write_read_csr mip, 0xbad +# write_read_csr mtinst, 0xbad # *** these appear not to be implemented in the compile step of make??? +# write_read_csr mtval2, 0xbad + +# Machine Configuration +# write_read_csr menvcfg, 0xbad # *** these appear not to be implemented in the compile step of make??? +# write_read_csr mseccgf, 0xbad + +# Machine Memory Protection +write_read_csr pmpcfg0, 0xbad +write_read_csr pmpcfg2, 0xbad # pmpcfg 1 and 3 dont exist in rv64. there's 1 pmpcfg reg per 8 pmpaddr regs + +write_read_csr pmpaddr0, 0xbad +write_read_csr pmpaddr1, 0xbad +write_read_csr pmpaddr2, 0xbad +write_read_csr pmpaddr3, 0xbad +write_read_csr pmpaddr4, 0xbad +write_read_csr pmpaddr5, 0xbad +write_read_csr pmpaddr6, 0xbad +write_read_csr pmpaddr7, 0xbad +write_read_csr pmpaddr8, 0xbad +write_read_csr pmpaddr9, 0xbad +write_read_csr pmpaddr10, 0xbad +write_read_csr pmpaddr11, 0xbad +write_read_csr pmpaddr12, 0xbad +write_read_csr pmpaddr13, 0xbad +write_read_csr pmpaddr14, 0xbad +write_read_csr pmpaddr15, 0xbad # only pmpcfg0...15 are enabled in our config + +# Machine Counter/Timers +write_read_csr mcycle, 0xbad +write_read_csr minstret, 0xbad +write_read_csr mhpmcounter3, 0xbad +write_read_csr mhpmcounter4, 0xbad +write_read_csr mhpmcounter5, 0xbad +write_read_csr mhpmcounter6, 0xbad +write_read_csr mhpmcounter7, 0xbad +write_read_csr mhpmcounter8, 0xbad +write_read_csr mhpmcounter9, 0xbad +write_read_csr mhpmcounter10, 0xbad +write_read_csr mhpmcounter11, 0xbad +write_read_csr mhpmcounter12, 0xbad +write_read_csr mhpmcounter13, 0xbad +write_read_csr mhpmcounter14, 0xbad +write_read_csr mhpmcounter15, 0xbad +write_read_csr mhpmcounter16, 0xbad +write_read_csr mhpmcounter17, 0xbad +write_read_csr mhpmcounter18, 0xbad +write_read_csr mhpmcounter19, 0xbad +write_read_csr mhpmcounter20, 0xbad +write_read_csr mhpmcounter21, 0xbad +write_read_csr mhpmcounter22, 0xbad +write_read_csr mhpmcounter23, 0xbad +write_read_csr mhpmcounter24, 0xbad +write_read_csr mhpmcounter25, 0xbad +write_read_csr mhpmcounter26, 0xbad +write_read_csr mhpmcounter27, 0xbad +write_read_csr mhpmcounter28, 0xbad +write_read_csr mhpmcounter29, 0xbad +write_read_csr mhpmcounter30, 0xbad +write_read_csr mhpmcounter31, 0xbad + +# Machine Counter Setup +write_read_csr mcountinhibit, 0xbad +write_read_csr mhpmevent3, 0xbad +write_read_csr mhpmevent4, 0xbad +write_read_csr mhpmevent5, 0xbad +write_read_csr mhpmevent6, 0xbad +write_read_csr mhpmevent7, 0xbad +write_read_csr mhpmevent8, 0xbad +write_read_csr mhpmevent9, 0xbad +write_read_csr mhpmevent10, 0xbad +write_read_csr mhpmevent11, 0xbad +write_read_csr mhpmevent12, 0xbad +write_read_csr mhpmevent13, 0xbad +write_read_csr mhpmevent14, 0xbad +write_read_csr mhpmevent15, 0xbad +write_read_csr mhpmevent16, 0xbad +write_read_csr mhpmevent17, 0xbad +write_read_csr mhpmevent18, 0xbad +write_read_csr mhpmevent19, 0xbad +write_read_csr mhpmevent20, 0xbad +write_read_csr mhpmevent21, 0xbad +write_read_csr mhpmevent22, 0xbad +write_read_csr mhpmevent23, 0xbad +write_read_csr mhpmevent24, 0xbad +write_read_csr mhpmevent25, 0xbad +write_read_csr mhpmevent26, 0xbad +write_read_csr mhpmevent27, 0xbad +write_read_csr mhpmevent28, 0xbad +write_read_csr mhpmevent29, 0xbad +write_read_csr mhpmevent30, 0xbad +write_read_csr mhpmevent31, 0xbad + +END_TESTS \ No newline at end of file diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-u-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-u-01.S new file mode 100644 index 000000000..d7984d7f6 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-u-01.S @@ -0,0 +1,169 @@ +/////////////////////////////////////////// +// +// WALLY-CSR-permissions +// +// Author: Kip Macsai-Goren +// +// Created 2022-02-05 +// +// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University +// +// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation +// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, +// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software +// is furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES +// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS +// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT +// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +/////////////////////////////////////////// + +#include "WALLY-TEST-MACROS-64.h" + +INIT_TESTS + +# Test 5.2.3.6: Test that all the machine mode CSR's are innaccessible for reads and writes in R mode. + +goto_u_mode 0x0, 0x0 + +# Attempt to write 0xbad to each of these CSRs and read the value back +# should result in an illegal instruction for the write and read, respectively + +# Supervisor Trap Setup +write_read_csr sstatus, 0xbad +write_read_csr sie, 0xbad +write_read_csr stvec, 0xbad +write_read_csr scounteren, 0xbad + +# Supervisor Configuration +# write_read_csr senvcfg, 0xbad # *** these appear not to be implemented in the compile step of make??? + +# Supervisor Trap Handling +write_read_csr sscratch, 0xbad +write_read_csr sepc, 0xbad +write_read_csr scause, 0xbad +write_read_csr stval, 0xbad +write_read_csr sip, 0xbad + +# Supervisor Protection and Translation +write_read_csr satp, 0xbad + +# Machine information Registers +write_read_csr mvendorid, 0xbad +write_read_csr marchid, 0xbad +write_read_csr mimpid, 0xbad +write_read_csr mhartid, 0xbad +# write_read_csr mconfigptr, 0xbad # mconfigptr unimplemented in spike as of 31 Jan 22 + +# Machine Trap Setup +write_read_csr mstatus, 0xbad +write_read_csr misa, 0xbad +write_read_csr medeleg, 0xbad +write_read_csr mideleg, 0xbad +write_read_csr mie, 0xbad +write_read_csr mtvec, 0xbad +write_read_csr mcounteren, 0xbad + +# Machine Trap Handling +write_read_csr mscratch, 0xbad +write_read_csr mepc, 0xbad +write_read_csr mcause, 0xbad +write_read_csr mtval, 0xbad +write_read_csr mip, 0xbad +# write_read_csr mtinst, 0xbad # *** these appear not to be implemented in the compile step of make??? +# write_read_csr mtval2, 0xbad + +# Machine Configuration +# write_read_csr menvcfg, 0xbad # *** these appear not to be implemented in the compile step of make??? +# write_read_csr mseccgf, 0xbad + +# Machine Memory Protection +write_read_csr pmpcfg0, 0xbad +write_read_csr pmpcfg2, 0xbad # pmpcfg 1 and 3 dont exist in rv64. there's 1 pmpcfg reg per 8 pmpaddr regs + +write_read_csr pmpaddr0, 0xbad +write_read_csr pmpaddr1, 0xbad +write_read_csr pmpaddr2, 0xbad +write_read_csr pmpaddr3, 0xbad +write_read_csr pmpaddr4, 0xbad +write_read_csr pmpaddr5, 0xbad +write_read_csr pmpaddr6, 0xbad +write_read_csr pmpaddr7, 0xbad +write_read_csr pmpaddr8, 0xbad +write_read_csr pmpaddr9, 0xbad +write_read_csr pmpaddr10, 0xbad +write_read_csr pmpaddr11, 0xbad +write_read_csr pmpaddr12, 0xbad +write_read_csr pmpaddr13, 0xbad +write_read_csr pmpaddr14, 0xbad +write_read_csr pmpaddr15, 0xbad # only pmpcfg0...15 are enabled in our config + +# Machine Counter/Timers +write_read_csr mcycle, 0xbad +write_read_csr minstret, 0xbad +write_read_csr mhpmcounter3, 0xbad +write_read_csr mhpmcounter4, 0xbad +write_read_csr mhpmcounter5, 0xbad +write_read_csr mhpmcounter6, 0xbad +write_read_csr mhpmcounter7, 0xbad +write_read_csr mhpmcounter8, 0xbad +write_read_csr mhpmcounter9, 0xbad +write_read_csr mhpmcounter10, 0xbad +write_read_csr mhpmcounter11, 0xbad +write_read_csr mhpmcounter12, 0xbad +write_read_csr mhpmcounter13, 0xbad +write_read_csr mhpmcounter14, 0xbad +write_read_csr mhpmcounter15, 0xbad +write_read_csr mhpmcounter16, 0xbad +write_read_csr mhpmcounter17, 0xbad +write_read_csr mhpmcounter18, 0xbad +write_read_csr mhpmcounter19, 0xbad +write_read_csr mhpmcounter20, 0xbad +write_read_csr mhpmcounter21, 0xbad +write_read_csr mhpmcounter22, 0xbad +write_read_csr mhpmcounter23, 0xbad +write_read_csr mhpmcounter24, 0xbad +write_read_csr mhpmcounter25, 0xbad +write_read_csr mhpmcounter26, 0xbad +write_read_csr mhpmcounter27, 0xbad +write_read_csr mhpmcounter28, 0xbad +write_read_csr mhpmcounter29, 0xbad +write_read_csr mhpmcounter30, 0xbad +write_read_csr mhpmcounter31, 0xbad + +# Machine Counter Setup +write_read_csr mcountinhibit, 0xbad +write_read_csr mhpmevent3, 0xbad +write_read_csr mhpmevent4, 0xbad +write_read_csr mhpmevent5, 0xbad +write_read_csr mhpmevent6, 0xbad +write_read_csr mhpmevent7, 0xbad +write_read_csr mhpmevent8, 0xbad +write_read_csr mhpmevent9, 0xbad +write_read_csr mhpmevent10, 0xbad +write_read_csr mhpmevent11, 0xbad +write_read_csr mhpmevent12, 0xbad +write_read_csr mhpmevent13, 0xbad +write_read_csr mhpmevent14, 0xbad +write_read_csr mhpmevent15, 0xbad +write_read_csr mhpmevent16, 0xbad +write_read_csr mhpmevent17, 0xbad +write_read_csr mhpmevent18, 0xbad +write_read_csr mhpmevent19, 0xbad +write_read_csr mhpmevent20, 0xbad +write_read_csr mhpmevent21, 0xbad +write_read_csr mhpmevent22, 0xbad +write_read_csr mhpmevent23, 0xbad +write_read_csr mhpmevent24, 0xbad +write_read_csr mhpmevent25, 0xbad +write_read_csr mhpmevent26, 0xbad +write_read_csr mhpmevent27, 0xbad +write_read_csr mhpmevent28, 0xbad +write_read_csr mhpmevent29, 0xbad +write_read_csr mhpmevent30, 0xbad +write_read_csr mhpmevent31, 0xbad + +END_TESTS From 5377dde581a87961e3cdedf2282f95fb89dbc5f8 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 19:46:29 +0000 Subject: [PATCH 062/100] clarified csr write test --- .../privilege/src/WALLY-TEST-MACROS-64.h | 50 +++++++++---------- 1 file changed, 25 insertions(+), 25 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h index 06eaf9b6c..de54815e8 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h @@ -279,29 +279,28 @@ begin_test: // label here to jump to so we dont go through the trap handler befo // Test Summary table! -// Test Name : Description : Fault output value : Normal output values -// ---------------------:-------------------------------------------:-------------------------------:------------------------------------------------------ -// write64_test : Write 64 bits to address : 0x6, 0x7, or 0xf : None -// write32_test : Write 32 bits to address : 0x6, 0x7, or 0xf : None -// write16_test : Write 16 bits to address : 0x6, 0x7, or 0xf : None -// write08_test : Write 8 bits to address : 0x6, 0x7, or 0xf : None -// read64_test : Read 64 bits from address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex -// read32_test : Read 32 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex -// read16_test : Read 16 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex -// read08_test : Read 8 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex -// executable_test : test executable on virtual page : 0x0, 0x1, or 0xc, then 0xbad : value of x7 modified by exectuion code (usually 0x111) -// terminate_test : terminate tests : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 -// goto_baremetal : satp.MODE = bare metal : None : None -// goto_sv39 : satp.MODE = sv39 : None : None -// goto_sv48 : satp.MODE = sv48 : None : None -// goto_m_mode : go to mahcine mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 -// goto_s_mode : go to supervisor mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 -// goto_u_mode : go to user mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 -// write_csr : write to specified CSR : CSR value before test attempt : value written to CSR -// read_csr : read from specified CSR : *** None? Mcause or fault? : value read back from CSR +// Test Name : Description : Fault output value : Normal output values +// ---------------------:-------------------------------------------:-------------------------------------------:------------------------------------------------------ +// write64_test : Write 64 bits to address : 0x6, 0x7, or 0xf : None +// write32_test : Write 32 bits to address : 0x6, 0x7, or 0xf : None +// write16_test : Write 16 bits to address : 0x6, 0x7, or 0xf : None +// write08_test : Write 8 bits to address : 0x6, 0x7, or 0xf : None +// read64_test : Read 64 bits from address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex +// read32_test : Read 32 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex +// read16_test : Read 16 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex +// read08_test : Read 8 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex +// executable_test : test executable on virtual page : 0x0, 0x1, or 0xc, then 0xbad : value of x7 modified by exectuion code (usually 0x111) +// terminate_test : terminate tests : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 +// goto_baremetal : satp.MODE = bare metal : None : None +// goto_sv39 : satp.MODE = sv39 : None : None +// goto_sv48 : satp.MODE = sv48 : None : None +// goto_m_mode : go to mahcine mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 +// goto_s_mode : go to supervisor mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 +// goto_u_mode : go to user mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 +// write_read_csr : write to specified CSR : old CSR value, 0x2, depending on perms : value written to CSR +// csr_r_access : test read-only permissions on CSR : 0xbad : 0x2, then 0x11 - -// *** TESTS TO ADD: execute inline, read unknown value out, read CSR unknown value +// *** TESTS TO ADD: execute inline, read unknown value out, read CSR unknown value, just read CSR value .macro write64_test ADDR VAL // attempt to write VAL to ADDR @@ -452,13 +451,14 @@ begin_test: // label here to jump to so we dont go through the trap handler befo sfence.vma x0, x0 // *** flushes global pte's as well .endm -.macro write_csr CSR VAL - // attempt to write CSR with VAL *** ASSUMES RW access to CSR in whatever privilege mode is running +.macro write_read_csr CSR VAL + // attempt to write CSR with VAL. Note: this also tests read access to CSR // Success outputs: // value read back out from CSR after writing // Fault outputs: // The previous CSR value before write attempt - // *** Is there an associated mstatus? maybe 0x2??? + // *** Most likely 0x2, the mcause for illegal instruction if we don't have write or read access + li x30, 0xbad // load bad value to be overwritten by csrr li x29, \VAL csrw \CSR\(), x29 csrr x30, \CSR From 38b75e85a00c3b8621dc5727d3a1c5a3c06f8e17 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 19:47:22 +0000 Subject: [PATCH 063/100] added new tests to make and testbench --- pipelined/testbench/tests.vh | 4 +++- .../riscv-test-suite/rv64i_m/privilege/Makefrag | 4 +++- 2 files changed, 6 insertions(+), 2 deletions(-) diff --git a/pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh index 4325f3539..4a78455ea 100644 --- a/pipelined/testbench/tests.vh +++ b/pipelined/testbench/tests.vh @@ -1487,7 +1487,9 @@ string imperas32f[] = '{ "rv64i_m/privilege/WALLY-MMU-SV48", "30A0", "rv64i_m/privilege/WALLY-PMP", "30A0", "rv64i_m/privilege/WALLY-PMA", "30A0", - "rv64i_m/privilege/WALLY-minfo-01", "30A0" + "rv64i_m/privilege/WALLY-minfo-01", "30A0", + "rv64i_m/privilege/WALLY-CSR-permission-s-01", "40A0", + "rv64i_m/privilege/WALLY-CSR-permission-u-01", "40A0" }; string wally64periph[] = '{ diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/Makefrag index aa30cdc79..e8c000283 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/Makefrag @@ -31,7 +31,9 @@ rv64i_sc_tests = \ WALLY-MMU-SV39 \ WALLY-MMU-SV48 \ WALLY-PMP \ - WALLY-minfo-01 + WALLY-minfo-01 \ + WALLY-CSR-permission-s-01 \ + WALLY-CSR-permission-u-01 target_tests_nosim = WALLY-PMA \ From 2ef5f2612f0bdabdbde6012b950ce3010fe2ef0f Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 19:48:23 +0000 Subject: [PATCH 064/100] fixed verify step to work correctly with comments. clarified copy references without simulating --- tests/wally-riscv-arch-test/riscv-test-env/verify.sh | 4 ++-- tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include | 3 ++- 2 files changed, 4 insertions(+), 3 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-env/verify.sh b/tests/wally-riscv-arch-test/riscv-test-env/verify.sh index c01fd329c..543854982 100755 --- a/tests/wally-riscv-arch-test/riscv-test-env/verify.sh +++ b/tests/wally-riscv-arch-test/riscv-test-env/verify.sh @@ -28,8 +28,8 @@ do echo -e "Check $(printf %-24s ${stub}) \e[33m ... IGNORE \e[39m" continue fi - # KMG: added snippet to ignore comments in reference file - diff -I '#*' -I '//*' --ignore-case --strip-trailing-cr ${ref} ${sig} &> /dev/null + # KMG: changed diff snippet to a grep that will strip comments with '//' and '#' out of the reference file + diff --ignore-case --ignore-trailing-space --strip-trailing-cr <(grep -o '^[^//#]*' ${ref}) ${sig} &> /dev/null if [ $? == 0 ] then echo -e "\e[32m ... OK \e[39m" diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include b/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include index 2bea49060..ae84be741 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include +++ b/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include @@ -63,7 +63,8 @@ copy: $(info !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!) $(info <<<<<<<<<<<<<<<<<<<<<<<<<<<< COPYING REFERENCES WITHOUT SIMULATING >>>>>>>>>>>>>>>>>>>>>>>>>>>>) $(info !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!) - $(V) echo "Copying References without simulating" + $(V) echo "Copying References without simulating for the following tests:" + $(V) echo $(target_tests_nosim) $(V) for test in $(target_tests_nosim); do grep -o '^[^//#]*' $(ref_dir)/$$test.reference_output > $(work_dir_isa)/$$test.signature.output; done compile: $(combined_elf) From 347e9228f8c913689b6d1e9a7766f1b85f549c11 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Sun, 6 Feb 2022 21:39:38 -0600 Subject: [PATCH 065/100] started cachefsm cleanup. --- pipelined/src/cache/cachefsm.sv | 32 +++++++++++++------------------- 1 file changed, 13 insertions(+), 19 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 75bcb2663..b378760d7 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -124,7 +124,6 @@ module cachefsm // next state logic and some state ouputs. // *** Ross simplify: factor out next state and output logic always_comb begin - CacheStall = 1'b0; PreSelAdr = 2'b00; SetValid = 1'b0; ClearValid = 1'b0; @@ -148,7 +147,6 @@ module cachefsm case (CurrState) STATE_READY: begin - CacheStall = 1'b0; PreSelAdr = 2'b00; SRAMWordWriteEnable = 1'b0; SetDirty = 1'b0; @@ -171,13 +169,11 @@ module cachefsm NextState = STATE_FLUSH; FlushAdrCntRst = 1'b1; FlushWayCntRst = 1'b1; - CacheStall = 1'b1; end // amo hit else if(Atomic[1] & (&RW) & CacheHit) begin PreSelAdr = 2'b01; - CacheStall = 1'b0; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; @@ -193,7 +189,6 @@ module cachefsm end // read hit valid cached else if(RW[1] & CacheHit) begin - CacheStall = 1'b0; LRUWriteEn = 1'b1; if(CPUBusy) begin @@ -208,7 +203,6 @@ module cachefsm // write hit valid cached else if (RW[0] & CacheHit) begin PreSelAdr = 2'b01; - CacheStall = 1'b0; SRAMWordWriteEnable = 1'b1; SetDirty = 1'b1; LRUWriteEn = 1'b1; @@ -225,14 +219,12 @@ module cachefsm // read or write miss valid cached else if((|RW) & ~CacheHit) begin NextState = STATE_MISS_FETCH_WDV; - CacheStall = 1'b1; CacheFetchLine = 1'b1; end else NextState = STATE_READY; end STATE_MISS_FETCH_WDV: begin - CacheStall = 1'b1; PreSelAdr = 2'b01; if (CacheBusAck) begin @@ -243,7 +235,6 @@ module cachefsm end STATE_MISS_FETCH_DONE: begin - CacheStall = 1'b1; PreSelAdr = 2'b01; if(VictimDirty) begin NextState = STATE_MISS_EVICT_DIRTY; @@ -255,7 +246,6 @@ module cachefsm STATE_MISS_WRITE_CACHE_LINE: begin SRAMLineWriteEnable = 1'b1; - CacheStall = 1'b1; NextState = STATE_MISS_READ_WORD; PreSelAdr = 2'b01; SetValid = 1'b1; @@ -265,7 +255,6 @@ module cachefsm STATE_MISS_READ_WORD: begin PreSelAdr = 2'b01; - CacheStall = 1'b1; if (RW[0] & ~Atomic[1]) begin // handles stores and amo write. NextState = STATE_MISS_WRITE_WORD; end else begin @@ -320,7 +309,6 @@ module cachefsm end STATE_MISS_EVICT_DIRTY: begin - CacheStall = 1'b1; PreSelAdr = 2'b01; SelEvict = 1'b1; if(CacheBusAck) begin @@ -363,13 +351,11 @@ module cachefsm STATE_FLUSH: begin // intialize flush counters SelFlush = 1'b1; - CacheStall = 1'b1; PreSelAdr = 2'b10; NextState = STATE_FLUSH_CHECK; end STATE_FLUSH_CHECK: begin - CacheStall = 1'b1; PreSelAdr = 2'b10; SelFlush = 1'b1; if(VictimDirty) begin @@ -378,7 +364,6 @@ module cachefsm CacheWriteLine = 1'b1; end else if (FlushAdrFlag & FlushWayFlag) begin NextState = STATE_READY; - CacheStall = 1'b0; PreSelAdr = 2'b00; FlushWayCntEn = 1'b0; end else if(FlushWayFlag) begin @@ -393,7 +378,6 @@ module cachefsm end STATE_FLUSH_INCR: begin - CacheStall = 1'b1; PreSelAdr = 2'b10; SelFlush = 1'b1; FlushWayCntRst = 1'b1; @@ -401,7 +385,6 @@ module cachefsm end STATE_FLUSH_WRITE_BACK: begin - CacheStall = 1'b1; PreSelAdr = 2'b10; SelFlush = 1'b1; if(CacheBusAck) begin @@ -412,7 +395,6 @@ module cachefsm end STATE_FLUSH_CLEAR_DIRTY: begin - CacheStall = 1'b1; ClearDirty = 1'b1; VDWriteEnable = 1'b1; SelFlush = 1'b1; @@ -420,7 +402,6 @@ module cachefsm FlushWayCntEn = 1'b0; if(FlushAdrFlag & FlushWayFlag) begin NextState = STATE_READY; - CacheStall = 1'b0; PreSelAdr = 2'b00; end else if (FlushWayFlag) begin NextState = STATE_FLUSH_INCR; @@ -440,6 +421,19 @@ module cachefsm end assign CacheCommitted = CurrState != STATE_READY; + assign CacheStall = (CurrState == STATE_READY & (FlushCache | (|RW & ~CacheHit)) & ~IgnoreRequest) | + + (CurrState == STATE_MISS_FETCH_WDV) | + (CurrState == STATE_MISS_FETCH_DONE) | + (CurrState == STATE_MISS_WRITE_CACHE_LINE) | + (CurrState == STATE_MISS_READ_WORD) | + (CurrState == STATE_MISS_EVICT_DIRTY) | + (CurrState == STATE_FLUSH) | + (CurrState == STATE_FLUSH_CHECK & ~(FlushAdrFlag & FlushWayFlag)) | + (CurrState == STATE_FLUSH_INCR) | + (CurrState == STATE_FLUSH_WRITE_BACK) | + (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag)); + endmodule // cachefsm From 8bcaadda6b5e44e154179efb95090d13164eb993 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Sun, 6 Feb 2022 21:50:44 -0600 Subject: [PATCH 066/100] More cachefsm cleanup. --- pipelined/src/cache/cachefsm.sv | 28 ++++++++++++---------------- 1 file changed, 12 insertions(+), 16 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index b378760d7..047505504 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -125,10 +125,6 @@ module cachefsm // *** Ross simplify: factor out next state and output logic always_comb begin PreSelAdr = 2'b00; - SetValid = 1'b0; - ClearValid = 1'b0; - SetDirty = 1'b0; - ClearDirty = 1'b0; SRAMWordWriteEnable = 1'b0; SRAMLineWriteEnable = 1'b0; SelEvict = 1'b0; @@ -149,7 +145,6 @@ module cachefsm PreSelAdr = 2'b00; SRAMWordWriteEnable = 1'b0; - SetDirty = 1'b0; LRUWriteEn = 1'b0; // TLB Miss @@ -182,7 +177,6 @@ module cachefsm end else begin SRAMWordWriteEnable = 1'b1; - SetDirty = 1'b1; LRUWriteEn = 1'b1; NextState = STATE_READY; end @@ -204,7 +198,6 @@ module cachefsm else if (RW[0] & CacheHit) begin PreSelAdr = 2'b01; SRAMWordWriteEnable = 1'b1; - SetDirty = 1'b1; LRUWriteEn = 1'b1; if(CPUBusy) begin @@ -248,8 +241,6 @@ module cachefsm SRAMLineWriteEnable = 1'b1; NextState = STATE_MISS_READ_WORD; PreSelAdr = 2'b01; - SetValid = 1'b1; - ClearDirty = 1'b1; //LRUWriteEn = 1'b1; // DO not update LRU on SRAM fetch update. Wait for subsequent read/write end @@ -266,7 +257,6 @@ module cachefsm STATE_MISS_READ_WORD_DELAY: begin SRAMWordWriteEnable = 1'b0; - SetDirty = 1'b0; LRUWriteEn = 1'b0; if(&RW & Atomic[1]) begin // amo write PreSelAdr = 2'b01; @@ -276,7 +266,6 @@ module cachefsm end else begin SRAMWordWriteEnable = 1'b1; - SetDirty = 1'b1; LRUWriteEn = 1'b1; NextState = STATE_READY; end @@ -295,7 +284,6 @@ module cachefsm STATE_MISS_WRITE_WORD: begin SRAMWordWriteEnable = 1'b1; - SetDirty = 1'b1; PreSelAdr = 2'b01; LRUWriteEn = 1'b1; if(CPUBusy) begin @@ -334,7 +322,6 @@ module cachefsm STATE_CPU_BUSY_FINISH_AMO: begin PreSelAdr = 2'b01; SRAMWordWriteEnable = 1'b0; - SetDirty = 1'b0; LRUWriteEn = 1'b0; restore = 1'b1; if(CPUBusy) begin @@ -342,7 +329,6 @@ module cachefsm end else begin SRAMWordWriteEnable = 1'b1; - SetDirty = 1'b1; LRUWriteEn = 1'b1; NextState = STATE_READY; end @@ -395,7 +381,6 @@ module cachefsm end STATE_FLUSH_CLEAR_DIRTY: begin - ClearDirty = 1'b1; VDWriteEnable = 1'b1; SelFlush = 1'b1; PreSelAdr = 2'b10; @@ -421,8 +406,8 @@ module cachefsm end assign CacheCommitted = CurrState != STATE_READY; + // *** stall missing check on amo miss? assign CacheStall = (CurrState == STATE_READY & (FlushCache | (|RW & ~CacheHit)) & ~IgnoreRequest) | - (CurrState == STATE_MISS_FETCH_WDV) | (CurrState == STATE_MISS_FETCH_DONE) | (CurrState == STATE_MISS_WRITE_CACHE_LINE) | @@ -433,6 +418,17 @@ module cachefsm (CurrState == STATE_FLUSH_INCR) | (CurrState == STATE_FLUSH_WRITE_BACK) | (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag)); + assign SetValid = CurrState == STATE_MISS_WRITE_CACHE_LINE; + assign ClearValid = '0; + // *** setdirty can probably be simplified by not caring about cpubusy + assign SetDirty = (CurrState == STATE_READY & Atomic[1] & (&RW) & CacheHit & ~CPUBusy & ~IgnoreRequest) | + (CurrState == STATE_READY & RW[0] & CacheHit & ~IgnoreRequest) | + (CurrState == STATE_MISS_READ_WORD_DELAY & &RW & Atomic[1] & ~CPUBusy) | + (CurrState == STATE_MISS_WRITE_WORD) | + (CurrState == STATE_CPU_BUSY_FINISH_AMO & ~CPUBusy); + assign ClearDirty = (CurrState == STATE_MISS_WRITE_CACHE_LINE) | + (CurrState == STATE_FLUSH_CLEAR_DIRTY); + endmodule // cachefsm From c21eb67a072dff783364f339e170c4ba6060c630 Mon Sep 17 00:00:00 2001 From: David Harris Date: Mon, 7 Feb 2022 14:43:24 +0000 Subject: [PATCH 067/100] Cache syntax cleanup --- pipelined/src/cache/cache.sv | 1 + 1 file changed, 1 insertion(+) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index e554fa999..299db18f8 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -180,6 +180,7 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( mux3 #(`PA_BITS) CacheBusAdrMux(.d0({PAdr[`PA_BITS-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), .d1({VictimTag, PAdr[SETTOP-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), .d2({VictimTag, FlushAdr, {{OFFSETLEN}{1'b0}}}), + .d2({VictimTag, FlushAdr, OFFSETLEN'b0}), .s({SelFlush, SelEvict}), .y(CacheBusAdr)); From 60c3cdad3aad1610c1850cafc78a8f6764df6cbd Mon Sep 17 00:00:00 2001 From: David Harris Date: Mon, 7 Feb 2022 14:47:20 +0000 Subject: [PATCH 068/100] Reverted cache change --- pipelined/src/cache/cache.sv | 1 - 1 file changed, 1 deletion(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 299db18f8..e554fa999 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -180,7 +180,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( mux3 #(`PA_BITS) CacheBusAdrMux(.d0({PAdr[`PA_BITS-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), .d1({VictimTag, PAdr[SETTOP-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), .d2({VictimTag, FlushAdr, {{OFFSETLEN}{1'b0}}}), - .d2({VictimTag, FlushAdr, OFFSETLEN'b0}), .s({SelFlush, SelEvict}), .y(CacheBusAdr)); From 6f4a321d311c68ac794b46d0e27a151b251dc5db Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 10:33:50 -0600 Subject: [PATCH 069/100] More cachfsm cleanup. --- pipelined/src/cache/cachefsm.sv | 54 ++++++++++++++++++--------------- 1 file changed, 29 insertions(+), 25 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 047505504..265255bf5 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -83,6 +83,10 @@ module cachefsm logic AnyCPUReqM; logic [1:0] PreSelAdr; logic resetDelay; + logic DoAMO, DoRead, DoWrite, DoFlush; + logic DoAMOHit, DoReadHit, DoWriteHit; + logic DoAMOMiss, DoReadMiss, DoWriteMiss; + typedef enum {STATE_READY, @@ -105,11 +109,22 @@ module cachefsm (* mark_debug = "true" *) statetype CurrState, NextState; - assign AnyCPUReqM = |RW | (|Atomic); + assign DoFlush = FlushCache & ~IgnoreRequest; + assign DoAMO = Atomic[1] & (&RW) & ~IgnoreRequest; + assign DoAMOHit = DoAMO & CacheHit; + assign DoAMOMiss = DoAMOHit & ~CacheHit; + assign DoRead = RW[1] & ~IgnoreRequest; + assign DoReadHit = DoRead & CacheHit; + assign DoReadMiss = DoRead & ~CacheHit; + assign DoWrite = RW[0] & ~IgnoreRequest; + assign DoWriteHit = DoWrite & CacheHit; + assign DoWriteMiss = DoWrite & ~CacheHit; + + //assign AnyCPUReqM = |RW | (|Atomic); **** remove // outputs for the performance counters. - assign CacheAccess = AnyCPUReqM & CurrState == STATE_READY; - assign CacheMiss = CacheAccess & ~CacheHit; + assign CacheAccess = (DoAMO | DoRead | DoWrite) & CurrState == STATE_READY; + assign CacheMiss = CacheAccess & ~CacheHit; // special case on reset. When the fsm first exists reset the // PCNextF will no longer be pointing to the correct address. @@ -125,9 +140,6 @@ module cachefsm // *** Ross simplify: factor out next state and output logic always_comb begin PreSelAdr = 2'b00; - SRAMWordWriteEnable = 1'b0; - SRAMLineWriteEnable = 1'b0; - SelEvict = 1'b0; LRUWriteEn = 1'b0; SelFlush = 1'b0; FlushAdrCntEn = 1'b0; @@ -144,7 +156,6 @@ module cachefsm STATE_READY: begin PreSelAdr = 2'b00; - SRAMWordWriteEnable = 1'b0; LRUWriteEn = 1'b0; // TLB Miss @@ -169,6 +180,7 @@ module cachefsm // amo hit else if(Atomic[1] & (&RW) & CacheHit) begin PreSelAdr = 2'b01; + LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; @@ -176,8 +188,6 @@ module cachefsm else save = 1'b1; end else begin - SRAMWordWriteEnable = 1'b1; - LRUWriteEn = 1'b1; NextState = STATE_READY; end end @@ -197,7 +207,6 @@ module cachefsm // write hit valid cached else if (RW[0] & CacheHit) begin PreSelAdr = 2'b01; - SRAMWordWriteEnable = 1'b1; LRUWriteEn = 1'b1; if(CPUBusy) begin @@ -238,7 +247,6 @@ module cachefsm end STATE_MISS_WRITE_CACHE_LINE: begin - SRAMLineWriteEnable = 1'b1; NextState = STATE_MISS_READ_WORD; PreSelAdr = 2'b01; //LRUWriteEn = 1'b1; // DO not update LRU on SRAM fetch update. Wait for subsequent read/write @@ -256,7 +264,6 @@ module cachefsm end STATE_MISS_READ_WORD_DELAY: begin - SRAMWordWriteEnable = 1'b0; LRUWriteEn = 1'b0; if(&RW & Atomic[1]) begin // amo write PreSelAdr = 2'b01; @@ -265,7 +272,6 @@ module cachefsm if(~`REPLAY) save = 1'b1; end else begin - SRAMWordWriteEnable = 1'b1; LRUWriteEn = 1'b1; NextState = STATE_READY; end @@ -283,7 +289,6 @@ module cachefsm end STATE_MISS_WRITE_WORD: begin - SRAMWordWriteEnable = 1'b1; PreSelAdr = 2'b01; LRUWriteEn = 1'b1; if(CPUBusy) begin @@ -298,7 +303,6 @@ module cachefsm STATE_MISS_EVICT_DIRTY: begin PreSelAdr = 2'b01; - SelEvict = 1'b1; if(CacheBusAck) begin NextState = STATE_MISS_WRITE_CACHE_LINE; end else begin @@ -321,14 +325,12 @@ module cachefsm STATE_CPU_BUSY_FINISH_AMO: begin PreSelAdr = 2'b01; - SRAMWordWriteEnable = 1'b0; LRUWriteEn = 1'b0; restore = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; end else begin - SRAMWordWriteEnable = 1'b1; LRUWriteEn = 1'b1; NextState = STATE_READY; end @@ -407,7 +409,7 @@ module cachefsm assign CacheCommitted = CurrState != STATE_READY; // *** stall missing check on amo miss? - assign CacheStall = (CurrState == STATE_READY & (FlushCache | (|RW & ~CacheHit)) & ~IgnoreRequest) | + assign CacheStall = (CurrState == STATE_READY & (DoFlush | DoAMOMiss | DoReadMiss | DoWriteMiss)) | (CurrState == STATE_MISS_FETCH_WDV) | (CurrState == STATE_MISS_FETCH_DONE) | (CurrState == STATE_MISS_WRITE_CACHE_LINE) | @@ -421,15 +423,17 @@ module cachefsm assign SetValid = CurrState == STATE_MISS_WRITE_CACHE_LINE; assign ClearValid = '0; // *** setdirty can probably be simplified by not caring about cpubusy - assign SetDirty = (CurrState == STATE_READY & Atomic[1] & (&RW) & CacheHit & ~CPUBusy & ~IgnoreRequest) | - (CurrState == STATE_READY & RW[0] & CacheHit & ~IgnoreRequest) | - (CurrState == STATE_MISS_READ_WORD_DELAY & &RW & Atomic[1] & ~CPUBusy) | - (CurrState == STATE_MISS_WRITE_WORD) | - (CurrState == STATE_CPU_BUSY_FINISH_AMO & ~CPUBusy); + assign SetDirty = (CurrState == STATE_READY & DoAMO) | + (CurrState == STATE_READY & DoWrite) | + (CurrState == STATE_MISS_READ_WORD_DELAY & DoAMO) | + (CurrState == STATE_MISS_WRITE_WORD); assign ClearDirty = (CurrState == STATE_MISS_WRITE_CACHE_LINE) | (CurrState == STATE_FLUSH_CLEAR_DIRTY); + assign SRAMWordWriteEnable = (CurrState == STATE_READY & (DoAMOHit | DoWriteHit)) | + (CurrState == STATE_MISS_READ_WORD_DELAY & DoAMO) | + (CurrState == STATE_MISS_WRITE_WORD); + assign SRAMLineWriteEnable = (CurrState == STATE_MISS_WRITE_CACHE_LINE); + assign SelEvict = (CurrState == STATE_MISS_EVICT_DIRTY); - - endmodule // cachefsm From b89ce18473ce02ad2ad9c190ca78217d932f1602 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 10:43:58 -0600 Subject: [PATCH 070/100] Cache cleanup. --- pipelined/src/cache/cachefsm.sv | 36 ++++++++++++++------------------- 1 file changed, 15 insertions(+), 21 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 265255bf5..6093b34d7 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -140,9 +140,7 @@ module cachefsm // *** Ross simplify: factor out next state and output logic always_comb begin PreSelAdr = 2'b00; - LRUWriteEn = 1'b0; - SelFlush = 1'b0; - FlushAdrCntEn = 1'b0; + //SelFlush = 1'b0; FlushWayCntEn = 1'b0; FlushAdrCntRst = 1'b0; FlushWayCntRst = 1'b0; @@ -156,7 +154,6 @@ module cachefsm STATE_READY: begin PreSelAdr = 2'b00; - LRUWriteEn = 1'b0; // TLB Miss if(IgnoreRequest) begin @@ -180,7 +177,6 @@ module cachefsm // amo hit else if(Atomic[1] & (&RW) & CacheHit) begin PreSelAdr = 2'b01; - LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; @@ -193,7 +189,6 @@ module cachefsm end // read hit valid cached else if(RW[1] & CacheHit) begin - LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; @@ -207,7 +202,6 @@ module cachefsm // write hit valid cached else if (RW[0] & CacheHit) begin PreSelAdr = 2'b01; - LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; @@ -264,7 +258,6 @@ module cachefsm end STATE_MISS_READ_WORD_DELAY: begin - LRUWriteEn = 1'b0; if(&RW & Atomic[1]) begin // amo write PreSelAdr = 2'b01; if(CPUBusy) begin @@ -272,11 +265,9 @@ module cachefsm if(~`REPLAY) save = 1'b1; end else begin - LRUWriteEn = 1'b1; NextState = STATE_READY; end end else begin - LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; if(`REPLAY) PreSelAdr = 2'b01; @@ -290,7 +281,6 @@ module cachefsm STATE_MISS_WRITE_WORD: begin PreSelAdr = 2'b01; - LRUWriteEn = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY; if(`REPLAY) PreSelAdr = 2'b01; @@ -325,27 +315,25 @@ module cachefsm STATE_CPU_BUSY_FINISH_AMO: begin PreSelAdr = 2'b01; - LRUWriteEn = 1'b0; restore = 1'b1; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; end else begin - LRUWriteEn = 1'b1; NextState = STATE_READY; end end STATE_FLUSH: begin // intialize flush counters - SelFlush = 1'b1; + //SelFlush = 1'b1; PreSelAdr = 2'b10; NextState = STATE_FLUSH_CHECK; end STATE_FLUSH_CHECK: begin PreSelAdr = 2'b10; - SelFlush = 1'b1; + //SelFlush = 1'b1; if(VictimDirty) begin NextState = STATE_FLUSH_WRITE_BACK; FlushWayCntEn = 1'b0; @@ -356,8 +344,6 @@ module cachefsm FlushWayCntEn = 1'b0; end else if(FlushWayFlag) begin NextState = STATE_FLUSH_INCR; - FlushAdrCntEn = 1'b1; - FlushWayCntEn = 1'b1; end else begin FlushWayCntEn = 1'b1; @@ -367,14 +353,14 @@ module cachefsm STATE_FLUSH_INCR: begin PreSelAdr = 2'b10; - SelFlush = 1'b1; + //SelFlush = 1'b1; FlushWayCntRst = 1'b1; NextState = STATE_FLUSH_CHECK; end STATE_FLUSH_WRITE_BACK: begin PreSelAdr = 2'b10; - SelFlush = 1'b1; + //SelFlush = 1'b1; if(CacheBusAck) begin NextState = STATE_FLUSH_CLEAR_DIRTY; end else begin @@ -384,7 +370,7 @@ module cachefsm STATE_FLUSH_CLEAR_DIRTY: begin VDWriteEnable = 1'b1; - SelFlush = 1'b1; + //SelFlush = 1'b1; PreSelAdr = 2'b10; FlushWayCntEn = 1'b0; if(FlushAdrFlag & FlushWayFlag) begin @@ -392,7 +378,6 @@ module cachefsm PreSelAdr = 2'b00; end else if (FlushWayFlag) begin NextState = STATE_FLUSH_INCR; - FlushAdrCntEn = 1'b1; FlushWayCntEn = 1'b1; end else begin @@ -434,6 +419,15 @@ module cachefsm (CurrState == STATE_MISS_WRITE_WORD); assign SRAMLineWriteEnable = (CurrState == STATE_MISS_WRITE_CACHE_LINE); assign SelEvict = (CurrState == STATE_MISS_EVICT_DIRTY); + assign LRUWriteEn = (CurrState == STATE_READY & (DoAMOHit | DoReadHit | DoWriteHit)) | + (CurrState == STATE_MISS_READ_WORD_DELAY) | + (CurrState == STATE_MISS_WRITE_WORD); + assign SelFlush = (CurrState == STATE_FLUSH) | (CurrState == STATE_FLUSH_CHECK) | + (CurrState == STATE_FLUSH_INCR) | (CurrState == STATE_FLUSH_WRITE_BACK) | + (CurrState == STATE_FLUSH_CLEAR_DIRTY); + assign FlushAdrCntEn = (CurrState == STATE_FLUSH_CHECK & VictimDirty & FlushWayFlag & ~FlushAdrFlag) | + (CurrState == STATE_FLUSH_CLEAR_DIRTY & FlushWayFlag & ~FlushAdrFlag); + endmodule // cachefsm From f1781c6bc8be156d891dd49265f639d805ebd717 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 10:54:22 -0600 Subject: [PATCH 071/100] More cachefsm cleanup. --- pipelined/src/cache/cachefsm.sv | 28 +++++++--------------------- 1 file changed, 7 insertions(+), 21 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 6093b34d7..7b82b0b47 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -140,11 +140,7 @@ module cachefsm // *** Ross simplify: factor out next state and output logic always_comb begin PreSelAdr = 2'b00; - //SelFlush = 1'b0; - FlushWayCntEn = 1'b0; - FlushAdrCntRst = 1'b0; - FlushWayCntRst = 1'b0; - VDWriteEnable = 1'b0; + //VDWriteEnable = 1'b0; NextState = STATE_READY; CacheFetchLine = 1'b0; CacheWriteLine = 1'b0; @@ -170,8 +166,6 @@ module cachefsm // Flush dcache to next level of memory else if(FlushCache) begin NextState = STATE_FLUSH; - FlushAdrCntRst = 1'b1; - FlushWayCntRst = 1'b1; end // amo hit @@ -326,41 +320,32 @@ module cachefsm STATE_FLUSH: begin // intialize flush counters - //SelFlush = 1'b1; PreSelAdr = 2'b10; NextState = STATE_FLUSH_CHECK; end STATE_FLUSH_CHECK: begin PreSelAdr = 2'b10; - //SelFlush = 1'b1; if(VictimDirty) begin NextState = STATE_FLUSH_WRITE_BACK; - FlushWayCntEn = 1'b0; CacheWriteLine = 1'b1; end else if (FlushAdrFlag & FlushWayFlag) begin NextState = STATE_READY; PreSelAdr = 2'b00; - FlushWayCntEn = 1'b0; end else if(FlushWayFlag) begin NextState = STATE_FLUSH_INCR; - FlushWayCntEn = 1'b1; end else begin - FlushWayCntEn = 1'b1; NextState = STATE_FLUSH_CHECK; end end STATE_FLUSH_INCR: begin PreSelAdr = 2'b10; - //SelFlush = 1'b1; - FlushWayCntRst = 1'b1; NextState = STATE_FLUSH_CHECK; end STATE_FLUSH_WRITE_BACK: begin PreSelAdr = 2'b10; - //SelFlush = 1'b1; if(CacheBusAck) begin NextState = STATE_FLUSH_CLEAR_DIRTY; end else begin @@ -369,20 +354,16 @@ module cachefsm end STATE_FLUSH_CLEAR_DIRTY: begin - VDWriteEnable = 1'b1; - //SelFlush = 1'b1; + //VDWriteEnable = 1'b1; PreSelAdr = 2'b10; - FlushWayCntEn = 1'b0; if(FlushAdrFlag & FlushWayFlag) begin NextState = STATE_READY; PreSelAdr = 2'b00; end else if (FlushWayFlag) begin NextState = STATE_FLUSH_INCR; - FlushWayCntEn = 1'b1; end else begin NextState = STATE_FLUSH_CHECK; - FlushWayCntEn = 1'b1; end end @@ -427,6 +408,11 @@ module cachefsm (CurrState == STATE_FLUSH_CLEAR_DIRTY); assign FlushAdrCntEn = (CurrState == STATE_FLUSH_CHECK & VictimDirty & FlushWayFlag & ~FlushAdrFlag) | (CurrState == STATE_FLUSH_CLEAR_DIRTY & FlushWayFlag & ~FlushAdrFlag); + assign FlushWayCntEn = (CurrState == STATE_FLUSH_CHECK & VictimDirty & ~(FlushAdrFlag & FlushWayFlag)) | + (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag)); + assign FlushAdrCntRst = (CurrState == STATE_READY & DoFlush); + assign FlushWayCntRst = (CurrState == STATE_READY & DoFlush) | (CurrState == STATE_FLUSH_INCR); + assign VDWriteEnable = (CurrState == STATE_FLUSH_CLEAR_DIRTY); endmodule // cachefsm From be67c4d559f05854979a23aaf236032500075643 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 11:12:28 -0600 Subject: [PATCH 072/100] More cachefsm cleanup. --- pipelined/src/cache/cachefsm.sv | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 7b82b0b47..5dfeed620 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -406,9 +406,9 @@ module cachefsm assign SelFlush = (CurrState == STATE_FLUSH) | (CurrState == STATE_FLUSH_CHECK) | (CurrState == STATE_FLUSH_INCR) | (CurrState == STATE_FLUSH_WRITE_BACK) | (CurrState == STATE_FLUSH_CLEAR_DIRTY); - assign FlushAdrCntEn = (CurrState == STATE_FLUSH_CHECK & VictimDirty & FlushWayFlag & ~FlushAdrFlag) | + assign FlushAdrCntEn = (CurrState == STATE_FLUSH_CHECK & ~VictimDirty & FlushWayFlag & ~FlushAdrFlag) | (CurrState == STATE_FLUSH_CLEAR_DIRTY & FlushWayFlag & ~FlushAdrFlag); - assign FlushWayCntEn = (CurrState == STATE_FLUSH_CHECK & VictimDirty & ~(FlushAdrFlag & FlushWayFlag)) | + assign FlushWayCntEn = (CurrState == STATE_FLUSH_CHECK & ~VictimDirty & ~(FlushAdrFlag & FlushWayFlag)) | (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag)); assign FlushAdrCntRst = (CurrState == STATE_READY & DoFlush); assign FlushWayCntRst = (CurrState == STATE_READY & DoFlush) | (CurrState == STATE_FLUSH_INCR); From 7f732eb57153f69b9a29c73df3014c8a6956484d Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 11:16:20 -0600 Subject: [PATCH 073/100] More cachefsm cleanup. --- pipelined/src/cache/cachefsm.sv | 11 ++++------- 1 file changed, 4 insertions(+), 7 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 5dfeed620..345db3a8a 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -140,10 +140,7 @@ module cachefsm // *** Ross simplify: factor out next state and output logic always_comb begin PreSelAdr = 2'b00; - //VDWriteEnable = 1'b0; NextState = STATE_READY; - CacheFetchLine = 1'b0; - CacheWriteLine = 1'b0; save = 1'b0; restore = 1'b0; case (CurrState) @@ -209,7 +206,6 @@ module cachefsm // read or write miss valid cached else if((|RW) & ~CacheHit) begin NextState = STATE_MISS_FETCH_WDV; - CacheFetchLine = 1'b1; end else NextState = STATE_READY; end @@ -228,7 +224,6 @@ module cachefsm PreSelAdr = 2'b01; if(VictimDirty) begin NextState = STATE_MISS_EVICT_DIRTY; - CacheWriteLine = 1'b1; end else begin NextState = STATE_MISS_WRITE_CACHE_LINE; end @@ -328,7 +323,6 @@ module cachefsm PreSelAdr = 2'b10; if(VictimDirty) begin NextState = STATE_FLUSH_WRITE_BACK; - CacheWriteLine = 1'b1; end else if (FlushAdrFlag & FlushWayFlag) begin NextState = STATE_READY; PreSelAdr = 2'b00; @@ -354,7 +348,6 @@ module cachefsm end STATE_FLUSH_CLEAR_DIRTY: begin - //VDWriteEnable = 1'b1; PreSelAdr = 2'b10; if(FlushAdrFlag & FlushWayFlag) begin NextState = STATE_READY; @@ -413,7 +406,11 @@ module cachefsm assign FlushAdrCntRst = (CurrState == STATE_READY & DoFlush); assign FlushWayCntRst = (CurrState == STATE_READY & DoFlush) | (CurrState == STATE_FLUSH_INCR); assign VDWriteEnable = (CurrState == STATE_FLUSH_CLEAR_DIRTY); + assign CacheFetchLine = (CurrState == STATE_READY & (DoAMOMiss | DoWriteMiss | DoReadMiss)); + assign CacheWriteLine = (CurrState == STATE_MISS_FETCH_DONE & VictimDirty) | + (CurrState == STATE_FLUSH_CHECK & VictimDirty); + endmodule // cachefsm From a6a7779ec06b66b54c2ddf9c7fca4fc024ebf79d Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 12:30:27 -0600 Subject: [PATCH 074/100] More cachefsm cleanup. --- pipelined/src/cache/cachefsm.sv | 93 ++++++++++++++++++++------------- 1 file changed, 56 insertions(+), 37 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 345db3a8a..274159762 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -139,14 +139,12 @@ module cachefsm // next state logic and some state ouputs. // *** Ross simplify: factor out next state and output logic always_comb begin - PreSelAdr = 2'b00; + //PreSelAdr = 2'b00; NextState = STATE_READY; - save = 1'b0; - restore = 1'b0; case (CurrState) STATE_READY: begin - PreSelAdr = 2'b00; + //PreSelAdr = 2'b00; // TLB Miss if(IgnoreRequest) begin @@ -156,7 +154,7 @@ module cachefsm // PTW ready the CPU will stall. // The page table walker asserts it's control 1 cycle // after the TLBs miss. - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; NextState = STATE_READY; end @@ -167,12 +165,12 @@ module cachefsm // amo hit else if(Atomic[1] & (&RW) & CacheHit) begin - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; - if (`REPLAY) PreSelAdr = 2'b01; - else save = 1'b1; + //if (`REPLAY) PreSelAdr = 2'b01; + //else save = 1'b1; end else begin NextState = STATE_READY; @@ -183,8 +181,8 @@ module cachefsm if(CPUBusy) begin NextState = STATE_CPU_BUSY; - if(`REPLAY) PreSelAdr = 2'b01; - else save = 1'b1; + //if(`REPLAY) PreSelAdr = 2'b01; + //else save = 1'b1; end else begin NextState = STATE_READY; @@ -192,12 +190,12 @@ module cachefsm end // write hit valid cached else if (RW[0] & CacheHit) begin - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - if(`REPLAY) PreSelAdr = 2'b01; - else save = 1'b1; + //if(`REPLAY) PreSelAdr = 2'b01; + //else save = 1'b1; end else begin NextState = STATE_READY; @@ -211,7 +209,7 @@ module cachefsm end STATE_MISS_FETCH_WDV: begin - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; if (CacheBusAck) begin NextState = STATE_MISS_FETCH_DONE; @@ -221,7 +219,7 @@ module cachefsm end STATE_MISS_FETCH_DONE: begin - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; if(VictimDirty) begin NextState = STATE_MISS_EVICT_DIRTY; end else begin @@ -231,12 +229,12 @@ module cachefsm STATE_MISS_WRITE_CACHE_LINE: begin NextState = STATE_MISS_READ_WORD; - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; //LRUWriteEn = 1'b1; // DO not update LRU on SRAM fetch update. Wait for subsequent read/write end STATE_MISS_READ_WORD: begin - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; if (RW[0] & ~Atomic[1]) begin // handles stores and amo write. NextState = STATE_MISS_WRITE_WORD; end else begin @@ -248,10 +246,10 @@ module cachefsm STATE_MISS_READ_WORD_DELAY: begin if(&RW & Atomic[1]) begin // amo write - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; - if(~`REPLAY) save = 1'b1; + //if(~`REPLAY) save = 1'b1; end else begin NextState = STATE_READY; @@ -259,8 +257,8 @@ module cachefsm end else begin if(CPUBusy) begin NextState = STATE_CPU_BUSY; - if(`REPLAY) PreSelAdr = 2'b01; - else save = 1'b1; + //if(`REPLAY) PreSelAdr = 2'b01; + //else save = 1'b1; end else begin NextState = STATE_READY; @@ -269,11 +267,11 @@ module cachefsm end STATE_MISS_WRITE_WORD: begin - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - if(`REPLAY) PreSelAdr = 2'b01; - else save = 1'b1; + //if(`REPLAY) PreSelAdr = 2'b01; + //else save = 1'b1; end else begin NextState = STATE_READY; @@ -281,7 +279,7 @@ module cachefsm end STATE_MISS_EVICT_DIRTY: begin - PreSelAdr = 2'b01; + //PreSelAdr = 2'b01; if(CacheBusAck) begin NextState = STATE_MISS_WRITE_CACHE_LINE; end else begin @@ -291,11 +289,10 @@ module cachefsm STATE_CPU_BUSY: begin - PreSelAdr = 2'b00; - restore = 1'b1; + //PreSelAdr = 2'b00; if(CPUBusy) begin NextState = STATE_CPU_BUSY; - if(`REPLAY) PreSelAdr = 2'b01; + //if(`REPLAY) PreSelAdr = 2'b01; end else begin NextState = STATE_READY; @@ -303,8 +300,7 @@ module cachefsm end STATE_CPU_BUSY_FINISH_AMO: begin - PreSelAdr = 2'b01; - restore = 1'b1; + //PreSelAdr = 2'b01; if(CPUBusy) begin NextState = STATE_CPU_BUSY_FINISH_AMO; end @@ -315,17 +311,17 @@ module cachefsm STATE_FLUSH: begin // intialize flush counters - PreSelAdr = 2'b10; + //PreSelAdr = 2'b10; NextState = STATE_FLUSH_CHECK; end STATE_FLUSH_CHECK: begin - PreSelAdr = 2'b10; + //PreSelAdr = 2'b10; if(VictimDirty) begin NextState = STATE_FLUSH_WRITE_BACK; end else if (FlushAdrFlag & FlushWayFlag) begin NextState = STATE_READY; - PreSelAdr = 2'b00; + //PreSelAdr = 2'b00; end else if(FlushWayFlag) begin NextState = STATE_FLUSH_INCR; end else begin @@ -334,12 +330,12 @@ module cachefsm end STATE_FLUSH_INCR: begin - PreSelAdr = 2'b10; + //PreSelAdr = 2'b10; NextState = STATE_FLUSH_CHECK; end STATE_FLUSH_WRITE_BACK: begin - PreSelAdr = 2'b10; + //PreSelAdr = 2'b10; if(CacheBusAck) begin NextState = STATE_FLUSH_CLEAR_DIRTY; end else begin @@ -348,10 +344,10 @@ module cachefsm end STATE_FLUSH_CLEAR_DIRTY: begin - PreSelAdr = 2'b10; + //PreSelAdr = 2'b10; if(FlushAdrFlag & FlushWayFlag) begin NextState = STATE_READY; - PreSelAdr = 2'b00; + //PreSelAdr = 2'b00; end else if (FlushWayFlag) begin NextState = STATE_FLUSH_INCR; @@ -409,7 +405,30 @@ module cachefsm assign CacheFetchLine = (CurrState == STATE_READY & (DoAMOMiss | DoWriteMiss | DoReadMiss)); assign CacheWriteLine = (CurrState == STATE_MISS_FETCH_DONE & VictimDirty) | (CurrState == STATE_FLUSH_CHECK & VictimDirty); + assign restore = ((CurrState == STATE_CPU_BUSY) | (CurrState == STATE_CPU_BUSY_FINISH_AMO)) & ~`REPLAY; + assign save = ((CurrState == STATE_READY & (DoAMOHit | DoReadHit | DoWriteHit) & CPUBusy) | + (CurrState == STATE_MISS_READ_WORD_DELAY & (DoAMO | DoRead) & CPUBusy) | + (CurrState == STATE_MISS_WRITE_WORD & DoWrite & CPUBusy)) & ~`REPLAY; + assign PreSelAdr = ((CurrState == STATE_READY & IgnoreRequest) | + (CurrState == STATE_READY & DoAMOHit) | + (CurrState == STATE_READY & DoReadHit & (CPUBusy & `REPLAY)) | + (CurrState == STATE_READY & DoWriteHit) | + (CurrState == STATE_MISS_FETCH_WDV) | + (CurrState == STATE_MISS_FETCH_DONE) | + (CurrState == STATE_MISS_WRITE_CACHE_LINE) | + (CurrState == STATE_MISS_READ_WORD) | + (CurrState == STATE_MISS_READ_WORD_DELAY & (DoAMO | (CPUBusy & `REPLAY))) | + (CurrState == STATE_MISS_WRITE_WORD) | + (CurrState == STATE_MISS_EVICT_DIRTY) | + (CurrState == STATE_CPU_BUSY & (CPUBusy & `REPLAY)) | + (CurrState == STATE_CPU_BUSY_FINISH_AMO)) ? 2'b01 : + ((CurrState == STATE_FLUSH) | + (CurrState == STATE_FLUSH_CHECK & ~(VictimDirty & FlushAdrFlag & FlushWayFlag)) | + (CurrState == STATE_FLUSH_INCR) | + (CurrState == STATE_FLUSH_WRITE_BACK) | + (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag))) ? 2'b10 : + 2'b00; endmodule // cachefsm From e72d54ea98178a4a47736eacc960e851c42a715c Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 13:19:37 -0600 Subject: [PATCH 075/100] More cachefsm cleanup. --- pipelined/src/cache/cachefsm.sv | 262 +++++--------------------------- 1 file changed, 39 insertions(+), 223 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 274159762..18e962190 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -136,229 +136,45 @@ module cachefsm if (reset) CurrState <= #1 STATE_READY; else CurrState <= #1 NextState; - // next state logic and some state ouputs. - // *** Ross simplify: factor out next state and output logic always_comb begin - //PreSelAdr = 2'b00; NextState = STATE_READY; case (CurrState) - STATE_READY: begin - - //PreSelAdr = 2'b00; - - // TLB Miss - if(IgnoreRequest) begin - // the LSU arbiter has not yet selected the PTW. - // The CPU needs to be stalled until that happens. - // If we set CacheStall for 1 cycle before going to - // PTW ready the CPU will stall. - // The page table walker asserts it's control 1 cycle - // after the TLBs miss. - //PreSelAdr = 2'b01; - NextState = STATE_READY; - end - - // Flush dcache to next level of memory - else if(FlushCache) begin - NextState = STATE_FLUSH; - end - - // amo hit - else if(Atomic[1] & (&RW) & CacheHit) begin - //PreSelAdr = 2'b01; - - if(CPUBusy) begin - NextState = STATE_CPU_BUSY_FINISH_AMO; - //if (`REPLAY) PreSelAdr = 2'b01; - //else save = 1'b1; - end - else begin - NextState = STATE_READY; - end - end - // read hit valid cached - else if(RW[1] & CacheHit) begin - - if(CPUBusy) begin - NextState = STATE_CPU_BUSY; - //if(`REPLAY) PreSelAdr = 2'b01; - //else save = 1'b1; - end - else begin - NextState = STATE_READY; - end - end - // write hit valid cached - else if (RW[0] & CacheHit) begin - //PreSelAdr = 2'b01; - - if(CPUBusy) begin - NextState = STATE_CPU_BUSY; - //if(`REPLAY) PreSelAdr = 2'b01; - //else save = 1'b1; - end - else begin - NextState = STATE_READY; - end - end - // read or write miss valid cached - else if((|RW) & ~CacheHit) begin - NextState = STATE_MISS_FETCH_WDV; - end - else NextState = STATE_READY; - end - - STATE_MISS_FETCH_WDV: begin - //PreSelAdr = 2'b01; - - if (CacheBusAck) begin - NextState = STATE_MISS_FETCH_DONE; - end else begin - NextState = STATE_MISS_FETCH_WDV; - end - end - - STATE_MISS_FETCH_DONE: begin - //PreSelAdr = 2'b01; - if(VictimDirty) begin - NextState = STATE_MISS_EVICT_DIRTY; - end else begin - NextState = STATE_MISS_WRITE_CACHE_LINE; - end - end - - STATE_MISS_WRITE_CACHE_LINE: begin - NextState = STATE_MISS_READ_WORD; - //PreSelAdr = 2'b01; - //LRUWriteEn = 1'b1; // DO not update LRU on SRAM fetch update. Wait for subsequent read/write - end - - STATE_MISS_READ_WORD: begin - //PreSelAdr = 2'b01; - if (RW[0] & ~Atomic[1]) begin // handles stores and amo write. - NextState = STATE_MISS_WRITE_WORD; - end else begin - NextState = STATE_MISS_READ_WORD_DELAY; - // delay state is required as the read signal RW[1] is still high when we - // return to the ready state because the cache is stalling the cpu. - end - end - - STATE_MISS_READ_WORD_DELAY: begin - if(&RW & Atomic[1]) begin // amo write - //PreSelAdr = 2'b01; - if(CPUBusy) begin - NextState = STATE_CPU_BUSY_FINISH_AMO; - //if(~`REPLAY) save = 1'b1; - end - else begin - NextState = STATE_READY; - end - end else begin - if(CPUBusy) begin - NextState = STATE_CPU_BUSY; - //if(`REPLAY) PreSelAdr = 2'b01; - //else save = 1'b1; - end - else begin - NextState = STATE_READY; - end - end - end - - STATE_MISS_WRITE_WORD: begin - //PreSelAdr = 2'b01; - if(CPUBusy) begin - NextState = STATE_CPU_BUSY; - //if(`REPLAY) PreSelAdr = 2'b01; - //else save = 1'b1; - end - else begin - NextState = STATE_READY; - end - end - - STATE_MISS_EVICT_DIRTY: begin - //PreSelAdr = 2'b01; - if(CacheBusAck) begin - NextState = STATE_MISS_WRITE_CACHE_LINE; - end else begin - NextState = STATE_MISS_EVICT_DIRTY; - end - end - - - STATE_CPU_BUSY: begin - //PreSelAdr = 2'b00; - if(CPUBusy) begin - NextState = STATE_CPU_BUSY; - //if(`REPLAY) PreSelAdr = 2'b01; - end - else begin - NextState = STATE_READY; - end - end - - STATE_CPU_BUSY_FINISH_AMO: begin - //PreSelAdr = 2'b01; - if(CPUBusy) begin - NextState = STATE_CPU_BUSY_FINISH_AMO; - end - else begin - NextState = STATE_READY; - end - end - - STATE_FLUSH: begin - // intialize flush counters - //PreSelAdr = 2'b10; - NextState = STATE_FLUSH_CHECK; - end - - STATE_FLUSH_CHECK: begin - //PreSelAdr = 2'b10; - if(VictimDirty) begin - NextState = STATE_FLUSH_WRITE_BACK; - end else if (FlushAdrFlag & FlushWayFlag) begin - NextState = STATE_READY; - //PreSelAdr = 2'b00; - end else if(FlushWayFlag) begin - NextState = STATE_FLUSH_INCR; - end else begin - NextState = STATE_FLUSH_CHECK; - end - end - - STATE_FLUSH_INCR: begin - //PreSelAdr = 2'b10; - NextState = STATE_FLUSH_CHECK; - end - - STATE_FLUSH_WRITE_BACK: begin - //PreSelAdr = 2'b10; - if(CacheBusAck) begin - NextState = STATE_FLUSH_CLEAR_DIRTY; - end else begin - NextState = STATE_FLUSH_WRITE_BACK; - end - end - - STATE_FLUSH_CLEAR_DIRTY: begin - //PreSelAdr = 2'b10; - if(FlushAdrFlag & FlushWayFlag) begin - NextState = STATE_READY; - //PreSelAdr = 2'b00; - end else if (FlushWayFlag) begin - NextState = STATE_FLUSH_INCR; - - end else begin - NextState = STATE_FLUSH_CHECK; - end - end - - default: begin - NextState = STATE_READY; - end + STATE_READY: if(DoFlush) NextState = STATE_FLUSH; + else if(DoAMOHit & CPUBusy) NextState = STATE_CPU_BUSY_FINISH_AMO; + else if(DoReadHit & CPUBusy) NextState = STATE_CPU_BUSY; + else if (DoWriteHit & CPUBusy) NextState = STATE_CPU_BUSY; + else if(DoReadMiss | DoWriteMiss | DoAMOMiss) NextState = STATE_MISS_FETCH_WDV; + else NextState = STATE_READY; + STATE_MISS_FETCH_WDV: if (CacheBusAck) NextState = STATE_MISS_FETCH_DONE; + else NextState = STATE_MISS_FETCH_WDV; + STATE_MISS_FETCH_DONE: if(VictimDirty) NextState = STATE_MISS_EVICT_DIRTY; + else NextState = STATE_MISS_WRITE_CACHE_LINE; + STATE_MISS_WRITE_CACHE_LINE: NextState = STATE_MISS_READ_WORD; + STATE_MISS_READ_WORD: if (DoWrite & ~DoAMO) NextState = STATE_MISS_WRITE_WORD; + else NextState = STATE_MISS_READ_WORD_DELAY; + STATE_MISS_READ_WORD_DELAY: if(DoAMO & CPUBusy) NextState = STATE_CPU_BUSY_FINISH_AMO; + else if(CPUBusy) NextState = STATE_CPU_BUSY; + else NextState = STATE_READY; + STATE_MISS_WRITE_WORD: if(CPUBusy) NextState = STATE_CPU_BUSY; + else NextState = STATE_READY; + STATE_MISS_EVICT_DIRTY: if(CacheBusAck) NextState = STATE_MISS_WRITE_CACHE_LINE; + else NextState = STATE_MISS_EVICT_DIRTY; + STATE_CPU_BUSY: if(CPUBusy) NextState = STATE_CPU_BUSY; + else NextState = STATE_READY; + STATE_CPU_BUSY_FINISH_AMO: if(CPUBusy) NextState = STATE_CPU_BUSY_FINISH_AMO; + else NextState = STATE_READY; + STATE_FLUSH: NextState = STATE_FLUSH_CHECK; + STATE_FLUSH_CHECK: if(VictimDirty) NextState = STATE_FLUSH_WRITE_BACK; + else if (FlushAdrFlag & FlushWayFlag) NextState = STATE_READY; + else if(FlushWayFlag) NextState = STATE_FLUSH_INCR; + else NextState = STATE_FLUSH_CHECK; + STATE_FLUSH_INCR: NextState = STATE_FLUSH_CHECK; + STATE_FLUSH_WRITE_BACK: if(CacheBusAck) NextState = STATE_FLUSH_CLEAR_DIRTY; + else NextState = STATE_FLUSH_WRITE_BACK; + STATE_FLUSH_CLEAR_DIRTY: if(FlushAdrFlag & FlushWayFlag) NextState = STATE_READY; + else if (FlushWayFlag) NextState = STATE_FLUSH_INCR; + else NextState = STATE_FLUSH_CHECK; + default: NextState = STATE_READY; endcase end @@ -377,7 +193,6 @@ module cachefsm (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag)); assign SetValid = CurrState == STATE_MISS_WRITE_CACHE_LINE; assign ClearValid = '0; - // *** setdirty can probably be simplified by not caring about cpubusy assign SetDirty = (CurrState == STATE_READY & DoAMO) | (CurrState == STATE_READY & DoWrite) | (CurrState == STATE_MISS_READ_WORD_DELAY & DoAMO) | @@ -388,6 +203,7 @@ module cachefsm (CurrState == STATE_MISS_READ_WORD_DELAY & DoAMO) | (CurrState == STATE_MISS_WRITE_WORD); assign SRAMLineWriteEnable = (CurrState == STATE_MISS_WRITE_CACHE_LINE); + assign VDWriteEnable = (CurrState == STATE_FLUSH_CLEAR_DIRTY); assign SelEvict = (CurrState == STATE_MISS_EVICT_DIRTY); assign LRUWriteEn = (CurrState == STATE_READY & (DoAMOHit | DoReadHit | DoWriteHit)) | (CurrState == STATE_MISS_READ_WORD_DELAY) | @@ -401,7 +217,6 @@ module cachefsm (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag)); assign FlushAdrCntRst = (CurrState == STATE_READY & DoFlush); assign FlushWayCntRst = (CurrState == STATE_READY & DoFlush) | (CurrState == STATE_FLUSH_INCR); - assign VDWriteEnable = (CurrState == STATE_FLUSH_CLEAR_DIRTY); assign CacheFetchLine = (CurrState == STATE_READY & (DoAMOMiss | DoWriteMiss | DoReadMiss)); assign CacheWriteLine = (CurrState == STATE_MISS_FETCH_DONE & VictimDirty) | (CurrState == STATE_FLUSH_CHECK & VictimDirty); @@ -409,7 +224,8 @@ module cachefsm assign save = ((CurrState == STATE_READY & (DoAMOHit | DoReadHit | DoWriteHit) & CPUBusy) | (CurrState == STATE_MISS_READ_WORD_DELAY & (DoAMO | DoRead) & CPUBusy) | (CurrState == STATE_MISS_WRITE_WORD & DoWrite & CPUBusy)) & ~`REPLAY; - + + // **** can this be simplified? assign PreSelAdr = ((CurrState == STATE_READY & IgnoreRequest) | (CurrState == STATE_READY & DoAMOHit) | (CurrState == STATE_READY & DoReadHit & (CPUBusy & `REPLAY)) | From fcd43ea00438b73a75d2dd84d74c9447ab59092f Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 13:29:19 -0600 Subject: [PATCH 076/100] more cleanup. --- pipelined/src/cache/cachefsm.sv | 25 +++++++++++-------------- 1 file changed, 11 insertions(+), 14 deletions(-) diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 18e962190..16c9f76fc 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -80,14 +80,13 @@ module cachefsm ); - logic AnyCPUReqM; logic [1:0] PreSelAdr; logic resetDelay; logic DoAMO, DoRead, DoWrite, DoFlush; logic DoAMOHit, DoReadHit, DoWriteHit; logic DoAMOMiss, DoReadMiss, DoWriteMiss; - - + logic FlushFlag; + typedef enum {STATE_READY, STATE_MISS_FETCH_WDV, @@ -119,8 +118,8 @@ module cachefsm assign DoWrite = RW[0] & ~IgnoreRequest; assign DoWriteHit = DoWrite & CacheHit; assign DoWriteMiss = DoWrite & ~CacheHit; - - //assign AnyCPUReqM = |RW | (|Atomic); **** remove + + assign FlushFlag = FlushAdrFlag & FlushWayFlag; // outputs for the performance counters. assign CacheAccess = (DoAMO | DoRead | DoWrite) & CurrState == STATE_READY; @@ -165,7 +164,7 @@ module cachefsm else NextState = STATE_READY; STATE_FLUSH: NextState = STATE_FLUSH_CHECK; STATE_FLUSH_CHECK: if(VictimDirty) NextState = STATE_FLUSH_WRITE_BACK; - else if (FlushAdrFlag & FlushWayFlag) NextState = STATE_READY; + else if (FlushFlag) NextState = STATE_READY; else if(FlushWayFlag) NextState = STATE_FLUSH_INCR; else NextState = STATE_FLUSH_CHECK; STATE_FLUSH_INCR: NextState = STATE_FLUSH_CHECK; @@ -179,7 +178,6 @@ module cachefsm end assign CacheCommitted = CurrState != STATE_READY; - // *** stall missing check on amo miss? assign CacheStall = (CurrState == STATE_READY & (DoFlush | DoAMOMiss | DoReadMiss | DoWriteMiss)) | (CurrState == STATE_MISS_FETCH_WDV) | (CurrState == STATE_MISS_FETCH_DONE) | @@ -187,10 +185,10 @@ module cachefsm (CurrState == STATE_MISS_READ_WORD) | (CurrState == STATE_MISS_EVICT_DIRTY) | (CurrState == STATE_FLUSH) | - (CurrState == STATE_FLUSH_CHECK & ~(FlushAdrFlag & FlushWayFlag)) | + (CurrState == STATE_FLUSH_CHECK & ~(FlushFlag)) | (CurrState == STATE_FLUSH_INCR) | (CurrState == STATE_FLUSH_WRITE_BACK) | - (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag)); + (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushFlag)); assign SetValid = CurrState == STATE_MISS_WRITE_CACHE_LINE; assign ClearValid = '0; assign SetDirty = (CurrState == STATE_READY & DoAMO) | @@ -213,8 +211,8 @@ module cachefsm (CurrState == STATE_FLUSH_CLEAR_DIRTY); assign FlushAdrCntEn = (CurrState == STATE_FLUSH_CHECK & ~VictimDirty & FlushWayFlag & ~FlushAdrFlag) | (CurrState == STATE_FLUSH_CLEAR_DIRTY & FlushWayFlag & ~FlushAdrFlag); - assign FlushWayCntEn = (CurrState == STATE_FLUSH_CHECK & ~VictimDirty & ~(FlushAdrFlag & FlushWayFlag)) | - (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag)); + assign FlushWayCntEn = (CurrState == STATE_FLUSH_CHECK & ~VictimDirty & ~(FlushFlag)) | + (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushFlag)); assign FlushAdrCntRst = (CurrState == STATE_READY & DoFlush); assign FlushWayCntRst = (CurrState == STATE_READY & DoFlush) | (CurrState == STATE_FLUSH_INCR); assign CacheFetchLine = (CurrState == STATE_READY & (DoAMOMiss | DoWriteMiss | DoReadMiss)); @@ -240,12 +238,11 @@ module cachefsm (CurrState == STATE_CPU_BUSY & (CPUBusy & `REPLAY)) | (CurrState == STATE_CPU_BUSY_FINISH_AMO)) ? 2'b01 : ((CurrState == STATE_FLUSH) | - (CurrState == STATE_FLUSH_CHECK & ~(VictimDirty & FlushAdrFlag & FlushWayFlag)) | + (CurrState == STATE_FLUSH_CHECK & ~(VictimDirty & FlushFlag)) | (CurrState == STATE_FLUSH_INCR) | (CurrState == STATE_FLUSH_WRITE_BACK) | - (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushAdrFlag & FlushWayFlag))) ? 2'b10 : + (CurrState == STATE_FLUSH_CLEAR_DIRTY & ~(FlushFlag))) ? 2'b10 : 2'b00; endmodule // cachefsm - From 23a60d9875a479a4c9b0493a6a1dd54c9f7b5d31 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 17:23:09 -0600 Subject: [PATCH 077/100] Progress towards simplifying the cache's write enables. --- pipelined/src/cache/cache.sv | 13 ++++++++++++- pipelined/src/cache/cachefsm.sv | 4 ++-- pipelined/src/cache/cacheway.sv | 4 ++-- 3 files changed, 16 insertions(+), 5 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 4c8f88aa4..672920e72 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -107,6 +107,8 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( logic ResetOrFlushAdr, ResetOrFlushWay; logic [NUMWAYS-1:0] WayHitSaved, WayHitRaw; logic [LINELEN-1:0] ReadDataLineRaw, ReadDataLineSaved; + logic [NUMWAYS-1:0] SelectedWay; + logic [NUMWAYS-1:0] SetValidWay, ClearValidWay, SetDirtyWay, ClearDirtyWay; ///////////////////////////////////////////////////////////////////////////////////////////// // Read Path @@ -126,7 +128,9 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .WriteWordEnable(SRAMWordEnable), .TagWriteEnable(SRAMLineWayWriteEnable), .WriteData(SRAMWriteData), - .SetValid, .ClearValid, .SetDirty, .ClearDirty, .SelEvict, .Victim(VictimWay), .Flush(FlushWay), + //.SetValid(SetValidWay), .ClearValid(ClearValidWay), .SetDirty(SetDirtyWay), .ClearDirty(ClearDirtyWay), + .SetValid(SetValidWay), .ClearValid(ClearValidWay), .SetDirty, .ClearDirty, + .SelEvict, .Victim(VictimWay), .Flush(FlushWay), .SelFlush, .SelectedReadDataLine(ReadDataLineWay), .WayHit(WayHitRaw), .VictimDirty(VictimDirtyWay), .VictimTag(VictimTagWay), .InvalidateAll(InvalidateCacheM)); @@ -190,6 +194,13 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( assign VDWriteEnableWay = FlushWay & {NUMWAYS{VDWriteEnable}}; assign NextFlushWay = {FlushWay[NUMWAYS-2:0], FlushWay[NUMWAYS-1]}; + assign SelectedWay = SelFlush ? FlushWay : VictimWay; + assign SetValidWay = SetValid ? SelectedWay : '0; + assign ClearValidWay = ClearValid ? SelectedWay : '0; + assign SetDirtyWay = SetDirty ? SelectedWay : '0; + assign ClearDirtyWay = ClearDirty ? SelectedWay : '0; + + ///////////////////////////////////////////////////////////////////////////////////////////// // Cache FSM ///////////////////////////////////////////////////////////////////////////////////////////// diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index 16c9f76fc..cbde7e018 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -97,8 +97,8 @@ module cachefsm STATE_MISS_READ_WORD_DELAY, STATE_MISS_WRITE_WORD, - STATE_CPU_BUSY, // *** Ross will change - STATE_CPU_BUSY_FINISH_AMO, // *** Ross will change + STATE_CPU_BUSY, + STATE_CPU_BUSY_FINISH_AMO, STATE_FLUSH, STATE_FLUSH_CHECK, diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index 3bca5eb0b..3d61e09dc 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -108,8 +108,8 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, always_ff @(posedge clk) begin // Valid bit array, if (reset | InvalidateAll) ValidBits <= #1 '0; - else if (SetValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= #1 1'b1; - else if (ClearValidD & (WriteEnableD | VDWriteEnableD)) ValidBits[RAdrD] <= #1 1'b0; + else if (SetValidD) ValidBits[RAdrD] <= #1 1'b1; + else if (ClearValidD) ValidBits[RAdrD] <= #1 1'b0; end // *** consider revisiting whether these delays are the best option? flop #($clog2(NUMLINES)) RAdrDelayReg(clk, RAdr, RAdrD); From 494802b2e1c23462748fbdef06c1ab28b0d22971 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 17:41:56 -0600 Subject: [PATCH 078/100] more partial cleanup of fsm and write enables. --- pipelined/src/cache/cache.sv | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 672920e72..cd2a2dfb2 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -129,7 +129,7 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .TagWriteEnable(SRAMLineWayWriteEnable), .WriteData(SRAMWriteData), //.SetValid(SetValidWay), .ClearValid(ClearValidWay), .SetDirty(SetDirtyWay), .ClearDirty(ClearDirtyWay), - .SetValid(SetValidWay), .ClearValid(ClearValidWay), .SetDirty, .ClearDirty, + .SetValid(SetValidWay), .ClearValid(ClearValidWay), .SetDirty(SetDirtyWay), .ClearDirty(ClearDirtyWay), .SelEvict, .Victim(VictimWay), .Flush(FlushWay), .SelFlush, .SelectedReadDataLine(ReadDataLineWay), .WayHit(WayHitRaw), .VictimDirty(VictimDirtyWay), .VictimTag(VictimTagWay), @@ -194,7 +194,8 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( assign VDWriteEnableWay = FlushWay & {NUMWAYS{VDWriteEnable}}; assign NextFlushWay = {FlushWay[NUMWAYS-2:0], FlushWay[NUMWAYS-1]}; - assign SelectedWay = SelFlush ? FlushWay : VictimWay; + assign SelectedWay = SelFlush ? FlushWay : (SRAMLineWriteEnable ? VictimWay : WayHit); + //assign SelectedWay = SelFlush ? FlushWay : VictimWay; assign SetValidWay = SetValid ? SelectedWay : '0; assign ClearValidWay = ClearValid ? SelectedWay : '0; assign SetDirtyWay = SetDirty ? SelectedWay : '0; From 3263f5da778a2fbe65a72c3226c56c6e951603bf Mon Sep 17 00:00:00 2001 From: bbracker Date: Mon, 7 Feb 2022 23:59:47 +0000 Subject: [PATCH 079/100] trim away unneeded linker and header files intended for non-spike machines from wally-riscv-arch-test --- .../riscv-test-env/arch_test.h | 1 - .../riscv-test-env/encoding.h | 1 - .../riscv-test-env/p/link.ld | 22 -- .../riscv-test-env/p/riscv_test.h | 251 ---------------- .../riscv-test-env/pm/link.ld | 17 -- .../riscv-test-env/pm/riscv_test.h | 11 - .../riscv-test-env/pt/link.ld | 17 -- .../riscv-test-env/pt/riscv_test.h | 69 ----- .../riscv-test-env/v/entry.S | 125 -------- .../riscv-test-env/v/link.ld | 17 -- .../riscv-test-env/v/riscv_test.h | 71 ----- .../riscv-test-env/v/string.c | 114 -------- .../riscv-test-env/v/vm.c | 273 ------------------ 13 files changed, 989 deletions(-) delete mode 120000 tests/wally-riscv-arch-test/riscv-test-env/arch_test.h delete mode 120000 tests/wally-riscv-arch-test/riscv-test-env/encoding.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/p/link.ld delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/p/riscv_test.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/pm/link.ld delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/pm/riscv_test.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/pt/link.ld delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/pt/riscv_test.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/entry.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/link.ld delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/riscv_test.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/string.c delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/vm.c diff --git a/tests/wally-riscv-arch-test/riscv-test-env/arch_test.h b/tests/wally-riscv-arch-test/riscv-test-env/arch_test.h deleted file mode 120000 index c1b8c50bc..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/arch_test.h +++ /dev/null @@ -1 +0,0 @@ -../riscv-test-suite/env/arch_test.h \ No newline at end of file diff --git a/tests/wally-riscv-arch-test/riscv-test-env/encoding.h b/tests/wally-riscv-arch-test/riscv-test-env/encoding.h deleted file mode 120000 index fd1833f03..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/encoding.h +++ /dev/null @@ -1 +0,0 @@ -../riscv-test-suite/env/encoding.h \ No newline at end of file diff --git a/tests/wally-riscv-arch-test/riscv-test-env/p/link.ld b/tests/wally-riscv-arch-test/riscv-test-env/p/link.ld deleted file mode 100644 index 392e74f9e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/p/link.ld +++ /dev/null @@ -1,22 +0,0 @@ -OUTPUT_ARCH( "riscv" ) -ENTRY(_start) - -SECTIONS -{ - . = 0x00000000; - .text.trap : { *(.text.trap) } - - . = 0x80000000; - .text.init : { *(.text.init) } - - . = ALIGN(0x1000); - .tohost : { *(.tohost) } - . = ALIGN(0x1000); - .text : { *(.text) } - . = ALIGN(0x1000); - .data : { *(.data) } - .data.string : { *(.data.string)} - .bss : { *(.bss) } - _end = .; -} - diff --git a/tests/wally-riscv-arch-test/riscv-test-env/p/riscv_test.h b/tests/wally-riscv-arch-test/riscv-test-env/p/riscv_test.h deleted file mode 100644 index e452261c4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/p/riscv_test.h +++ /dev/null @@ -1,251 +0,0 @@ -// See LICENSE for license details. - -#ifndef _ENV_PHYSICAL_SINGLE_CORE_H -#define _ENV_PHYSICAL_SINGLE_CORE_H - -#include "../encoding.h" - -//----------------------------------------------------------------------- -// Begin Macro -//----------------------------------------------------------------------- - -#define RVTEST_RV64U \ - .macro init; \ - .endm - -#define RVTEST_RV64UF \ - .macro init; \ - RVTEST_FP_ENABLE; \ - .endm - -#define RVTEST_RV32U \ - .macro init; \ - .endm - -#define RVTEST_RV32UF \ - .macro init; \ - RVTEST_FP_ENABLE; \ - .endm - -#define RVTEST_RV64M \ - .macro init; \ - RVTEST_ENABLE_MACHINE; \ - .endm - -#define RVTEST_RV64S \ - .macro init; \ - RVTEST_ENABLE_SUPERVISOR; \ - .endm - -#define RVTEST_RV32M \ - .macro init; \ - RVTEST_ENABLE_MACHINE; \ - .endm - -#define RVTEST_RV32S \ - .macro init; \ - RVTEST_ENABLE_SUPERVISOR; \ - .endm - -#if __riscv_xlen == 64 -# define CHECK_XLEN li a0, 1; slli a0, a0, 31; bgez a0, 1f; RVTEST_PASS; 1: -#else -# define CHECK_XLEN li a0, 1; slli a0, a0, 31; bltz a0, 1f; RVTEST_PASS; 1: -#endif - -#define INIT_PMP \ - la t0, 1f; \ - csrw mtvec, t0; \ - li t0, -1; /* Set up a PMP to permit all accesses */ \ - csrw pmpaddr0, t0; \ - li t0, PMP_NAPOT | PMP_R | PMP_W | PMP_X; \ - csrw pmpcfg0, t0; \ - .align 2; \ -1: - -#define INIT_SATP \ - la t0, 1f; \ - csrw mtvec, t0; \ - csrwi satp, 0; \ - .align 2; \ -1: - -#define DELEGATE_NO_TRAPS \ - la t0, 1f; \ - csrw mtvec, t0; \ - csrwi medeleg, 0; \ - csrwi mideleg, 0; \ - csrwi mie, 0; \ - .align 2; \ -1: - -#define RVTEST_ENABLE_SUPERVISOR \ - li a0, MSTATUS_MPP & (MSTATUS_MPP >> 1); \ - csrs mstatus, a0; \ - li a0, SIP_SSIP | SIP_STIP; \ - csrs mideleg, a0; \ - -#define RVTEST_ENABLE_MACHINE \ - li a0, MSTATUS_MPP; \ - csrs mstatus, a0; \ - -#define RVTEST_FP_ENABLE \ - li a0, MSTATUS_FS & (MSTATUS_FS >> 1); \ - csrs mstatus, a0; \ - csrwi fcsr, 0 - -#define RISCV_MULTICORE_DISABLE \ - csrr a0, mhartid; \ - 1: bnez a0, 1b - -#define EXTRA_TVEC_USER -#define EXTRA_TVEC_MACHINE -#define EXTRA_INIT -#define EXTRA_INIT_TIMER - -// -// undefine some unusable CSR Accesses if no PRIV Mode present -// -#if defined(PRIV_MISA_S) -# if (PRIV_MISA_S==0) -# undef INIT_SATP -# define INIT_SATP -# undef INIT_PMP -# define INIT_PMP -# undef DELEGATE_NO_TRAPS -# define DELEGATE_NO_TRAPS -# undef RVTEST_ENABLE_SUPERVISOR -# define RVTEST_ENABLE_SUPERVISOR -# endif -#endif -#if defined(PRIV_MISA_U) -# if (PRIV_MISA_U==0) -# endif -#endif -#if defined(TRAPHANDLER) -#include TRAPHANDLER -#endif - -#define INTERRUPT_HANDLER j other_exception /* No interrupts should occur */ - -#define RVTEST_CODE_BEGIN_OLD \ - .section .text.init; \ - .align 6; \ - .weak stvec_handler; \ - .weak mtvec_handler; \ - .globl _start; \ -_start: \ - /* reset vector */ \ - j reset_vector; \ - .align 2; \ -trap_vector: \ - /* test whether the test came from pass/fail */ \ - csrr t5, mcause; \ - li t6, CAUSE_USER_ECALL; \ - beq t5, t6, write_tohost; \ - li t6, CAUSE_SUPERVISOR_ECALL; \ - beq t5, t6, write_tohost; \ - li t6, CAUSE_MACHINE_ECALL; \ - beq t5, t6, write_tohost; \ - /* if an mtvec_handler is defined, jump to it */ \ - la t5, mtvec_handler; \ - beqz t5, 1f; \ - jr t5; \ - /* was it an interrupt or an exception? */ \ - 1: csrr t5, mcause; \ - bgez t5, handle_exception; \ - INTERRUPT_HANDLER; \ -handle_exception: \ - /* we don't know how to handle whatever the exception was */ \ - other_exception: \ - /* some unhandlable exception occurred */ \ - 1: ori TESTNUM, TESTNUM, 1337; \ - write_tohost: \ - sw TESTNUM, tohost, t5; \ - j write_tohost; \ -reset_vector: \ - RISCV_MULTICORE_DISABLE; \ - INIT_SATP; \ - INIT_PMP; \ - DELEGATE_NO_TRAPS; \ - li TESTNUM, 0; \ - la t0, trap_vector; \ - csrw mtvec, t0; \ - CHECK_XLEN; \ - /* if an stvec_handler is defined, delegate exceptions to it */ \ - la t0, stvec_handler; \ - beqz t0, 1f; \ - csrw stvec, t0; \ - li t0, (1 << CAUSE_LOAD_PAGE_FAULT) | \ - (1 << CAUSE_STORE_PAGE_FAULT) | \ - (1 << CAUSE_FETCH_PAGE_FAULT) | \ - (1 << CAUSE_MISALIGNED_FETCH) | \ - (1 << CAUSE_USER_ECALL) | \ - (1 << CAUSE_BREAKPOINT); \ - csrw medeleg, t0; \ - csrr t1, medeleg; \ - bne t0, t1, other_exception; \ -1: csrwi mstatus, 0; \ - init; \ - EXTRA_INIT; \ - EXTRA_INIT_TIMER; \ - la t0, 1f; \ - csrw mepc, t0; \ - csrr a0, mhartid; \ - mret; \ -1: \ -begin_testcode: - - -//----------------------------------------------------------------------- -// End Macro -//----------------------------------------------------------------------- - -#define RVTEST_CODE_END_OLD \ -end_testcode: \ - ecall; - -//----------------------------------------------------------------------- -// Pass/Fail Macro -//----------------------------------------------------------------------- -#define RVTEST_SYNC fence -//#define RVTEST_SYNC nop - -#define RVTEST_PASS \ - RVTEST_SYNC; \ - li TESTNUM, 1; \ - SWSIG (0, TESTNUM); \ - ecall - -#define TESTNUM gp -#define RVTEST_FAIL \ - RVTEST_SYNC; \ -1: beqz TESTNUM, 1b; \ - sll TESTNUM, TESTNUM, 1; \ - or TESTNUM, TESTNUM, 1; \ - SWSIG (0, TESTNUM); \ - la x1, end_testcode; \ - jr x1; - -//----------------------------------------------------------------------- -// Data Section Macro -//----------------------------------------------------------------------- - -#define EXTRA_DATA - -#define RVTEST_DATA_BEGIN_OLD \ - .align 4; .global begin_signature; begin_signature: - -#define RVTEST_DATA_END_OLD \ - .align 4; .global end_signature; end_signature: \ - EXTRA_DATA \ - .pushsection .tohost,"aw",@progbits; \ - .align 8; .global tohost; tohost: .dword 0; \ - .align 8; .global fromhost; fromhost: .dword 0; \ - .popsection; \ - .align 8; .global begin_regstate; begin_regstate: \ - .word 128; \ - .align 8; .global end_regstate; end_regstate: \ - .word 4; - -#endif diff --git a/tests/wally-riscv-arch-test/riscv-test-env/pm/link.ld b/tests/wally-riscv-arch-test/riscv-test-env/pm/link.ld deleted file mode 100644 index b3e315e78..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/pm/link.ld +++ /dev/null @@ -1,17 +0,0 @@ -OUTPUT_ARCH( "riscv" ) -ENTRY(_start) - -SECTIONS -{ - . = 0x80000000; - .text.init : { *(.text.init) } - . = ALIGN(0x1000); - .tohost : { *(.tohost) } - . = ALIGN(0x1000); - .text : { *(.text) } - . = ALIGN(0x1000); - .data : { *(.data) } - .bss : { *(.bss) } - _end = .; -} - diff --git a/tests/wally-riscv-arch-test/riscv-test-env/pm/riscv_test.h b/tests/wally-riscv-arch-test/riscv-test-env/pm/riscv_test.h deleted file mode 100644 index 38a0e86b8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/pm/riscv_test.h +++ /dev/null @@ -1,11 +0,0 @@ -// See LICENSE for license details. - -#ifndef _ENV_PHYSICAL_MULTI_CORE_H -#define _ENV_PHYSICAL_MULTI_CORE_H - -#include "../p/riscv_test.h" - -#undef RISCV_MULTICORE_DISABLE -#define RISCV_MULTICORE_DISABLE - -#endif diff --git a/tests/wally-riscv-arch-test/riscv-test-env/pt/link.ld b/tests/wally-riscv-arch-test/riscv-test-env/pt/link.ld deleted file mode 100644 index b3e315e78..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/pt/link.ld +++ /dev/null @@ -1,17 +0,0 @@ -OUTPUT_ARCH( "riscv" ) -ENTRY(_start) - -SECTIONS -{ - . = 0x80000000; - .text.init : { *(.text.init) } - . = ALIGN(0x1000); - .tohost : { *(.tohost) } - . = ALIGN(0x1000); - .text : { *(.text) } - . = ALIGN(0x1000); - .data : { *(.data) } - .bss : { *(.bss) } - _end = .; -} - diff --git a/tests/wally-riscv-arch-test/riscv-test-env/pt/riscv_test.h b/tests/wally-riscv-arch-test/riscv-test-env/pt/riscv_test.h deleted file mode 100644 index 34c2a331a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/pt/riscv_test.h +++ /dev/null @@ -1,69 +0,0 @@ -// See LICENSE for license details. - -#ifndef _ENV_PHYSICAL_SINGLE_CORE_TIMER_H -#define _ENV_PHYSICAL_SINGLE_CORE_TIMER_H - -#include "../p/riscv_test.h" - -#define TIMER_INTERVAL 2 - -#undef EXTRA_INIT_TIMER -#define EXTRA_INIT_TIMER \ - li a0, MIP_MTIP; \ - csrs mie, a0; \ - csrr a0, mtime; \ - addi a0, a0, TIMER_INTERVAL; \ - csrw mtimecmp, a0; \ - -#if SSTATUS_XS != 0x18000 -# error -#endif -#define XS_SHIFT 15 - -#undef INTERRUPT_HANDLER -#define INTERRUPT_HANDLER \ - slli t5, t5, 1; \ - srli t5, t5, 1; \ - add t5, t5, -IRQ_M_TIMER; \ - bnez t5, other_exception; /* other interrups shouldn't happen */\ - csrr t5, mtime; \ - addi t5, t5, TIMER_INTERVAL; \ - csrw mtimecmp, t5; \ - mret; \ - -//----------------------------------------------------------------------- -// Data Section Macro -//----------------------------------------------------------------------- - -#undef EXTRA_DATA -#define EXTRA_DATA \ - .align 3; \ -regspill: \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ -evac: \ - .skip 32768; \ - -#endif diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/entry.S b/tests/wally-riscv-arch-test/riscv-test-env/v/entry.S deleted file mode 100644 index 97196620f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/entry.S +++ /dev/null @@ -1,125 +0,0 @@ -#include "riscv_test.h" - -#if __riscv_xlen == 64 -# define STORE sd -# define LOAD ld -# define REGBYTES 8 -#else -# define STORE sw -# define LOAD lw -# define REGBYTES 4 -#endif - -#define STACK_TOP (_end + 4096) - - .section ".text.init","ax",@progbits - .globl _start -_start: - j handle_reset - - /* NMI vector */ -nmi_vector: - j wtf - -trap_vector: - j wtf - -handle_reset: - la t0, trap_vector - csrw mtvec, t0 - la sp, STACK_TOP - SIZEOF_TRAPFRAME_T - csrr t0, mhartid - slli t0, t0, 12 - add sp, sp, t0 - csrw mscratch, sp - la a0, userstart - j vm_boot - - .globl pop_tf -pop_tf: - LOAD t0,33*REGBYTES(a0) - csrw sepc,t0 - LOAD x1,1*REGBYTES(a0) - LOAD x2,2*REGBYTES(a0) - LOAD x3,3*REGBYTES(a0) - LOAD x4,4*REGBYTES(a0) - LOAD x5,5*REGBYTES(a0) - LOAD x6,6*REGBYTES(a0) - LOAD x7,7*REGBYTES(a0) - LOAD x8,8*REGBYTES(a0) - LOAD x9,9*REGBYTES(a0) - LOAD x11,11*REGBYTES(a0) - LOAD x12,12*REGBYTES(a0) - LOAD x13,13*REGBYTES(a0) - LOAD x14,14*REGBYTES(a0) - LOAD x15,15*REGBYTES(a0) - LOAD x16,16*REGBYTES(a0) - LOAD x17,17*REGBYTES(a0) - LOAD x18,18*REGBYTES(a0) - LOAD x19,19*REGBYTES(a0) - LOAD x20,20*REGBYTES(a0) - LOAD x21,21*REGBYTES(a0) - LOAD x22,22*REGBYTES(a0) - LOAD x23,23*REGBYTES(a0) - LOAD x24,24*REGBYTES(a0) - LOAD x25,25*REGBYTES(a0) - LOAD x26,26*REGBYTES(a0) - LOAD x27,27*REGBYTES(a0) - LOAD x28,28*REGBYTES(a0) - LOAD x29,29*REGBYTES(a0) - LOAD x30,30*REGBYTES(a0) - LOAD x31,31*REGBYTES(a0) - LOAD a0,10*REGBYTES(a0) - sret - - .global trap_entry -trap_entry: - csrrw sp, sscratch, sp - - # save gprs - STORE x1,1*REGBYTES(sp) - STORE x3,3*REGBYTES(sp) - STORE x4,4*REGBYTES(sp) - STORE x5,5*REGBYTES(sp) - STORE x6,6*REGBYTES(sp) - STORE x7,7*REGBYTES(sp) - STORE x8,8*REGBYTES(sp) - STORE x9,9*REGBYTES(sp) - STORE x10,10*REGBYTES(sp) - STORE x11,11*REGBYTES(sp) - STORE x12,12*REGBYTES(sp) - STORE x13,13*REGBYTES(sp) - STORE x14,14*REGBYTES(sp) - STORE x15,15*REGBYTES(sp) - STORE x16,16*REGBYTES(sp) - STORE x17,17*REGBYTES(sp) - STORE x18,18*REGBYTES(sp) - STORE x19,19*REGBYTES(sp) - STORE x20,20*REGBYTES(sp) - STORE x21,21*REGBYTES(sp) - STORE x22,22*REGBYTES(sp) - STORE x23,23*REGBYTES(sp) - STORE x24,24*REGBYTES(sp) - STORE x25,25*REGBYTES(sp) - STORE x26,26*REGBYTES(sp) - STORE x27,27*REGBYTES(sp) - STORE x28,28*REGBYTES(sp) - STORE x29,29*REGBYTES(sp) - STORE x30,30*REGBYTES(sp) - STORE x31,31*REGBYTES(sp) - - csrrw t0,sscratch,sp - STORE t0,2*REGBYTES(sp) - - # get sr, epc, badvaddr, cause - csrr t0,sstatus - STORE t0,32*REGBYTES(sp) - csrr t0,sepc - STORE t0,33*REGBYTES(sp) - csrr t0,sbadaddr - STORE t0,34*REGBYTES(sp) - csrr t0,scause - STORE t0,35*REGBYTES(sp) - - move a0, sp - j handle_trap diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/link.ld b/tests/wally-riscv-arch-test/riscv-test-env/v/link.ld deleted file mode 100644 index b3e315e78..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/link.ld +++ /dev/null @@ -1,17 +0,0 @@ -OUTPUT_ARCH( "riscv" ) -ENTRY(_start) - -SECTIONS -{ - . = 0x80000000; - .text.init : { *(.text.init) } - . = ALIGN(0x1000); - .tohost : { *(.tohost) } - . = ALIGN(0x1000); - .text : { *(.text) } - . = ALIGN(0x1000); - .data : { *(.data) } - .bss : { *(.bss) } - _end = .; -} - diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/riscv_test.h b/tests/wally-riscv-arch-test/riscv-test-env/v/riscv_test.h deleted file mode 100644 index 8ca9ffd76..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/riscv_test.h +++ /dev/null @@ -1,71 +0,0 @@ -// See LICENSE for license details. - -#ifndef _ENV_VIRTUAL_SINGLE_CORE_H -#define _ENV_VIRTUAL_SINGLE_CORE_H - -#include "../p/riscv_test.h" - -//----------------------------------------------------------------------- -// Begin Macro -//----------------------------------------------------------------------- - -#undef RVTEST_FP_ENABLE -#define RVTEST_FP_ENABLE fssr x0 - -#undef RVTEST_CODE_BEGIN -#define RVTEST_CODE_BEGIN \ - .text; \ - .global userstart; \ -userstart: \ - init - -//----------------------------------------------------------------------- -// Pass/Fail Macro -//----------------------------------------------------------------------- - -#undef RVTEST_PASS -#define RVTEST_PASS li a0, 1; scall - -#undef RVTEST_FAIL -#define RVTEST_FAIL sll a0, TESTNUM, 1; 1:beqz a0, 1b; or a0, a0, 1; scall; - -//----------------------------------------------------------------------- -// Data Section Macro -//----------------------------------------------------------------------- - -#undef RVTEST_DATA_END -#define RVTEST_DATA_END - -//----------------------------------------------------------------------- -// Supervisor mode definitions and macros -//----------------------------------------------------------------------- - -#define MAX_TEST_PAGES 63 // this must be the period of the LFSR below -#define LFSR_NEXT(x) (((((x)^((x)>>1)) & 1) << 5) | ((x) >> 1)) - -#define PGSHIFT 12 -#define PGSIZE (1UL << PGSHIFT) - -#define SIZEOF_TRAPFRAME_T ((__riscv_xlen / 8) * 36) - -#ifndef __ASSEMBLER__ - -typedef unsigned long pte_t; -#define LEVELS (sizeof(pte_t) == sizeof(uint64_t) ? 3 : 2) -#define PTIDXBITS (PGSHIFT - (sizeof(pte_t) == 8 ? 3 : 2)) -#define VPN_BITS (PTIDXBITS * LEVELS) -#define VA_BITS (VPN_BITS + PGSHIFT) -#define PTES_PER_PT (1UL << RISCV_PGLEVEL_BITS) -#define MEGAPAGE_SIZE (PTES_PER_PT * PGSIZE) - -typedef struct -{ - long gpr[32]; - long sr; - long epc; - long badvaddr; - long cause; -} trapframe_t; -#endif - -#endif diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/string.c b/tests/wally-riscv-arch-test/riscv-test-env/v/string.c deleted file mode 100644 index 4ffedc0a4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/string.c +++ /dev/null @@ -1,114 +0,0 @@ -#include -#include -#include - -void* memcpy(void* dest, const void* src, size_t len) -{ - if ((((uintptr_t)dest | (uintptr_t)src | len) & (sizeof(uintptr_t)-1)) == 0) { - const uintptr_t* s = src; - uintptr_t *d = dest; - while (d < (uintptr_t*)(dest + len)) - *d++ = *s++; - } else { - const char* s = src; - char *d = dest; - while (d < (char*)(dest + len)) - *d++ = *s++; - } - return dest; -} - -void* memset(void* dest, int byte, size_t len) -{ - if ((((uintptr_t)dest | len) & (sizeof(uintptr_t)-1)) == 0) { - uintptr_t word = byte & 0xFF; - word |= word << 8; - word |= word << 16; - word |= word << 16 << 16; - - uintptr_t *d = dest; - while (d < (uintptr_t*)(dest + len)) - *d++ = word; - } else { - char *d = dest; - while (d < (char*)(dest + len)) - *d++ = byte; - } - return dest; -} - -size_t strlen(const char *s) -{ - const char *p = s; - while (*p) - p++; - return p - s; -} - -int strcmp(const char* s1, const char* s2) -{ - unsigned char c1, c2; - - do { - c1 = *s1++; - c2 = *s2++; - } while (c1 != 0 && c1 == c2); - - return c1 - c2; -} - -int memcmp(const void* s1, const void* s2, size_t n) -{ - if ((((uintptr_t)s1 | (uintptr_t)s2) & (sizeof(uintptr_t)-1)) == 0) { - const uintptr_t* u1 = s1; - const uintptr_t* u2 = s2; - const uintptr_t* end = u1 + (n / sizeof(uintptr_t)); - while (u1 < end) { - if (*u1 != *u2) - break; - u1++; - u2++; - } - n -= (const void*)u1 - s1; - s1 = u1; - s2 = u2; - } - - while (n--) { - unsigned char c1 = *(const unsigned char*)s1++; - unsigned char c2 = *(const unsigned char*)s2++; - if (c1 != c2) - return c1 - c2; - } - - return 0; -} - -char* strcpy(char* dest, const char* src) -{ - char* d = dest; - while ((*d++ = *src++)) - ; - return dest; -} - -long atol(const char* str) -{ - long res = 0; - int sign = 0; - - while (*str == ' ') - str++; - - if (*str == '-' || *str == '+') { - sign = *str == '-'; - str++; - } - - while (*str) { - res *= 10; - res += *str++ - '0'; - } - - return sign ? -res : res; -} diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/vm.c b/tests/wally-riscv-arch-test/riscv-test-env/v/vm.c deleted file mode 100644 index 8064b7baf..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/vm.c +++ /dev/null @@ -1,273 +0,0 @@ -// See LICENSE for license details. - -#include -#include -#include - -#include "riscv_test.h" - -void trap_entry(); -void pop_tf(trapframe_t*); - -volatile uint64_t tohost; -volatile uint64_t fromhost; - -static void do_tohost(uint64_t tohost_value) -{ - while (tohost) - fromhost = 0; - tohost = tohost_value; -} - -#define pa2kva(pa) ((void*)(pa) - DRAM_BASE - MEGAPAGE_SIZE) -#define uva2kva(pa) ((void*)(pa) - MEGAPAGE_SIZE) - -#define flush_page(addr) asm volatile ("sfence.vma %0" : : "r" (addr) : "memory") - -static uint64_t lfsr63(uint64_t x) -{ - uint64_t bit = (x ^ (x >> 1)) & 1; - return (x >> 1) | (bit << 62); -} - -static void cputchar(int x) -{ - do_tohost(0x0101000000000000 | (unsigned char)x); -} - -static void cputstring(const char* s) -{ - while (*s) - cputchar(*s++); -} - -static void terminate(int code) -{ - do_tohost(code); - while (1); -} - -void wtf() -{ - terminate(841); -} - -#define stringify1(x) #x -#define stringify(x) stringify1(x) -#define assert(x) do { \ - if (x) break; \ - cputstring("Assertion failed: " stringify(x) "\n"); \ - terminate(3); \ -} while(0) - -#define l1pt pt[0] -#define user_l2pt pt[1] -#if __riscv_xlen == 64 -# define NPT 4 -#define kernel_l2pt pt[2] -# define user_l3pt pt[3] -#else -# define NPT 2 -# define user_l3pt user_l2pt -#endif -pte_t pt[NPT][PTES_PER_PT] __attribute__((aligned(PGSIZE))); - -typedef struct { pte_t addr; void* next; } freelist_t; - -freelist_t user_mapping[MAX_TEST_PAGES]; -freelist_t freelist_nodes[MAX_TEST_PAGES]; -freelist_t *freelist_head, *freelist_tail; - -void printhex(uint64_t x) -{ - char str[17]; - for (int i = 0; i < 16; i++) - { - str[15-i] = (x & 0xF) + ((x & 0xF) < 10 ? '0' : 'a'-10); - x >>= 4; - } - str[16] = 0; - - cputstring(str); -} - -static void evict(unsigned long addr) -{ - assert(addr >= PGSIZE && addr < MAX_TEST_PAGES * PGSIZE); - addr = addr/PGSIZE*PGSIZE; - - freelist_t* node = &user_mapping[addr/PGSIZE]; - if (node->addr) - { - // check accessed and dirty bits - assert(user_l3pt[addr/PGSIZE] & PTE_A); - uintptr_t sstatus = set_csr(sstatus, SSTATUS_SUM); - if (memcmp((void*)addr, uva2kva(addr), PGSIZE)) { - assert(user_l3pt[addr/PGSIZE] & PTE_D); - memcpy((void*)addr, uva2kva(addr), PGSIZE); - } - write_csr(sstatus, sstatus); - - user_mapping[addr/PGSIZE].addr = 0; - - if (freelist_tail == 0) - freelist_head = freelist_tail = node; - else - { - freelist_tail->next = node; - freelist_tail = node; - } - } -} - -void handle_fault(uintptr_t addr, uintptr_t cause) -{ - assert(addr >= PGSIZE && addr < MAX_TEST_PAGES * PGSIZE); - addr = addr/PGSIZE*PGSIZE; - - if (user_l3pt[addr/PGSIZE]) { - if (!(user_l3pt[addr/PGSIZE] & PTE_A)) { - user_l3pt[addr/PGSIZE] |= PTE_A; - } else { - assert(!(user_l3pt[addr/PGSIZE] & PTE_D) && cause == CAUSE_STORE_PAGE_FAULT); - user_l3pt[addr/PGSIZE] |= PTE_D; - } - flush_page(addr); - return; - } - - freelist_t* node = freelist_head; - assert(node); - freelist_head = node->next; - if (freelist_head == freelist_tail) - freelist_tail = 0; - - uintptr_t new_pte = (node->addr >> PGSHIFT << PTE_PPN_SHIFT) | PTE_V | PTE_U | PTE_R | PTE_W | PTE_X; - user_l3pt[addr/PGSIZE] = new_pte | PTE_A | PTE_D; - flush_page(addr); - - assert(user_mapping[addr/PGSIZE].addr == 0); - user_mapping[addr/PGSIZE] = *node; - - uintptr_t sstatus = set_csr(sstatus, SSTATUS_SUM); - memcpy((void*)addr, uva2kva(addr), PGSIZE); - write_csr(sstatus, sstatus); - - user_l3pt[addr/PGSIZE] = new_pte; - flush_page(addr); - - __builtin___clear_cache(0,0); -} - -void handle_trap(trapframe_t* tf) -{ - if (tf->cause == CAUSE_USER_ECALL) - { - int n = tf->gpr[10]; - - for (long i = 1; i < MAX_TEST_PAGES; i++) - evict(i*PGSIZE); - - terminate(n); - } - else if (tf->cause == CAUSE_ILLEGAL_INSTRUCTION) - { - assert(tf->epc % 4 == 0); - - int* fssr; - asm ("jal %0, 1f; fssr x0; 1:" : "=r"(fssr)); - - if (*(int*)tf->epc == *fssr) - terminate(1); // FP test on non-FP hardware. "succeed." - else - assert(!"illegal instruction"); - tf->epc += 4; - } - else if (tf->cause == CAUSE_FETCH_PAGE_FAULT || tf->cause == CAUSE_LOAD_PAGE_FAULT || tf->cause == CAUSE_STORE_PAGE_FAULT) - handle_fault(tf->badvaddr, tf->cause); - else - assert(!"unexpected exception"); - - pop_tf(tf); -} - -static void coherence_torture() -{ - // cause coherence misses without affecting program semantics - unsigned int random = ENTROPY; - while (1) { - uintptr_t paddr = DRAM_BASE + ((random % (2 * (MAX_TEST_PAGES + 1) * PGSIZE)) & -4); -#ifdef __riscv_atomic - if (random & 1) // perform a no-op write - asm volatile ("amoadd.w zero, zero, (%0)" :: "r"(paddr)); - else // perform a read -#endif - asm volatile ("lw zero, (%0)" :: "r"(paddr)); - random = lfsr63(random); - } -} - -void vm_boot(uintptr_t test_addr) -{ - unsigned int random = ENTROPY; - if (read_csr(mhartid) > 0) - coherence_torture(); - - _Static_assert(SIZEOF_TRAPFRAME_T == sizeof(trapframe_t), "???"); - -#if (MAX_TEST_PAGES > PTES_PER_PT) || (DRAM_BASE % MEGAPAGE_SIZE) != 0 -# error -#endif - // map user to lowermost megapage - l1pt[0] = ((pte_t)user_l2pt >> PGSHIFT << PTE_PPN_SHIFT) | PTE_V; - // map kernel to uppermost megapage -#if __riscv_xlen == 64 - l1pt[PTES_PER_PT-1] = ((pte_t)kernel_l2pt >> PGSHIFT << PTE_PPN_SHIFT) | PTE_V; - kernel_l2pt[PTES_PER_PT-1] = (DRAM_BASE/RISCV_PGSIZE << PTE_PPN_SHIFT) | PTE_V | PTE_R | PTE_W | PTE_X | PTE_A | PTE_D; - user_l2pt[0] = ((pte_t)user_l3pt >> PGSHIFT << PTE_PPN_SHIFT) | PTE_V; - uintptr_t vm_choice = SATP_MODE_SV39; -#else - l1pt[PTES_PER_PT-1] = (DRAM_BASE/RISCV_PGSIZE << PTE_PPN_SHIFT) | PTE_V | PTE_R | PTE_W | PTE_X | PTE_A | PTE_D; - uintptr_t vm_choice = SATP_MODE_SV32; -#endif - write_csr(satp, ((uintptr_t)l1pt >> PGSHIFT) | - (vm_choice * (SATP_MODE & ~(SATP_MODE<<1)))); - - // Set up PMPs if present, ignoring illegal instruction trap if not. - uintptr_t pmpc = PMP_NAPOT | PMP_R | PMP_W | PMP_X; - asm volatile ("la t0, 1f\n\t" - "csrrw t0, mtvec, t0\n\t" - "csrw pmpaddr0, %1\n\t" - "csrw pmpcfg0, %0\n\t" - ".align 2\n\t" - "1:" - : : "r" (pmpc), "r" (-1UL) : "t0"); - - // set up supervisor trap handling - write_csr(stvec, pa2kva(trap_entry)); - write_csr(sscratch, pa2kva(read_csr(mscratch))); - write_csr(medeleg, - (1 << CAUSE_USER_ECALL) | - (1 << CAUSE_FETCH_PAGE_FAULT) | - (1 << CAUSE_LOAD_PAGE_FAULT) | - (1 << CAUSE_STORE_PAGE_FAULT)); - // FPU on; accelerator on; allow supervisor access to user memory access - write_csr(mstatus, MSTATUS_FS | MSTATUS_XS); - write_csr(mie, 0); - - random = 1 + (random % MAX_TEST_PAGES); - freelist_head = pa2kva((void*)&freelist_nodes[0]); - freelist_tail = pa2kva(&freelist_nodes[MAX_TEST_PAGES-1]); - for (long i = 0; i < MAX_TEST_PAGES; i++) - { - freelist_nodes[i].addr = DRAM_BASE + (MAX_TEST_PAGES + random)*PGSIZE; - freelist_nodes[i].next = pa2kva(&freelist_nodes[i+1]); - random = LFSR_NEXT(random); - } - freelist_nodes[MAX_TEST_PAGES-1].next = 0; - - trapframe_t tf; - memset(&tf, 0, sizeof(tf)); - tf.epc = test_addr - DRAM_BASE; - pop_tf(&tf); -} From 929a9f0f1d01b9e1f63e1e070cb66b6066575251 Mon Sep 17 00:00:00 2001 From: bbracker Date: Tue, 8 Feb 2022 00:26:06 +0000 Subject: [PATCH 080/100] refactor buildroot-config-src into linux folder --- .../linux-testgen => linux}/buildroot-config-src/busybox.config | 0 {tests/linux-testgen => linux}/buildroot-config-src/linux.config | 0 {tests/linux-testgen => linux}/buildroot-config-src/main.config | 0 .../buildroot-config-src/make-buildroot.sh | 0 4 files changed, 0 insertions(+), 0 deletions(-) rename {tests/linux-testgen => linux}/buildroot-config-src/busybox.config (100%) rename {tests/linux-testgen => linux}/buildroot-config-src/linux.config (100%) rename {tests/linux-testgen => linux}/buildroot-config-src/main.config (100%) rename {tests/linux-testgen => linux}/buildroot-config-src/make-buildroot.sh (100%) diff --git a/tests/linux-testgen/buildroot-config-src/busybox.config b/linux/buildroot-config-src/busybox.config similarity index 100% rename from tests/linux-testgen/buildroot-config-src/busybox.config rename to linux/buildroot-config-src/busybox.config diff --git a/tests/linux-testgen/buildroot-config-src/linux.config b/linux/buildroot-config-src/linux.config similarity index 100% rename from tests/linux-testgen/buildroot-config-src/linux.config rename to linux/buildroot-config-src/linux.config diff --git a/tests/linux-testgen/buildroot-config-src/main.config b/linux/buildroot-config-src/main.config similarity index 100% rename from tests/linux-testgen/buildroot-config-src/main.config rename to linux/buildroot-config-src/main.config diff --git a/tests/linux-testgen/buildroot-config-src/make-buildroot.sh b/linux/buildroot-config-src/make-buildroot.sh similarity index 100% rename from tests/linux-testgen/buildroot-config-src/make-buildroot.sh rename to linux/buildroot-config-src/make-buildroot.sh From ca459a59156078a1db5a0a212c6f0c414f7d342f Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 21:59:18 -0600 Subject: [PATCH 081/100] Removed VDWriteEnable. --- pipelined/src/cache/cache.sv | 6 +----- pipelined/src/cache/cachefsm.sv | 6 +----- pipelined/src/cache/cacheway.sv | 11 +++++------ 3 files changed, 7 insertions(+), 16 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index cd2a2dfb2..474a91562 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -99,10 +99,8 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( logic [NUMWAYS-1:0] NextFlushWay; logic FlushWayCntEn; logic FlushWayCntRst; - logic VDWriteEnable; logic SelEvict; logic LRUWriteEn; - logic [NUMWAYS-1:0] VDWriteEnableWay; logic SelFlush; logic ResetOrFlushAdr, ResetOrFlushWay; logic [NUMWAYS-1:0] WayHitSaved, WayHitRaw; @@ -124,7 +122,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( cacheway #(NUMLINES, LINELEN, TAGLEN, OFFSETLEN, SETLEN) CacheWays[NUMWAYS-1:0]( .clk, .reset, .RAdr, .PAdr, .WriteEnable(SRAMWayWriteEnable), - .VDWriteEnable(VDWriteEnableWay), .WriteWordEnable(SRAMWordEnable), .TagWriteEnable(SRAMLineWayWriteEnable), .WriteData(SRAMWriteData), @@ -191,7 +188,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .en(FlushWayCntEn), .val({{NUMWAYS-1{1'b0}}, 1'b1}), .d(NextFlushWay), .q(FlushWay)); assign FlushWayFlag = FlushWay[NUMWAYS-1]; - assign VDWriteEnableWay = FlushWay & {NUMWAYS{VDWriteEnable}}; assign NextFlushWay = {FlushWay[NUMWAYS-2:0], FlushWay[NUMWAYS-1]}; assign SelectedWay = SelFlush ? FlushWay : (SRAMLineWriteEnable ? VictimWay : WayHit); @@ -215,5 +211,5 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .FlushAdrCntEn, .FlushWayCntEn, .FlushAdrCntRst, .FlushWayCntRst, .FlushAdrFlag, .FlushWayFlag, .FlushCache, .save, .restore, - .VDWriteEnable, .LRUWriteEn); + .LRUWriteEn); endmodule diff --git a/pipelined/src/cache/cachefsm.sv b/pipelined/src/cache/cachefsm.sv index cbde7e018..63c452862 100644 --- a/pipelined/src/cache/cachefsm.sv +++ b/pipelined/src/cache/cachefsm.sv @@ -75,10 +75,7 @@ module cachefsm output logic FlushAdrCntRst, output logic FlushWayCntRst, output logic save, - output logic restore, - output logic VDWriteEnable - - ); + output logic restore); logic [1:0] PreSelAdr; logic resetDelay; @@ -201,7 +198,6 @@ module cachefsm (CurrState == STATE_MISS_READ_WORD_DELAY & DoAMO) | (CurrState == STATE_MISS_WRITE_WORD); assign SRAMLineWriteEnable = (CurrState == STATE_MISS_WRITE_CACHE_LINE); - assign VDWriteEnable = (CurrState == STATE_FLUSH_CLEAR_DIRTY); assign SelEvict = (CurrState == STATE_MISS_EVICT_DIRTY); assign LRUWriteEn = (CurrState == STATE_READY & (DoAMOHit | DoReadHit | DoWriteHit)) | (CurrState == STATE_MISS_READ_WORD_DELAY) | diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index 3d61e09dc..7cb2084ad 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -38,7 +38,6 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, input logic [$clog2(NUMLINES)-1:0] RAdr, input logic [`PA_BITS-1:0] PAdr, input logic WriteEnable, - input logic VDWriteEnable, input logic [LINELEN/`XLEN-1:0] WriteWordEnable, input logic TagWriteEnable, input logic [LINELEN-1:0] WriteData, @@ -69,7 +68,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, logic [$clog2(NUMLINES)-1:0] RAdrD; logic SetValidD, ClearValidD; logic SetDirtyD, ClearDirtyD; - logic WriteEnableD, VDWriteEnableD; + logic WriteEnableD; ///////////////////////////////////////////////////////////////////////////////////////////// // Tag Array @@ -113,8 +112,8 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, end // *** consider revisiting whether these delays are the best option? flop #($clog2(NUMLINES)) RAdrDelayReg(clk, RAdr, RAdrD); - flop #(4) ValidCtrlDelayReg(clk, {SetValid, ClearValid, WriteEnable, VDWriteEnable}, - {SetValidD, ClearValidD, WriteEnableD, VDWriteEnableD}); + flop #(3) ValidCtrlDelayReg(clk, {SetValid, ClearValid, WriteEnable}, + {SetValidD, ClearValidD, WriteEnableD}); assign Valid = ValidBits[RAdrD]; ///////////////////////////////////////////////////////////////////////////////////////////// @@ -125,8 +124,8 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, if (DIRTY_BITS) begin:dirty always_ff @(posedge clk) begin if (reset) DirtyBits <= #1 {NUMLINES{1'b0}}; - else if (SetDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[RAdrD] <= #1 1'b1; - else if (ClearDirtyD & (WriteEnableD | VDWriteEnableD)) DirtyBits[RAdrD] <= #1 1'b0; + else if (SetDirtyD) DirtyBits[RAdrD] <= #1 1'b1; + else if (ClearDirtyD) DirtyBits[RAdrD] <= #1 1'b0; end flop #(2) DirtyCtlDelayReg(clk, {SetDirty, ClearDirty}, {SetDirtyD, ClearDirtyD}); assign Dirty = DirtyBits[RAdrD]; From 190d619940659f563bd53edb34fab37adaee04c5 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Mon, 7 Feb 2022 22:09:56 -0600 Subject: [PATCH 082/100] cachefsm cleanup. --- pipelined/src/cache/cache.sv | 2 -- 1 file changed, 2 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 474a91562..5089672c3 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -125,7 +125,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( .WriteWordEnable(SRAMWordEnable), .TagWriteEnable(SRAMLineWayWriteEnable), .WriteData(SRAMWriteData), - //.SetValid(SetValidWay), .ClearValid(ClearValidWay), .SetDirty(SetDirtyWay), .ClearDirty(ClearDirtyWay), .SetValid(SetValidWay), .ClearValid(ClearValidWay), .SetDirty(SetDirtyWay), .ClearDirty(ClearDirtyWay), .SelEvict, .Victim(VictimWay), .Flush(FlushWay), .SelFlush, @@ -191,7 +190,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( assign NextFlushWay = {FlushWay[NUMWAYS-2:0], FlushWay[NUMWAYS-1]}; assign SelectedWay = SelFlush ? FlushWay : (SRAMLineWriteEnable ? VictimWay : WayHit); - //assign SelectedWay = SelFlush ? FlushWay : VictimWay; assign SetValidWay = SetValid ? SelectedWay : '0; assign ClearValidWay = ClearValid ? SelectedWay : '0; assign SetDirtyWay = SetDirty ? SelectedWay : '0; From 8688c457cbe28e2689c2456aeb0dc999369a4737 Mon Sep 17 00:00:00 2001 From: bbracker Date: Tue, 8 Feb 2022 08:10:32 +0000 Subject: [PATCH 083/100] add buildroot script --- .../start-buildroot-session.sh | 80 +++++++++++++++++++ 1 file changed, 80 insertions(+) create mode 100755 linux/buildroot-scripts/start-buildroot-session.sh diff --git a/linux/buildroot-scripts/start-buildroot-session.sh b/linux/buildroot-scripts/start-buildroot-session.sh new file mode 100755 index 000000000..9aed0ee10 --- /dev/null +++ b/linux/buildroot-scripts/start-buildroot-session.sh @@ -0,0 +1,80 @@ +script_dir=$(readlink -f ./) +personal_config=$(readlink -f ../buildroot-config-src) +shared_config=$RISCV/buildroot-config-src + +if [ -d "$shared_config" ]; then + echo "Hold the horses, friend!" >&2 + echo "There is already a buildroot-config-src folder in \$RISCV" >&2 + if [ ! -f "$shared_config/.owner" ]; then + echo "Oy vey -- it was improperly created too!" >&2 + echo "I see no .owner file in it!" >&2 + echo "Maybe just delete it." >&2 + exit 1 + fi + owner=$(cat $shared_config/.owner) + echo "It was created by $owner." >&2 + echo "Please contact them before overwriting their source files." >&2 + exit 1 +fi +echo "Starting new buildroot session" +# Copy configs to shared location +echo "Elevate permissions to copy ../buildroot-config-src to \$RISCV" +sudo cp -r "$personal_config" "$shared_config" +sudo chown -R cad $shared_config +# Document who created these configs +whoami>.owner +sudo mv .owner $shared_config +# Copy over main.config +echo "Copying main.config to buildroot/.config." +sudo cp $shared_config/main.config $RISCV/buildroot/.config +sudo chown cad $RISCV/buildroot/.config + +echo "==============================================" +echo "I'm about to sign you in as cad." +echo "" +echo "You can go straight to the \$RISCV/buildroot" +echo "and run \`make\` if you want." +echo "" +echo "You can also run:" +echo " * \`make menu-config\`" +echo " * \`make linux-menuconfig\`" +echo " * \`make busybox-menuconfig\`" +echo "but if you do, you have to make extra certain" +echo "that you LOAD and SAVE configs from/to " +echo "\$RISCV/buildroot-config-src." +echo "" +echo "Run \`exit\` to sign out when you are done." +echo "And then any configs that were modified in" +echo "\$RISCV/buildroot-config-src will be copied" +echo "back to ../buildroot-config-src." +echo "==============================================" +read -p "Press any key to sign in as cad" -n1 -s +echo "" +cd $RISCV +sudo su cad +cd $script_dir + +echo "" +echo "Ending buildroot session" +if [ ! -d "$shared_config" ]; then + echo "Warning: $shared_config has already been deleted." + exit 0 +fi +if [ ! -f "$shared_config/.owner" ]; then + echo "Oy vey -- no .owner file found.">&2 + echo "Not sure whether to delete $shared_config.">&2 + exit 1 +fi +owner=$(cat "$shared_config"/.owner) +if [ $owner != $(whoami) ]; then + echo "Whoah there! It seems $owner created $shared_config.">&2 + echo "Ask them before deleting their work.">&2 + exit 1 +fi +echo "Copying modified configs from \$RISCV/buildroot-config-src back to ../buildroot-config-src." +for file in $personal_config/*; do + file=$(basename $file) + cp $shared_config/$file $personal_config/$file +done +echo "Elevate permissions to remove personal configs from shared location." +sudo rm -r $shared_config From 26f2c139e65871d50244286e309f6f96e1d74fae Mon Sep 17 00:00:00 2001 From: bbracker Date: Tue, 8 Feb 2022 08:12:45 +0000 Subject: [PATCH 084/100] fix typo --- linux/buildroot-scripts/start-buildroot-session.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/linux/buildroot-scripts/start-buildroot-session.sh b/linux/buildroot-scripts/start-buildroot-session.sh index 9aed0ee10..f93815b6c 100755 --- a/linux/buildroot-scripts/start-buildroot-session.sh +++ b/linux/buildroot-scripts/start-buildroot-session.sh @@ -36,7 +36,7 @@ echo "You can go straight to the \$RISCV/buildroot" echo "and run \`make\` if you want." echo "" echo "You can also run:" -echo " * \`make menu-config\`" +echo " * \`make menuconfig\`" echo " * \`make linux-menuconfig\`" echo " * \`make busybox-menuconfig\`" echo "but if you do, you have to make extra certain" From 775e07d69af9e40d02f86940f9b85cc4e1b93223 Mon Sep 17 00:00:00 2001 From: bbracker Date: Tue, 8 Feb 2022 10:05:38 +0000 Subject: [PATCH 085/100] trying to move away from QEMU patches --- linux/add_wally_to_QEMU.patch | 645 ---------------------------------- linux/wallyVirtIO.patch | 542 ---------------------------- 2 files changed, 1187 deletions(-) delete mode 100644 linux/add_wally_to_QEMU.patch delete mode 100644 linux/wallyVirtIO.patch diff --git a/linux/add_wally_to_QEMU.patch b/linux/add_wally_to_QEMU.patch deleted file mode 100644 index 3b332f202..000000000 --- a/linux/add_wally_to_QEMU.patch +++ /dev/null @@ -1,645 +0,0 @@ -From 61696744ed1197c9435b85a4ac5610090faa3179 Mon Sep 17 00:00:00 2001 -From: bbracker -Date: Wed, 26 Jan 2022 14:43:11 +0000 -Subject: [PATCH] add Wally model to QEMU - ---- - configs/devices/riscv64-softmmu/default.mak | 1 + - hw/riscv/Kconfig | 7 + - hw/riscv/meson.build | 1 + - hw/riscv/wally.c | 501 ++++++++++++++++++++ - include/hw/riscv/wally.h | 79 +++ - 5 files changed, 589 insertions(+) - create mode 100644 hw/riscv/wally.c - create mode 100644 include/hw/riscv/wally.h - -diff --git a/configs/devices/riscv64-softmmu/default.mak b/configs/devices/riscv64-softmmu/default.mak -index bc69301fa4..396ebb82a1 100644 ---- a/configs/devices/riscv64-softmmu/default.mak -+++ b/configs/devices/riscv64-softmmu/default.mak -@@ -14,3 +14,4 @@ CONFIG_SIFIVE_U=y - CONFIG_RISCV_VIRT=y - CONFIG_MICROCHIP_PFSOC=y - CONFIG_SHAKTI_C=y -+CONFIG_WALLY=y -diff --git a/hw/riscv/Kconfig b/hw/riscv/Kconfig -index d2d869aaad..a7ed6ae06f 100644 ---- a/hw/riscv/Kconfig -+++ b/hw/riscv/Kconfig -@@ -81,3 +81,10 @@ config SPIKE - select MSI_NONBROKEN - select RISCV_ACLINT - select SIFIVE_PLIC -+ -+config WALLY -+ bool -+ select SERIAL -+ select RISCV_ACLINT -+ select SIFIVE_PLIC -+ select SIFIVE_TEST -diff --git a/hw/riscv/meson.build b/hw/riscv/meson.build -index ab6cae57ea..b468f2c87c 100644 ---- a/hw/riscv/meson.build -+++ b/hw/riscv/meson.build -@@ -9,5 +9,6 @@ riscv_ss.add(when: 'CONFIG_SIFIVE_E', if_true: files('sifive_e.c')) - riscv_ss.add(when: 'CONFIG_SIFIVE_U', if_true: files('sifive_u.c')) - riscv_ss.add(when: 'CONFIG_SPIKE', if_true: files('spike.c')) - riscv_ss.add(when: 'CONFIG_MICROCHIP_PFSOC', if_true: files('microchip_pfsoc.c')) -+riscv_ss.add(when: 'CONFIG_WALLY', if_true: files('wally.c')) - - hw_arch += {'riscv': riscv_ss} -diff --git a/hw/riscv/wally.c b/hw/riscv/wally.c -new file mode 100644 -index 0000000000..25792dd04c ---- /dev/null -+++ b/hw/riscv/wally.c -@@ -0,0 +1,501 @@ -+/* -+ * QEMU RISC-V Wally Board -+ * Modified from Virt Board -+ * -+ * Copyright (c) 2017 SiFive, Inc. -+ * *** What should we say for copyright? -+ * -+ * RISC-V machine with 16550a UART and VirtIO MMIO -+ * -+ * This program is free software; you can redistribute it and/or modify it -+ * under the terms and conditions of the GNU General Public License, -+ * version 2 or later, as published by the Free Software Foundation. -+ * -+ * This program is distributed in the hope it will be useful, but WITHOUT -+ * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -+ * FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for -+ * more details. -+ * -+ * You should have received a copy of the GNU General Public License along with -+ * this program. If not, see . -+ */ -+ -+#include "qemu/osdep.h" -+#include "qemu/units.h" -+#include "qemu/error-report.h" -+#include "qapi/error.h" -+#include "hw/boards.h" -+#include "hw/loader.h" -+#include "hw/sysbus.h" -+#include "hw/qdev-properties.h" -+#include "hw/char/serial.h" -+#include "target/riscv/cpu.h" -+#include "hw/riscv/riscv_hart.h" -+#include "hw/riscv/wally.h" -+#include "hw/riscv/boot.h" -+#include "hw/riscv/numa.h" -+#include "hw/intc/riscv_aclint.h" -+#include "hw/intc/sifive_plic.h" -+#include "hw/misc/sifive_test.h" -+#include "chardev/char.h" -+#include "sysemu/device_tree.h" -+#include "sysemu/sysemu.h" -+#include "hw/pci/pci.h" -+#include "hw/pci-host/gpex.h" -+#include "hw/display/ramfb.h" -+ -+static const MemMapEntry wally_memmap[] = { -+ [WALLY_MROM] = { 0x1000, 0xf000 }, -+ [WALLY_CLINT] = { 0x2000000, 0x10000 }, -+ [WALLY_PLIC] = { 0xc000000, WALLY_PLIC_SIZE(WALLY_CPUS_MAX * 2) }, -+ [WALLY_UART0] = { 0x10000000, 0x100 }, -+ [WALLY_DRAM] = { 0x80000000, 0x0 }, -+}; -+ -+static void create_fdt_socket_cpus(WallyState *s, int socket, -+ char *clust_name, uint32_t *phandle, -+ bool is_32_bit, uint32_t *intc_phandles) -+{ -+ int cpu; -+ uint32_t cpu_phandle; -+ MachineState *mc = MACHINE(s); -+ char *name, *cpu_name, *core_name, *intc_name; -+ -+ for (cpu = s->soc[socket].num_harts - 1; cpu >= 0; cpu--) { -+ cpu_phandle = (*phandle)++; -+ -+ cpu_name = g_strdup_printf("/cpus/cpu@%d", -+ s->soc[socket].hartid_base + cpu); -+ qemu_fdt_add_subnode(mc->fdt, cpu_name); -+ qemu_fdt_setprop_string(mc->fdt, cpu_name, "mmu-type", -+ (is_32_bit) ? "riscv,sv32" : "riscv,sv48"); -+ name = riscv_isa_string(&s->soc[socket].harts[cpu]); -+ qemu_fdt_setprop_string(mc->fdt, cpu_name, "riscv,isa", name); -+ g_free(name); -+ qemu_fdt_setprop_string(mc->fdt, cpu_name, "compatible", "riscv"); -+ qemu_fdt_setprop_string(mc->fdt, cpu_name, "status", "okay"); -+ qemu_fdt_setprop_cell(mc->fdt, cpu_name, "reg", -+ s->soc[socket].hartid_base + cpu); -+ qemu_fdt_setprop_string(mc->fdt, cpu_name, "device_type", "cpu"); -+ riscv_socket_fdt_write_id(mc, mc->fdt, cpu_name, socket); -+ qemu_fdt_setprop_cell(mc->fdt, cpu_name, "phandle", cpu_phandle); -+ -+ intc_phandles[cpu] = (*phandle)++; -+ -+ intc_name = g_strdup_printf("%s/interrupt-controller", cpu_name); -+ qemu_fdt_add_subnode(mc->fdt, intc_name); -+ qemu_fdt_setprop_cell(mc->fdt, intc_name, "phandle", -+ intc_phandles[cpu]); -+ qemu_fdt_setprop_string(mc->fdt, intc_name, "compatible", -+ "riscv,cpu-intc"); -+ qemu_fdt_setprop(mc->fdt, intc_name, "interrupt-controller", NULL, 0); -+ qemu_fdt_setprop_cell(mc->fdt, intc_name, "#interrupt-cells", 1); -+ -+ core_name = g_strdup_printf("%s/core%d", clust_name, cpu); -+ qemu_fdt_add_subnode(mc->fdt, core_name); -+ qemu_fdt_setprop_cell(mc->fdt, core_name, "cpu", cpu_phandle); -+ -+ g_free(core_name); -+ g_free(intc_name); -+ g_free(cpu_name); -+ } -+} -+ -+static void create_fdt_socket_memory(WallyState *s, -+ const MemMapEntry *memmap, int socket) -+{ -+ char *mem_name; -+ uint64_t addr, size; -+ MachineState *mc = MACHINE(s); -+ -+ addr = memmap[WALLY_DRAM].base + riscv_socket_mem_offset(mc, socket); -+ size = riscv_socket_mem_size(mc, socket); -+ mem_name = g_strdup_printf("/memory@%lx", (long)addr); -+ qemu_fdt_add_subnode(mc->fdt, mem_name); -+ qemu_fdt_setprop_cells(mc->fdt, mem_name, "reg", -+ addr >> 32, addr, size >> 32, size); -+ qemu_fdt_setprop_string(mc->fdt, mem_name, "device_type", "memory"); -+ riscv_socket_fdt_write_id(mc, mc->fdt, mem_name, socket); -+ g_free(mem_name); -+} -+ -+static void create_fdt_socket_clint(WallyState *s, -+ const MemMapEntry *memmap, int socket, -+ uint32_t *intc_phandles) -+{ -+ int cpu; -+ char *clint_name; -+ uint32_t *clint_cells; -+ unsigned long clint_addr; -+ MachineState *mc = MACHINE(s); -+ static const char * const clint_compat[2] = { -+ "sifive,clint0", "riscv,clint0" -+ }; -+ -+ clint_cells = g_new0(uint32_t, s->soc[socket].num_harts * 4); -+ -+ for (cpu = 0; cpu < s->soc[socket].num_harts; cpu++) { -+ clint_cells[cpu * 4 + 0] = cpu_to_be32(intc_phandles[cpu]); -+ clint_cells[cpu * 4 + 1] = cpu_to_be32(IRQ_M_SOFT); -+ clint_cells[cpu * 4 + 2] = cpu_to_be32(intc_phandles[cpu]); -+ clint_cells[cpu * 4 + 3] = cpu_to_be32(IRQ_M_TIMER); -+ } -+ -+ clint_addr = memmap[WALLY_CLINT].base + (memmap[WALLY_CLINT].size * socket); -+ clint_name = g_strdup_printf("/soc/clint@%lx", clint_addr); -+ qemu_fdt_add_subnode(mc->fdt, clint_name); -+ qemu_fdt_setprop_string_array(mc->fdt, clint_name, "compatible", -+ (char **)&clint_compat, -+ ARRAY_SIZE(clint_compat)); -+ qemu_fdt_setprop_cells(mc->fdt, clint_name, "reg", -+ 0x0, clint_addr, 0x0, memmap[WALLY_CLINT].size); -+ qemu_fdt_setprop(mc->fdt, clint_name, "interrupts-extended", -+ clint_cells, s->soc[socket].num_harts * sizeof(uint32_t) * 4); -+ riscv_socket_fdt_write_id(mc, mc->fdt, clint_name, socket); -+ g_free(clint_name); -+ -+ g_free(clint_cells); -+} -+ -+ -+static void create_fdt_socket_plic(WallyState *s, -+ const MemMapEntry *memmap, int socket, -+ uint32_t *phandle, uint32_t *intc_phandles, -+ uint32_t *plic_phandles) -+{ -+ int cpu; -+ char *plic_name; -+ uint32_t *plic_cells; -+ unsigned long plic_addr; -+ MachineState *mc = MACHINE(s); -+ static const char * const plic_compat[2] = { -+ "sifive,plic-1.0.0", "riscv,plic0" -+ }; -+ -+ plic_cells = g_new0(uint32_t, s->soc[socket].num_harts * 4); -+ -+ for (cpu = 0; cpu < s->soc[socket].num_harts; cpu++) { -+ plic_cells[cpu * 4 + 0] = cpu_to_be32(intc_phandles[cpu]); -+ plic_cells[cpu * 4 + 1] = cpu_to_be32(IRQ_M_EXT); -+ plic_cells[cpu * 4 + 2] = cpu_to_be32(intc_phandles[cpu]); -+ plic_cells[cpu * 4 + 3] = cpu_to_be32(IRQ_S_EXT); -+ } -+ -+ plic_phandles[socket] = (*phandle)++; -+ plic_addr = memmap[WALLY_PLIC].base + (memmap[WALLY_PLIC].size * socket); -+ plic_name = g_strdup_printf("/soc/plic@%lx", plic_addr); -+ qemu_fdt_add_subnode(mc->fdt, plic_name); -+ qemu_fdt_setprop_cell(mc->fdt, plic_name, -+ "#address-cells", FDT_PLIC_ADDR_CELLS); -+ qemu_fdt_setprop_cell(mc->fdt, plic_name, -+ "#interrupt-cells", FDT_PLIC_INT_CELLS); -+ qemu_fdt_setprop_string_array(mc->fdt, plic_name, "compatible", -+ (char **)&plic_compat, -+ ARRAY_SIZE(plic_compat)); -+ qemu_fdt_setprop(mc->fdt, plic_name, "interrupt-controller", NULL, 0); -+ qemu_fdt_setprop(mc->fdt, plic_name, "interrupts-extended", -+ plic_cells, s->soc[socket].num_harts * sizeof(uint32_t) * 4); -+ qemu_fdt_setprop_cells(mc->fdt, plic_name, "reg", -+ 0x0, plic_addr, 0x0, memmap[WALLY_PLIC].size); -+ qemu_fdt_setprop_cell(mc->fdt, plic_name, "riscv,ndev", WALLYIO_NDEV); -+ riscv_socket_fdt_write_id(mc, mc->fdt, plic_name, socket); -+ qemu_fdt_setprop_cell(mc->fdt, plic_name, "phandle", -+ plic_phandles[socket]); -+ g_free(plic_name); -+ -+ g_free(plic_cells); -+} -+ -+static void create_fdt_sockets(WallyState *s, const MemMapEntry *memmap, -+ bool is_32_bit, uint32_t *phandle) -+{ -+ int socket; -+ char *clust_name; -+ uint32_t *intc_phandles; -+ MachineState *mc = MACHINE(s); -+ uint32_t xplic_phandles[MAX_NODES]; -+ -+ qemu_fdt_add_subnode(mc->fdt, "/cpus"); -+ qemu_fdt_setprop_cell(mc->fdt, "/cpus", "timebase-frequency", -+ RISCV_ACLINT_DEFAULT_TIMEBASE_FREQ); -+ qemu_fdt_setprop_cell(mc->fdt, "/cpus", "#size-cells", 0x0); -+ qemu_fdt_setprop_cell(mc->fdt, "/cpus", "#address-cells", 0x1); -+ qemu_fdt_add_subnode(mc->fdt, "/cpus/cpu-map"); -+ -+ for (socket = (riscv_socket_count(mc) - 1); socket >= 0; socket--) { -+ clust_name = g_strdup_printf("/cpus/cpu-map/cluster%d", socket); -+ qemu_fdt_add_subnode(mc->fdt, clust_name); -+ -+ intc_phandles = g_new0(uint32_t, s->soc[socket].num_harts); -+ -+ create_fdt_socket_cpus(s, socket, clust_name, phandle, -+ is_32_bit, intc_phandles); -+ -+ create_fdt_socket_memory(s, memmap, socket); -+ -+ create_fdt_socket_clint(s, memmap, socket, intc_phandles); -+ -+ create_fdt_socket_plic(s, memmap, socket, phandle, -+ intc_phandles, xplic_phandles); -+ -+ g_free(intc_phandles); -+ g_free(clust_name); -+ } -+ riscv_socket_fdt_write_distance_matrix(mc, mc->fdt); -+} -+ -+static void create_fdt_uart(WallyState *s, const MemMapEntry *memmap) -+{ -+ char *name; -+ MachineState *mc = MACHINE(s); -+ -+ name = g_strdup_printf("/soc/uart@%lx", (long)memmap[WALLY_UART0].base); -+ qemu_fdt_add_subnode(mc->fdt, name); -+ qemu_fdt_setprop_string(mc->fdt, name, "compatible", "ns16550a"); -+ qemu_fdt_setprop_cells(mc->fdt, name, "reg", -+ 0x0, memmap[WALLY_UART0].base, -+ 0x0, memmap[WALLY_UART0].size); -+ qemu_fdt_setprop_cell(mc->fdt, name, "clock-frequency", 3686400); -+ qemu_fdt_setprop_cell(mc->fdt, name, "interrupts", UART0_IRQ); -+ -+ qemu_fdt_add_subnode(mc->fdt, "/chosen"); -+ qemu_fdt_setprop_string(mc->fdt, "/chosen", "stdout-path", name); -+ g_free(name); -+} -+ -+static void create_fdt(WallyState *s, const MemMapEntry *memmap, -+ uint64_t mem_size, const char *cmdline, bool is_32_bit) -+{ -+ MachineState *mc = MACHINE(s); -+ uint32_t phandle = 1; -+ -+ if (mc->dtb) { -+ mc->fdt = load_device_tree(mc->dtb, &s->fdt_size); -+ if (!mc->fdt) { -+ error_report("load_device_tree() failed"); -+ exit(1); -+ } -+ goto update_bootargs; -+ } else { -+ mc->fdt = create_device_tree(&s->fdt_size); -+ if (!mc->fdt) { -+ error_report("create_device_tree() failed"); -+ exit(1); -+ } -+ } -+ -+ qemu_fdt_setprop_string(mc->fdt, "/", "model", "riscv-wally,qemu"); -+ qemu_fdt_setprop_string(mc->fdt, "/", "compatible", "riscv-wally"); -+ qemu_fdt_setprop_cell(mc->fdt, "/", "#size-cells", 0x2); -+ qemu_fdt_setprop_cell(mc->fdt, "/", "#address-cells", 0x2); -+ -+ qemu_fdt_add_subnode(mc->fdt, "/soc"); -+ qemu_fdt_setprop(mc->fdt, "/soc", "ranges", NULL, 0); -+ qemu_fdt_setprop_string(mc->fdt, "/soc", "compatible", "simple-bus"); -+ qemu_fdt_setprop_cell(mc->fdt, "/soc", "#size-cells", 0x2); -+ qemu_fdt_setprop_cell(mc->fdt, "/soc", "#address-cells", 0x2); -+ -+ create_fdt_sockets(s, memmap, is_32_bit, &phandle); -+ create_fdt_uart(s, memmap); -+ -+update_bootargs: -+ if (cmdline) { -+ qemu_fdt_setprop_string(mc->fdt, "/chosen", "bootargs", cmdline); -+ } -+} -+ -+static void wally_machine_init(MachineState *machine) -+{ -+ const MemMapEntry *memmap = wally_memmap; -+ WallyState *s = RISCV_WALLY_MACHINE(machine); -+ MemoryRegion *system_memory = get_system_memory(); -+ MemoryRegion *mask_rom = g_new(MemoryRegion, 1); -+ char *plic_hart_config, *soc_name; -+ target_ulong start_addr = memmap[WALLY_DRAM].base; -+ target_ulong firmware_end_addr, kernel_start_addr; -+ uint32_t fdt_load_addr; -+ uint64_t kernel_entry; -+ DeviceState *mmio_plic, *wallyio_plic, *pcie_plic; -+ int i, base_hartid, hart_count; -+ -+ /* Check socket count limit */ -+ if (WALLY_SOCKETS_MAX < riscv_socket_count(machine)) { -+ error_report("number of sockets/nodes should be less than %d", -+ WALLY_SOCKETS_MAX); -+ exit(1); -+ } -+ -+ /* Initialize sockets */ -+ mmio_plic = wallyio_plic = pcie_plic = NULL; -+ for (i = 0; i < riscv_socket_count(machine); i++) { -+ if (!riscv_socket_check_hartids(machine, i)) { -+ error_report("discontinuous hartids in socket%d", i); -+ exit(1); -+ } -+ -+ base_hartid = riscv_socket_first_hartid(machine, i); -+ if (base_hartid < 0) { -+ error_report("can't find hartid base for socket%d", i); -+ exit(1); -+ } -+ -+ hart_count = riscv_socket_hart_count(machine, i); -+ if (hart_count < 0) { -+ error_report("can't find hart count for socket%d", i); -+ exit(1); -+ } -+ -+ soc_name = g_strdup_printf("soc%d", i); -+ object_initialize_child(OBJECT(machine), soc_name, &s->soc[i], -+ TYPE_RISCV_HART_ARRAY); -+ g_free(soc_name); -+ object_property_set_str(OBJECT(&s->soc[i]), "cpu-type", -+ machine->cpu_type, &error_abort); -+ object_property_set_int(OBJECT(&s->soc[i]), "hartid-base", -+ base_hartid, &error_abort); -+ object_property_set_int(OBJECT(&s->soc[i]), "num-harts", -+ hart_count, &error_abort); -+ sysbus_realize(SYS_BUS_DEVICE(&s->soc[i]), &error_abort); -+ -+ /* Per-socket CLINT */ -+ riscv_aclint_swi_create( -+ memmap[WALLY_CLINT].base + i * memmap[WALLY_CLINT].size, -+ base_hartid, hart_count, false); -+ riscv_aclint_mtimer_create( -+ memmap[WALLY_CLINT].base + i * memmap[WALLY_CLINT].size + -+ RISCV_ACLINT_SWI_SIZE, -+ RISCV_ACLINT_DEFAULT_MTIMER_SIZE, base_hartid, hart_count, -+ RISCV_ACLINT_DEFAULT_MTIMECMP, RISCV_ACLINT_DEFAULT_MTIME, -+ RISCV_ACLINT_DEFAULT_TIMEBASE_FREQ, true); -+ -+ /* Per-socket PLIC hart topology configuration string */ -+ plic_hart_config = riscv_plic_hart_config_string(hart_count); -+ -+ /* Per-socket PLIC */ -+ s->plic[i] = sifive_plic_create( -+ memmap[WALLY_PLIC].base + i * memmap[WALLY_PLIC].size, -+ plic_hart_config, hart_count, base_hartid, -+ WALLY_PLIC_NUM_SOURCES, -+ WALLY_PLIC_NUM_PRIORITIES, -+ WALLY_PLIC_PRIORITY_BASE, -+ WALLY_PLIC_PENDING_BASE, -+ WALLY_PLIC_ENABLE_BASE, -+ WALLY_PLIC_ENABLE_STRIDE, -+ WALLY_PLIC_CONTEXT_BASE, -+ WALLY_PLIC_CONTEXT_STRIDE, -+ memmap[WALLY_PLIC].size); -+ g_free(plic_hart_config); -+ -+ /* Try to use different PLIC instance based device type */ -+ if (i == 0) { -+ mmio_plic = s->plic[i]; -+ } -+ } -+ -+ if (riscv_is_32bit(&s->soc[0])) { -+#if HOST_LONG_BITS == 64 -+ /* limit RAM size in a 32-bit system */ -+ if (machine->ram_size > 10 * GiB) { -+ machine->ram_size = 10 * GiB; -+ error_report("Limiting RAM size to 10 GiB"); -+ } -+#endif -+ } -+ -+ /* register system main memory (actual RAM) */ -+ memory_region_add_subregion(system_memory, memmap[WALLY_DRAM].base, -+ machine->ram); -+ -+ /* create device tree */ -+ create_fdt(s, memmap, machine->ram_size, machine->kernel_cmdline, -+ riscv_is_32bit(&s->soc[0])); -+ -+ /* boot rom */ -+ memory_region_init_rom(mask_rom, NULL, "wally_board.mrom", -+ memmap[WALLY_MROM].size, &error_fatal); -+ memory_region_add_subregion(system_memory, memmap[WALLY_MROM].base, -+ mask_rom); -+ -+ if (riscv_is_32bit(&s->soc[0])) { -+ firmware_end_addr = riscv_find_and_load_firmware(machine, -+ RISCV32_BIOS_BIN, start_addr, NULL); -+ } else { -+ firmware_end_addr = riscv_find_and_load_firmware(machine, -+ RISCV64_BIOS_BIN, start_addr, NULL); -+ } -+ -+ if (machine->kernel_filename) { -+ kernel_start_addr = riscv_calc_kernel_start_addr(&s->soc[0], -+ firmware_end_addr); -+ -+ kernel_entry = riscv_load_kernel(machine->kernel_filename, -+ kernel_start_addr, NULL); -+ -+ if (machine->initrd_filename) { -+ hwaddr start; -+ hwaddr end = riscv_load_initrd(machine->initrd_filename, -+ machine->ram_size, kernel_entry, -+ &start); -+ qemu_fdt_setprop_cell(machine->fdt, "/chosen", -+ "linux,initrd-start", start); -+ qemu_fdt_setprop_cell(machine->fdt, "/chosen", "linux,initrd-end", -+ end); -+ } -+ } else { -+ /* -+ * If dynamic firmware is used, it doesn't know where is the next mode -+ * if kernel argument is not set. -+ */ -+ kernel_entry = 0; -+ } -+ -+ /* Compute the fdt load address in dram */ -+ fdt_load_addr = riscv_load_fdt(memmap[WALLY_DRAM].base, -+ machine->ram_size, machine->fdt); -+ /* load the reset vector */ -+ riscv_setup_rom_reset_vec(machine, &s->soc[0], start_addr, -+ wally_memmap[WALLY_MROM].base, -+ wally_memmap[WALLY_MROM].size, kernel_entry, -+ fdt_load_addr, machine->fdt); -+ -+ serial_mm_init(system_memory, memmap[WALLY_UART0].base, -+ 0, qdev_get_gpio_in(DEVICE(mmio_plic), UART0_IRQ), 399193, -+ serial_hd(0), DEVICE_LITTLE_ENDIAN); -+} -+ -+static void wally_machine_instance_init(Object *obj) -+{ -+} -+ -+static void wally_machine_class_init(ObjectClass *oc, void *data) -+{ -+ MachineClass *mc = MACHINE_CLASS(oc); -+ -+ mc->desc = "Wally SoC"; -+ mc->init = wally_machine_init; -+ mc->max_cpus = WALLY_CPUS_MAX; -+ mc->default_cpu_type = TYPE_RISCV_CPU_BASE; -+ mc->pci_allow_0_address = true; -+ mc->possible_cpu_arch_ids = riscv_numa_possible_cpu_arch_ids; -+ mc->cpu_index_to_instance_props = riscv_numa_cpu_index_to_props; -+ mc->get_default_cpu_node_id = riscv_numa_get_default_cpu_node_id; -+ mc->numa_mem_supported = false; -+ mc->default_ram_id = "wally_board.ram"; -+ -+ machine_class_allow_dynamic_sysbus_dev(mc, TYPE_RAMFB_DEVICE); -+} -+ -+static const TypeInfo wally_machine_typeinfo = { -+ .name = MACHINE_TYPE_NAME("wally"), -+ .parent = TYPE_MACHINE, -+ .class_init = wally_machine_class_init, -+ .instance_init = wally_machine_instance_init, -+ .instance_size = sizeof(WallyState), -+}; -+ -+static void wally_machine_init_register_types(void) -+{ -+ type_register_static(&wally_machine_typeinfo); -+} -+ -+type_init(wally_machine_init_register_types) -diff --git a/include/hw/riscv/wally.h b/include/hw/riscv/wally.h -new file mode 100644 -index 0000000000..80f2cc15dc ---- /dev/null -+++ b/include/hw/riscv/wally.h -@@ -0,0 +1,79 @@ -+/* -+ * QEMU RISC-V Wally machine interface -+ * Modified from VirtIO model -+ * -+ * Copyright (c) 2017 SiFive, Inc. -+ * *** What should we say for copyright? -+ * -+ * This program is free software; you can redistribute it and/or modify it -+ * under the terms and conditions of the GNU General Public License, -+ * version 2 or later, as published by the Free Software Foundation. -+ * -+ * This program is distributed in the hope it will be useful, but WITHOUT -+ * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -+ * FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for -+ * more details. -+ * -+ * You should have received a copy of the GNU General Public License along with -+ * this program. If not, see . -+ */ -+ -+#ifndef HW_RISCV_WALLY_H -+#define HW_RISCV_WALLY_H -+ -+#include "hw/riscv/riscv_hart.h" -+#include "hw/sysbus.h" -+#include "qom/object.h" -+ -+#define WALLY_CPUS_MAX 8 -+#define WALLY_SOCKETS_MAX 8 -+ -+#define TYPE_RISCV_WALLY_MACHINE MACHINE_TYPE_NAME("wally") -+typedef struct WallyState WallyState; -+DECLARE_INSTANCE_CHECKER(WallyState, RISCV_WALLY_MACHINE, -+ TYPE_RISCV_WALLY_MACHINE) -+ -+struct WallyState { -+ /*< private >*/ -+ MachineState parent; -+ -+ /*< public >*/ -+ RISCVHartArrayState soc[WALLY_SOCKETS_MAX]; -+ DeviceState *plic[WALLY_SOCKETS_MAX]; -+ -+ int fdt_size; -+ bool have_aclint; -+}; -+ -+enum { -+ WALLY_MROM, -+ WALLY_CLINT, -+ WALLY_PLIC, -+ WALLY_UART0, -+ WALLY_DRAM, -+}; -+ -+enum { -+ UART0_IRQ = 10, -+ WALLYIO_NDEV = 0x35 /* Arbitrary maximum number of interrupts */ -+}; -+ -+#define WALLY_PLIC_NUM_SOURCES 127 -+#define WALLY_PLIC_NUM_PRIORITIES 7 -+#define WALLY_PLIC_PRIORITY_BASE 0x04 -+#define WALLY_PLIC_PENDING_BASE 0x1000 -+#define WALLY_PLIC_ENABLE_BASE 0x2000 -+#define WALLY_PLIC_ENABLE_STRIDE 0x80 -+#define WALLY_PLIC_CONTEXT_BASE 0x200000 -+#define WALLY_PLIC_CONTEXT_STRIDE 0x1000 -+#define WALLY_PLIC_SIZE(__num_context) \ -+ (WALLY_PLIC_CONTEXT_BASE + (__num_context) * WALLY_PLIC_CONTEXT_STRIDE) -+ -+#define FDT_PCI_ADDR_CELLS 3 -+#define FDT_PCI_INT_CELLS 1 -+#define FDT_PLIC_ADDR_CELLS 0 -+#define FDT_PLIC_INT_CELLS 1 -+#define FDT_INT_MAP_WIDTH (FDT_PCI_ADDR_CELLS + FDT_PCI_INT_CELLS + 1 + \ -+ FDT_PLIC_ADDR_CELLS + FDT_PLIC_INT_CELLS) -+ -+#endif --- -2.27.0 - diff --git a/linux/wallyVirtIO.patch b/linux/wallyVirtIO.patch deleted file mode 100644 index 76a1d240e..000000000 --- a/linux/wallyVirtIO.patch +++ /dev/null @@ -1,542 +0,0 @@ -diff --git a/hw/riscv/virt.c b/hw/riscv/virt.c -index 4a3cd2599a..39b46e3122 100644 ---- a/hw/riscv/virt.c -+++ b/hw/riscv/virt.c -@@ -20,6 +20,7 @@ - - #include "qemu/osdep.h" - #include "qemu/units.h" -+#include "qemu/log.h" - #include "qemu/error-report.h" - #include "qapi/error.h" - #include "hw/boards.h" -@@ -44,19 +45,10 @@ - #include "hw/display/ramfb.h" - - static const MemMapEntry virt_memmap[] = { -- [VIRT_DEBUG] = { 0x0, 0x100 }, - [VIRT_MROM] = { 0x1000, 0xf000 }, -- [VIRT_TEST] = { 0x100000, 0x1000 }, -- [VIRT_RTC] = { 0x101000, 0x1000 }, - [VIRT_CLINT] = { 0x2000000, 0x10000 }, -- [VIRT_PCIE_PIO] = { 0x3000000, 0x10000 }, - [VIRT_PLIC] = { 0xc000000, VIRT_PLIC_SIZE(VIRT_CPUS_MAX * 2) }, - [VIRT_UART0] = { 0x10000000, 0x100 }, -- [VIRT_VIRTIO] = { 0x10001000, 0x1000 }, -- [VIRT_FW_CFG] = { 0x10100000, 0x18 }, -- [VIRT_FLASH] = { 0x20000000, 0x4000000 }, -- [VIRT_PCIE_ECAM] = { 0x30000000, 0x10000000 }, -- [VIRT_PCIE_MMIO] = { 0x40000000, 0x40000000 }, - [VIRT_DRAM] = { 0x80000000, 0x0 }, - }; - -@@ -67,139 +59,23 @@ static const MemMapEntry virt_memmap[] = { - /* PCIe high mmio for RV64, size is fixed but base depends on top of RAM */ - #define VIRT64_HIGH_PCIE_MMIO_SIZE (16 * GiB) - --static MemMapEntry virt_high_pcie_memmap; -- - #define VIRT_FLASH_SECTOR_SIZE (256 * KiB) - --static PFlashCFI01 *virt_flash_create1(RISCVVirtState *s, -- const char *name, -- const char *alias_prop_name) --{ -- /* -- * Create a single flash device. We use the same parameters as -- * the flash devices on the ARM virt board. -- */ -- DeviceState *dev = qdev_new(TYPE_PFLASH_CFI01); -- -- qdev_prop_set_uint64(dev, "sector-length", VIRT_FLASH_SECTOR_SIZE); -- qdev_prop_set_uint8(dev, "width", 4); -- qdev_prop_set_uint8(dev, "device-width", 2); -- qdev_prop_set_bit(dev, "big-endian", false); -- qdev_prop_set_uint16(dev, "id0", 0x89); -- qdev_prop_set_uint16(dev, "id1", 0x18); -- qdev_prop_set_uint16(dev, "id2", 0x00); -- qdev_prop_set_uint16(dev, "id3", 0x00); -- qdev_prop_set_string(dev, "name", name); -- -- object_property_add_child(OBJECT(s), name, OBJECT(dev)); -- object_property_add_alias(OBJECT(s), alias_prop_name, -- OBJECT(dev), "drive"); -- -- return PFLASH_CFI01(dev); --} -- --static void virt_flash_create(RISCVVirtState *s) --{ -- s->flash[0] = virt_flash_create1(s, "virt.flash0", "pflash0"); -- s->flash[1] = virt_flash_create1(s, "virt.flash1", "pflash1"); --} -- --static void virt_flash_map1(PFlashCFI01 *flash, -- hwaddr base, hwaddr size, -- MemoryRegion *sysmem) --{ -- DeviceState *dev = DEVICE(flash); -- -- assert(QEMU_IS_ALIGNED(size, VIRT_FLASH_SECTOR_SIZE)); -- assert(size / VIRT_FLASH_SECTOR_SIZE <= UINT32_MAX); -- qdev_prop_set_uint32(dev, "num-blocks", size / VIRT_FLASH_SECTOR_SIZE); -- sysbus_realize_and_unref(SYS_BUS_DEVICE(dev), &error_fatal); -- -- memory_region_add_subregion(sysmem, base, -- sysbus_mmio_get_region(SYS_BUS_DEVICE(dev), -- 0)); --} -- --static void virt_flash_map(RISCVVirtState *s, -- MemoryRegion *sysmem) --{ -- hwaddr flashsize = virt_memmap[VIRT_FLASH].size / 2; -- hwaddr flashbase = virt_memmap[VIRT_FLASH].base; -- -- virt_flash_map1(s->flash[0], flashbase, flashsize, -- sysmem); -- virt_flash_map1(s->flash[1], flashbase + flashsize, flashsize, -- sysmem); --} -- --static void create_pcie_irq_map(void *fdt, char *nodename, -- uint32_t plic_phandle) --{ -- int pin, dev; -- uint32_t -- full_irq_map[GPEX_NUM_IRQS * GPEX_NUM_IRQS * FDT_INT_MAP_WIDTH] = {}; -- uint32_t *irq_map = full_irq_map; -- -- /* This code creates a standard swizzle of interrupts such that -- * each device's first interrupt is based on it's PCI_SLOT number. -- * (See pci_swizzle_map_irq_fn()) -- * -- * We only need one entry per interrupt in the table (not one per -- * possible slot) seeing the interrupt-map-mask will allow the table -- * to wrap to any number of devices. -- */ -- for (dev = 0; dev < GPEX_NUM_IRQS; dev++) { -- int devfn = dev * 0x8; -- -- for (pin = 0; pin < GPEX_NUM_IRQS; pin++) { -- int irq_nr = PCIE_IRQ + ((pin + PCI_SLOT(devfn)) % GPEX_NUM_IRQS); -- int i = 0; -- -- irq_map[i] = cpu_to_be32(devfn << 8); -- -- i += FDT_PCI_ADDR_CELLS; -- irq_map[i] = cpu_to_be32(pin + 1); -- -- i += FDT_PCI_INT_CELLS; -- irq_map[i++] = cpu_to_be32(plic_phandle); -- -- i += FDT_PLIC_ADDR_CELLS; -- irq_map[i] = cpu_to_be32(irq_nr); -- -- irq_map += FDT_INT_MAP_WIDTH; -- } -- } -- -- qemu_fdt_setprop(fdt, nodename, "interrupt-map", -- full_irq_map, sizeof(full_irq_map)); -- -- qemu_fdt_setprop_cells(fdt, nodename, "interrupt-map-mask", -- 0x1800, 0, 0, 0x7); --} -- - static void create_fdt(RISCVVirtState *s, const MemMapEntry *memmap, - uint64_t mem_size, const char *cmdline, bool is_32_bit) - { - void *fdt; -- int i, cpu, socket; -+ //int i, cpu, socket; -+ int cpu, socket; - MachineState *mc = MACHINE(s); - uint64_t addr, size; - uint32_t *clint_cells, *plic_cells; - unsigned long clint_addr, plic_addr; - uint32_t plic_phandle[MAX_NODES]; -- uint32_t cpu_phandle, intc_phandle, test_phandle; -+ uint32_t cpu_phandle, intc_phandle; - uint32_t phandle = 1, plic_mmio_phandle = 1; -- uint32_t plic_pcie_phandle = 1, plic_virtio_phandle = 1; - char *mem_name, *cpu_name, *core_name, *intc_name; - char *name, *clint_name, *plic_name, *clust_name; -- hwaddr flashsize = virt_memmap[VIRT_FLASH].size / 2; -- hwaddr flashbase = virt_memmap[VIRT_FLASH].base; -- static const char * const clint_compat[2] = { -- "sifive,clint0", "riscv,clint0" -- }; -- static const char * const plic_compat[2] = { -- "sifive,plic-1.0.0", "riscv,plic0" -- }; - - if (mc->dtb) { - fdt = mc->fdt = load_device_tree(mc->dtb, &s->fdt_size); -@@ -305,8 +181,7 @@ static void create_fdt(RISCVVirtState *s, const MemMapEntry *memmap, - (memmap[VIRT_CLINT].size * socket); - clint_name = g_strdup_printf("/soc/clint@%lx", clint_addr); - qemu_fdt_add_subnode(fdt, clint_name); -- qemu_fdt_setprop_string_array(fdt, clint_name, "compatible", -- (char **)&clint_compat, ARRAY_SIZE(clint_compat)); -+ qemu_fdt_setprop_string(fdt, clint_name, "compatible", "riscv,clint0"); - qemu_fdt_setprop_cells(fdt, clint_name, "reg", - 0x0, clint_addr, 0x0, memmap[VIRT_CLINT].size); - qemu_fdt_setprop(fdt, clint_name, "interrupts-extended", -@@ -322,8 +197,7 @@ static void create_fdt(RISCVVirtState *s, const MemMapEntry *memmap, - "#address-cells", FDT_PLIC_ADDR_CELLS); - qemu_fdt_setprop_cell(fdt, plic_name, - "#interrupt-cells", FDT_PLIC_INT_CELLS); -- qemu_fdt_setprop_string_array(fdt, plic_name, "compatible", -- (char **)&plic_compat, ARRAY_SIZE(plic_compat)); -+ qemu_fdt_setprop_string(fdt, plic_name, "compatible", "riscv,plic0"); - qemu_fdt_setprop(fdt, plic_name, "interrupt-controller", NULL, 0); - qemu_fdt_setprop(fdt, plic_name, "interrupts-extended", - plic_cells, s->soc[socket].num_harts * sizeof(uint32_t) * 4); -@@ -342,95 +216,11 @@ static void create_fdt(RISCVVirtState *s, const MemMapEntry *memmap, - for (socket = 0; socket < riscv_socket_count(mc); socket++) { - if (socket == 0) { - plic_mmio_phandle = plic_phandle[socket]; -- plic_virtio_phandle = plic_phandle[socket]; -- plic_pcie_phandle = plic_phandle[socket]; -- } -- if (socket == 1) { -- plic_virtio_phandle = plic_phandle[socket]; -- plic_pcie_phandle = plic_phandle[socket]; -- } -- if (socket == 2) { -- plic_pcie_phandle = plic_phandle[socket]; - } - } - - riscv_socket_fdt_write_distance_matrix(mc, fdt); - -- for (i = 0; i < VIRTIO_COUNT; i++) { -- name = g_strdup_printf("/soc/virtio_mmio@%lx", -- (long)(memmap[VIRT_VIRTIO].base + i * memmap[VIRT_VIRTIO].size)); -- qemu_fdt_add_subnode(fdt, name); -- qemu_fdt_setprop_string(fdt, name, "compatible", "virtio,mmio"); -- qemu_fdt_setprop_cells(fdt, name, "reg", -- 0x0, memmap[VIRT_VIRTIO].base + i * memmap[VIRT_VIRTIO].size, -- 0x0, memmap[VIRT_VIRTIO].size); -- qemu_fdt_setprop_cell(fdt, name, "interrupt-parent", -- plic_virtio_phandle); -- qemu_fdt_setprop_cell(fdt, name, "interrupts", VIRTIO_IRQ + i); -- g_free(name); -- } -- -- name = g_strdup_printf("/soc/pci@%lx", -- (long) memmap[VIRT_PCIE_ECAM].base); -- qemu_fdt_add_subnode(fdt, name); -- qemu_fdt_setprop_cell(fdt, name, "#address-cells", FDT_PCI_ADDR_CELLS); -- qemu_fdt_setprop_cell(fdt, name, "#interrupt-cells", FDT_PCI_INT_CELLS); -- qemu_fdt_setprop_cell(fdt, name, "#size-cells", 0x2); -- qemu_fdt_setprop_string(fdt, name, "compatible", "pci-host-ecam-generic"); -- qemu_fdt_setprop_string(fdt, name, "device_type", "pci"); -- qemu_fdt_setprop_cell(fdt, name, "linux,pci-domain", 0); -- qemu_fdt_setprop_cells(fdt, name, "bus-range", 0, -- memmap[VIRT_PCIE_ECAM].size / PCIE_MMCFG_SIZE_MIN - 1); -- qemu_fdt_setprop(fdt, name, "dma-coherent", NULL, 0); -- qemu_fdt_setprop_cells(fdt, name, "reg", 0, -- memmap[VIRT_PCIE_ECAM].base, 0, memmap[VIRT_PCIE_ECAM].size); -- qemu_fdt_setprop_sized_cells(fdt, name, "ranges", -- 1, FDT_PCI_RANGE_IOPORT, 2, 0, -- 2, memmap[VIRT_PCIE_PIO].base, 2, memmap[VIRT_PCIE_PIO].size, -- 1, FDT_PCI_RANGE_MMIO, -- 2, memmap[VIRT_PCIE_MMIO].base, -- 2, memmap[VIRT_PCIE_MMIO].base, 2, memmap[VIRT_PCIE_MMIO].size, -- 1, FDT_PCI_RANGE_MMIO_64BIT, -- 2, virt_high_pcie_memmap.base, -- 2, virt_high_pcie_memmap.base, 2, virt_high_pcie_memmap.size); -- -- create_pcie_irq_map(fdt, name, plic_pcie_phandle); -- g_free(name); -- -- test_phandle = phandle++; -- name = g_strdup_printf("/soc/test@%lx", -- (long)memmap[VIRT_TEST].base); -- qemu_fdt_add_subnode(fdt, name); -- { -- static const char * const compat[3] = { -- "sifive,test1", "sifive,test0", "syscon" -- }; -- qemu_fdt_setprop_string_array(fdt, name, "compatible", (char **)&compat, -- ARRAY_SIZE(compat)); -- } -- qemu_fdt_setprop_cells(fdt, name, "reg", -- 0x0, memmap[VIRT_TEST].base, -- 0x0, memmap[VIRT_TEST].size); -- qemu_fdt_setprop_cell(fdt, name, "phandle", test_phandle); -- test_phandle = qemu_fdt_get_phandle(fdt, name); -- g_free(name); -- -- name = g_strdup_printf("/soc/reboot"); -- qemu_fdt_add_subnode(fdt, name); -- qemu_fdt_setprop_string(fdt, name, "compatible", "syscon-reboot"); -- qemu_fdt_setprop_cell(fdt, name, "regmap", test_phandle); -- qemu_fdt_setprop_cell(fdt, name, "offset", 0x0); -- qemu_fdt_setprop_cell(fdt, name, "value", FINISHER_RESET); -- g_free(name); -- -- name = g_strdup_printf("/soc/poweroff"); -- qemu_fdt_add_subnode(fdt, name); -- qemu_fdt_setprop_string(fdt, name, "compatible", "syscon-poweroff"); -- qemu_fdt_setprop_cell(fdt, name, "regmap", test_phandle); -- qemu_fdt_setprop_cell(fdt, name, "offset", 0x0); -- qemu_fdt_setprop_cell(fdt, name, "value", FINISHER_PASS); -- g_free(name); -- - name = g_strdup_printf("/soc/uart@%lx", (long)memmap[VIRT_UART0].base); - qemu_fdt_add_subnode(fdt, name); - qemu_fdt_setprop_string(fdt, name, "compatible", "ns16550a"); -@@ -445,102 +235,12 @@ static void create_fdt(RISCVVirtState *s, const MemMapEntry *memmap, - qemu_fdt_setprop_string(fdt, "/chosen", "stdout-path", name); - g_free(name); - -- name = g_strdup_printf("/soc/rtc@%lx", (long)memmap[VIRT_RTC].base); -- qemu_fdt_add_subnode(fdt, name); -- qemu_fdt_setprop_string(fdt, name, "compatible", "google,goldfish-rtc"); -- qemu_fdt_setprop_cells(fdt, name, "reg", -- 0x0, memmap[VIRT_RTC].base, -- 0x0, memmap[VIRT_RTC].size); -- qemu_fdt_setprop_cell(fdt, name, "interrupt-parent", plic_mmio_phandle); -- qemu_fdt_setprop_cell(fdt, name, "interrupts", RTC_IRQ); -- g_free(name); -- -- name = g_strdup_printf("/soc/flash@%" PRIx64, flashbase); -- qemu_fdt_add_subnode(mc->fdt, name); -- qemu_fdt_setprop_string(mc->fdt, name, "compatible", "cfi-flash"); -- qemu_fdt_setprop_sized_cells(mc->fdt, name, "reg", -- 2, flashbase, 2, flashsize, -- 2, flashbase + flashsize, 2, flashsize); -- qemu_fdt_setprop_cell(mc->fdt, name, "bank-width", 4); -- g_free(name); -- - update_bootargs: - if (cmdline) { - qemu_fdt_setprop_string(fdt, "/chosen", "bootargs", cmdline); - } - } - --static inline DeviceState *gpex_pcie_init(MemoryRegion *sys_mem, -- hwaddr ecam_base, hwaddr ecam_size, -- hwaddr mmio_base, hwaddr mmio_size, -- hwaddr high_mmio_base, -- hwaddr high_mmio_size, -- hwaddr pio_base, -- DeviceState *plic) --{ -- DeviceState *dev; -- MemoryRegion *ecam_alias, *ecam_reg; -- MemoryRegion *mmio_alias, *high_mmio_alias, *mmio_reg; -- qemu_irq irq; -- int i; -- -- dev = qdev_new(TYPE_GPEX_HOST); -- -- sysbus_realize_and_unref(SYS_BUS_DEVICE(dev), &error_fatal); -- -- ecam_alias = g_new0(MemoryRegion, 1); -- ecam_reg = sysbus_mmio_get_region(SYS_BUS_DEVICE(dev), 0); -- memory_region_init_alias(ecam_alias, OBJECT(dev), "pcie-ecam", -- ecam_reg, 0, ecam_size); -- memory_region_add_subregion(get_system_memory(), ecam_base, ecam_alias); -- -- mmio_alias = g_new0(MemoryRegion, 1); -- mmio_reg = sysbus_mmio_get_region(SYS_BUS_DEVICE(dev), 1); -- memory_region_init_alias(mmio_alias, OBJECT(dev), "pcie-mmio", -- mmio_reg, mmio_base, mmio_size); -- memory_region_add_subregion(get_system_memory(), mmio_base, mmio_alias); -- -- /* Map high MMIO space */ -- high_mmio_alias = g_new0(MemoryRegion, 1); -- memory_region_init_alias(high_mmio_alias, OBJECT(dev), "pcie-mmio-high", -- mmio_reg, high_mmio_base, high_mmio_size); -- memory_region_add_subregion(get_system_memory(), high_mmio_base, -- high_mmio_alias); -- -- sysbus_mmio_map(SYS_BUS_DEVICE(dev), 2, pio_base); -- -- for (i = 0; i < GPEX_NUM_IRQS; i++) { -- irq = qdev_get_gpio_in(plic, PCIE_IRQ + i); -- -- sysbus_connect_irq(SYS_BUS_DEVICE(dev), i, irq); -- gpex_set_irq_num(GPEX_HOST(dev), i, PCIE_IRQ + i); -- } -- -- return dev; --} -- --static FWCfgState *create_fw_cfg(const MachineState *mc) --{ -- hwaddr base = virt_memmap[VIRT_FW_CFG].base; -- hwaddr size = virt_memmap[VIRT_FW_CFG].size; -- FWCfgState *fw_cfg; -- char *nodename; -- -- fw_cfg = fw_cfg_init_mem_wide(base + 8, base, 8, base + 16, -- &address_space_memory); -- fw_cfg_add_i16(fw_cfg, FW_CFG_NB_CPUS, (uint16_t)mc->smp.cpus); -- -- nodename = g_strdup_printf("/fw-cfg@%" PRIx64, base); -- qemu_fdt_add_subnode(mc->fdt, nodename); -- qemu_fdt_setprop_string(mc->fdt, nodename, -- "compatible", "qemu,fw-cfg-mmio"); -- qemu_fdt_setprop_sized_cells(mc->fdt, nodename, "reg", -- 2, base, 2, size); -- qemu_fdt_setprop(mc->fdt, nodename, "dma-coherent", NULL, 0); -- g_free(nodename); -- return fw_cfg; --} -- - static void virt_machine_init(MachineState *machine) - { - const MemMapEntry *memmap = virt_memmap; -@@ -554,7 +254,7 @@ static void virt_machine_init(MachineState *machine) - target_ulong firmware_end_addr, kernel_start_addr; - uint32_t fdt_load_addr; - uint64_t kernel_entry; -- DeviceState *mmio_plic, *virtio_plic, *pcie_plic; -+ DeviceState *mmio_plic; - int i, j, base_hartid, hart_count; - - /* Check socket count limit */ -@@ -565,7 +265,7 @@ static void virt_machine_init(MachineState *machine) - } - - /* Initialize sockets */ -- mmio_plic = virtio_plic = pcie_plic = NULL; -+ mmio_plic = NULL; - for (i = 0; i < riscv_socket_count(machine); i++) { - if (!riscv_socket_check_hartids(machine, i)) { - error_report("discontinuous hartids in socket%d", i); -@@ -634,15 +334,6 @@ static void virt_machine_init(MachineState *machine) - /* Try to use different PLIC instance based device type */ - if (i == 0) { - mmio_plic = s->plic[i]; -- virtio_plic = s->plic[i]; -- pcie_plic = s->plic[i]; -- } -- if (i == 1) { -- virtio_plic = s->plic[i]; -- pcie_plic = s->plic[i]; -- } -- if (i == 2) { -- pcie_plic = s->plic[i]; - } - } - -@@ -654,13 +345,6 @@ static void virt_machine_init(MachineState *machine) - error_report("Limiting RAM size to 10 GiB"); - } - #endif -- virt_high_pcie_memmap.base = VIRT32_HIGH_PCIE_MMIO_BASE; -- virt_high_pcie_memmap.size = VIRT32_HIGH_PCIE_MMIO_SIZE; -- } else { -- virt_high_pcie_memmap.size = VIRT64_HIGH_PCIE_MMIO_SIZE; -- virt_high_pcie_memmap.base = memmap[VIRT_DRAM].base + machine->ram_size; -- virt_high_pcie_memmap.base = -- ROUND_UP(virt_high_pcie_memmap.base, virt_high_pcie_memmap.size); - } - - /* register system main memory (actual RAM) */ -@@ -681,10 +365,12 @@ static void virt_machine_init(MachineState *machine) - - if (riscv_is_32bit(&s->soc[0])) { - firmware_end_addr = riscv_find_and_load_firmware(machine, -- RISCV32_BIOS_BIN, start_addr, NULL); -+ "opensbi-riscv32-generic-fw_dynamic.bin", -+ start_addr, NULL); - } else { - firmware_end_addr = riscv_find_and_load_firmware(machine, -- RISCV64_BIOS_BIN, start_addr, NULL); -+ "opensbi-riscv64-generic-fw_dynamic.bin", -+ start_addr, NULL); - } - - if (machine->kernel_filename) { -@@ -712,21 +398,6 @@ static void virt_machine_init(MachineState *machine) - kernel_entry = 0; - } - -- if (drive_get(IF_PFLASH, 0, 0)) { -- /* -- * Pflash was supplied, let's overwrite the address we jump to after -- * reset to the base of the flash. -- */ -- start_addr = virt_memmap[VIRT_FLASH].base; -- } -- -- /* -- * Init fw_cfg. Must be done before riscv_load_fdt, otherwise the device -- * tree cannot be altered and we get FDT_ERR_NOSPACE. -- */ -- s->fw_cfg = create_fw_cfg(machine); -- rom_set_fw(s->fw_cfg); -- - /* Compute the fdt load address in dram */ - fdt_load_addr = riscv_load_fdt(memmap[VIRT_DRAM].base, - machine->ram_size, machine->fdt); -@@ -736,41 +407,10 @@ static void virt_machine_init(MachineState *machine) - virt_memmap[VIRT_MROM].size, kernel_entry, - fdt_load_addr, machine->fdt); - -- /* SiFive Test MMIO device */ -- sifive_test_create(memmap[VIRT_TEST].base); -- -- /* VirtIO MMIO devices */ -- for (i = 0; i < VIRTIO_COUNT; i++) { -- sysbus_create_simple("virtio-mmio", -- memmap[VIRT_VIRTIO].base + i * memmap[VIRT_VIRTIO].size, -- qdev_get_gpio_in(DEVICE(virtio_plic), VIRTIO_IRQ + i)); -- } -- -- gpex_pcie_init(system_memory, -- memmap[VIRT_PCIE_ECAM].base, -- memmap[VIRT_PCIE_ECAM].size, -- memmap[VIRT_PCIE_MMIO].base, -- memmap[VIRT_PCIE_MMIO].size, -- virt_high_pcie_memmap.base, -- virt_high_pcie_memmap.size, -- memmap[VIRT_PCIE_PIO].base, -- DEVICE(pcie_plic)); -- -- serial_mm_init(system_memory, memmap[VIRT_UART0].base, -+ serial_mm_init(system_memory, memmap[VIRT_UART0].base, - 0, qdev_get_gpio_in(DEVICE(mmio_plic), UART0_IRQ), 399193, - serial_hd(0), DEVICE_LITTLE_ENDIAN); - -- sysbus_create_simple("goldfish_rtc", memmap[VIRT_RTC].base, -- qdev_get_gpio_in(DEVICE(mmio_plic), RTC_IRQ)); -- -- virt_flash_create(s); -- -- for (i = 0; i < ARRAY_SIZE(s->flash); i++) { -- /* Map legacy -drive if=pflash to machine properties */ -- pflash_cfi01_legacy_drive(s->flash[i], -- drive_get(IF_PFLASH, 0, i)); -- } -- virt_flash_map(s, system_memory); - } - - static void virt_machine_instance_init(Object *obj) -diff --git a/target/riscv/cpu.c b/target/riscv/cpu.c -index 991a6bb760..401028b8d9 100644 ---- a/target/riscv/cpu.c -+++ b/target/riscv/cpu.c -@@ -269,6 +269,15 @@ static void riscv_cpu_dump_state(CPUState *cs, FILE *f, int flags) - qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "mip ", env->mip); - qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "mie ", env->mie); - qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "mideleg ", env->mideleg); -+ qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "mcounteren ", env->mcounteren); -+ qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "misa ", env->misa); -+ qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "mscratch ", env->mscratch); -+ qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "satp ", env->satp); -+ qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "scounteren ", env->scounteren); -+ qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "sscratch ", env->sscratch); -+ qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "stvec ", env->stvec); -+ qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "mhartid ", env->mhartid); -+ - if (riscv_has_ext(env, RVH)) { - qemu_fprintf(f, " %s " TARGET_FMT_lx "\n", "hideleg ", env->hideleg); - } From 72c2166223cb10f3078a0b9d92b3423387fa752a Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 10:26:37 +0000 Subject: [PATCH 086/100] Lab 3 file cleanup --- pipelined/regression/sim-wally-batch | 2 +- pipelined/testbench/tests.vh | 1 + 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/pipelined/regression/sim-wally-batch b/pipelined/regression/sim-wally-batch index 3775f344d..accdc918c 100755 --- a/pipelined/regression/sim-wally-batch +++ b/pipelined/regression/sim-wally-batch @@ -1,3 +1,3 @@ vsim -c < Date: Tue, 8 Feb 2022 11:11:44 +0000 Subject: [PATCH 087/100] add trimmed-down virt devicetree to repo for QEMU --- .gitignore | 2 + linux/devicetree/debug/dumpdts.sh | 6 +++ linux/devicetree/virt-trimmed.dts | 75 +++++++++++++++++++++++++++++++ 3 files changed, 83 insertions(+) create mode 100755 linux/devicetree/debug/dumpdts.sh create mode 100644 linux/devicetree/virt-trimmed.dts diff --git a/.gitignore b/.gitignore index fca93ebb7..94462b428 100644 --- a/.gitignore +++ b/.gitignore @@ -53,3 +53,5 @@ examples/asm/example/example examples/C/sum/sum examples/C/fir/fir synthDC/hdl/*.sv +linux/devicetree/debug/* +!linux/devicetree/debug/dumpdts.sh diff --git a/linux/devicetree/debug/dumpdts.sh b/linux/devicetree/debug/dumpdts.sh new file mode 100755 index 000000000..70057a78f --- /dev/null +++ b/linux/devicetree/debug/dumpdts.sh @@ -0,0 +1,6 @@ +#!/bin/bash +machine=virt + +qemu-system-riscv64 -M $machine,dumpdtb=$machine.dtb -bios $RISCV/buildroot/output/images/fw_jump.elf + +dtc -I dtb -O dts $machine.dtb > $machine.dts diff --git a/linux/devicetree/virt-trimmed.dts b/linux/devicetree/virt-trimmed.dts new file mode 100644 index 000000000..b517c3de5 --- /dev/null +++ b/linux/devicetree/virt-trimmed.dts @@ -0,0 +1,75 @@ +/dts-v1/; + +/ { + #address-cells = <0x02>; + #size-cells = <0x02>; + compatible = "riscv-virtio-trimmed"; + model = "riscv-virtio-trimmed,qemu"; + + chosen { + linux,initrd-end = <0x85c43a00>; + linux,initrd-start = <0x84200000>; + bootargs = "root=/dev/vda ro"; + stdout-path = "/soc/uart@10000000"; + }; + + memory@80000000 { + device_type = "memory"; + reg = <0x00 0x80000000 0x00 0x8000000>; + }; + + cpus { + #address-cells = <0x01>; + #size-cells = <0x00>; + timebase-frequency = <0x989680>; + + cpu@0 { + phandle = <0x01>; + device_type = "cpu"; + reg = <0x00>; + status = "okay"; + compatible = "riscv"; + riscv,isa = "rv64imafdcsu"; + mmu-type = "riscv,sv48"; + + interrupt-controller { + #interrupt-cells = <0x01>; + interrupt-controller; + compatible = "riscv,cpu-intc"; + phandle = <0x02>; + }; + }; + }; + + soc { + #address-cells = <0x02>; + #size-cells = <0x02>; + compatible = "simple-bus"; + ranges; + + uart@10000000 { + interrupts = <0x0a>; + interrupt-parent = <0x03>; + clock-frequency = <0x384000>; + reg = <0x00 0x10000000 0x00 0x100>; + compatible = "ns16550a"; + }; + + plic@c000000 { + phandle = <0x03>; + riscv,ndev = <0x35>; + reg = <0x00 0xc000000 0x00 0x210000>; + interrupts-extended = <0x02 0x0b 0x02 0x09>; + interrupt-controller; + compatible = "sifive,plic-1.0.0\0riscv,plic0"; + #interrupt-cells = <0x01>; + #address-cells = <0x00>; + }; + + clint@2000000 { + interrupts-extended = <0x02 0x03 0x02 0x07>; + reg = <0x00 0x2000000 0x00 0x10000>; + compatible = "sifive,clint0\0riscv,clint0"; + }; + }; +}; From f642e4fb2cb7b2fd7b523eff531acf8008e939d9 Mon Sep 17 00:00:00 2001 From: bbracker Date: Tue, 8 Feb 2022 11:14:59 +0000 Subject: [PATCH 088/100] gitignore dtb's because we only care about dts's as being source files --- .gitignore | 1 + 1 file changed, 1 insertion(+) diff --git a/.gitignore b/.gitignore index 94462b428..eae7c79e8 100644 --- a/.gitignore +++ b/.gitignore @@ -55,3 +55,4 @@ examples/C/fir/fir synthDC/hdl/*.sv linux/devicetree/debug/* !linux/devicetree/debug/dumpdts.sh +*.dtb From 9ee4b39b0123d481832ac3d3a9b59d278b50b6ff Mon Sep 17 00:00:00 2001 From: bbracker Date: Tue, 8 Feb 2022 11:45:42 +0000 Subject: [PATCH 089/100] restore trace generation functionality for new setup --- linux/testvector-generation/genTrace.gdb | 25 ++ linux/testvector-generation/genTrace.sh | 43 ++++ .../testvector-generation/parseGDBtoTrace.py | 220 ++++++++++++++++++ linux/testvector-generation/parseQemuToGDB.py | 148 ++++++++++++ linux/testvector-generation/remove_dup.awk | 20 ++ 5 files changed, 456 insertions(+) create mode 100755 linux/testvector-generation/genTrace.gdb create mode 100755 linux/testvector-generation/genTrace.sh create mode 100755 linux/testvector-generation/parseGDBtoTrace.py create mode 100755 linux/testvector-generation/parseQemuToGDB.py create mode 100755 linux/testvector-generation/remove_dup.awk diff --git a/linux/testvector-generation/genTrace.gdb b/linux/testvector-generation/genTrace.gdb new file mode 100755 index 000000000..e69e28ec5 --- /dev/null +++ b/linux/testvector-generation/genTrace.gdb @@ -0,0 +1,25 @@ +define genTrace + # Arguments + set $tcpPort=$arg0 + set $vmlinux=$arg1 + + # GDB config + set pagination off + set logging overwrite on + set logging redirect on + set confirm off + + # Connect to QEMU session + eval "target extended-remote :%d",$tcpPort + + # Symbol Files + eval "file %s",$vmlinux + + # Run until Linux login prompt + b do_idle + ignore 1 2 + c + + kill + q +end diff --git a/linux/testvector-generation/genTrace.sh b/linux/testvector-generation/genTrace.sh new file mode 100755 index 000000000..82f9e48be --- /dev/null +++ b/linux/testvector-generation/genTrace.sh @@ -0,0 +1,43 @@ +#!/bin/bash +tcpPort=1234 +imageDir=$RISCV/buildroot/output/images +outDir=$RISCV/linux-testvectors +recordFile="$outDir/all.qemu" +traceFile="$outDir/all.txt" + +read -p "Warning: running this script will overwrite the contents of: + * $recordFile + * $traceFile +Would you like to proceed? (y/n) " -n 1 -r +echo +if [[ $REPLY =~ ^[Yy]$ ]] +then + # Create Output Directory + sudo mkdir -p $outDir + sudo chown cad $outDir + sudo touch $recordFile + sudo touch $traceFile + sudo chmod a+rw $recordFile + sudo chmod a+rw $traceFile + + # Compile Devicetree from Source + dtc -I dts -O dtb ../devicetree/virt-trimmed.dts > ../devicetree/virt-trimmed.dtb + + # QEMU Simulation + (qemu-system-riscv64 \ + -M virt -dtb ../devicetree/virt-trimmed.dtb \ + -nographic -serial /dev/null \ + -bios $imageDir/fw_jump.elf -kernel $imageDir/Image -append "root=/dev/vda ro" -initrd $imageDir/rootfs.cpio \ + -singlestep -rtc clock=vm -icount shift=0,align=off,sleep=on,rr=record,rrfile=$recordFile \ + -d nochain,cpu,in_asm \ + -gdb tcp::$tcpPort -S \ + 2>&1 >/dev/null | ./parseQemuToGDB.py | ./parseGDBtoTrace.py | ./remove_dup.awk > $traceFile) \ + & riscv64-unknown-elf-gdb -quiet -x genTrace.gdb -ex "genTrace $tcpPort \"$imageDir/vmlinux\"" + + # Cleanup + sudo chown cad $recordFile + sudo chown cad $traceFile + sudo chmod o-w $recordFile + sudo chmod o-w $traceFile +fi + diff --git a/linux/testvector-generation/parseGDBtoTrace.py b/linux/testvector-generation/parseGDBtoTrace.py new file mode 100755 index 000000000..ab63330fd --- /dev/null +++ b/linux/testvector-generation/parseGDBtoTrace.py @@ -0,0 +1,220 @@ +#! /usr/bin/python3 +import sys, fileinput, re + +# Ross Thompson +# July 27, 2021 +# Rewrite of the linux trace parser. + + +InstrStartDelim = '=>' +InstrEndDelim = '-----' + +#InputFile = 'noparse.txt' +#InputFile = sys.stdin +#InputFile = 'temp.txt' +#OutputFile = 'parsedAll.txt' + +HUMAN_READABLE = False + +def toDict(lst): + 'Converts the list of register values to a dictionary' + dct= {} + for item in lst: + regTup = item.split() + dct[regTup[0]] = int(regTup[2], 10) + del dct['pc'] + return dct + +def whichClass(text, Regs): + 'Which instruction class?' + #print(text, Regs) + if text[0:2] == 'ld' or text[0:2] == 'lw' or text[0:2] == 'lh' or text[0:2] == 'lb': + return ('load', WhatAddr(text, Regs), None, WhatMemDestSource(text)) + elif text[0:2] == 'sd' or text[0:2] == 'sw' or text[0:2] == 'sh' or text[0:2] == 'sb': + return ('store', WhatAddr(text, Regs), WhatMemDestSource(text), None) + elif text[0:3] == 'amo': + return ('amo', WhatAddrAMO(text, Regs), WhatMemDestSource(text), WhatMemDestSource(text)) + elif text[0:2] == 'lr': + return ('lr', WhatAddrLR(text, Regs), None, WhatMemDestSource(text)) + elif text[0:2] == 'sc': + return ('sc', WhatAddrSC(text, Regs), WhatMemDestSource(text), None) + else: + return ('other', None, None, None) + +def whatChanged(dct0, dct1): + 'Compares two dictionaries of instrution registers and indicates which registers changed' + dct = {} + for key in dct0: + if (dct1[key] != dct0[key]): + dct[key] = dct1[key] + return dct + +def WhatMemDestSource(text): + ''''What is the destination register. Used to compute where the read data is + on a load or the write data on a store.''' + return text.split()[1].split(',')[0] + +def WhatAddr(text, Regs): + 'What is the data memory address?' + Imm = text.split(',')[1] + (Imm, Src) = Imm.split('(') + Imm = int(Imm.strip(), 10) + Src = Src.strip(')').strip() + RegVal = Regs[Src] + return Imm + RegVal + +def WhatAddrAMO(text, Regs): + 'What is the data memory address?' + Src = text.split('(')[1] + Src = Src.strip(')').strip() + return Regs[Src] + +def WhatAddrLR(text, Regs): + 'What is the data memory address?' + Src = text.split('(')[1] + Src = Src.strip(')').strip() + return Regs[Src] + +def WhatAddrSC(text, Regs): + 'What is the data memory address?' + Src = text.split('(')[1] + Src = Src.strip(')').strip() + return Regs[Src] + +def PrintInstr(instr, fp): + if instr[2] == None: + return + ChangedRegisters = instr[4] + GPR = '' + CSR = [] + for key in ChangedRegisters: + # filter out csr which are not checked. + if(key in RegNumber): + if(RegNumber[key] < 32): + # GPR + if(HUMAN_READABLE): + GPR = '{:-2d} {:016x}'.format(RegNumber[key], ChangedRegisters[key]) + else: + GPR = '{:d} {:x}'.format(RegNumber[key], ChangedRegisters[key]) + else: + if(HUMAN_READABLE): + CSR.extend([key, '{:016x}'.format(ChangedRegisters[key])]) + else: + CSR.extend([key, '{:x}'.format(ChangedRegisters[key])]) + + CSRStr = ' '.join(CSR) + + #print(instr) + + if (HUMAN_READABLE == True): + fp.write('{:016x} {:08x} {:25s}'.format(instr[0], instr[1], instr[2])) + if(len(GPR) != 0): + fp.write(' GPR {}'.format(GPR)) + if(instr[3] == 'load' or instr[3] == 'lr'): + fp.write(' MemR {:016x} {:016x} {:016x}'.format(instr[5], 0, instr[7])) + if(instr[3] == 'store'): + fp.write('\t\t\t MemW {:016x} {:016x} {:016x}'.format(instr[5], instr[6], 0)) + + if(len(CSR) != 0): + fp.write(' CSR {}'.format(CSRStr)) + else: + fp.write('{:x} {:x} {:s}'.format(instr[0], instr[1], instr[2].replace(' ', '_'))) + if(len(GPR) != 0): + fp.write(' GPR {}'.format(GPR)) + if(instr[3] == 'load' or instr[3] == 'lr'): + fp.write(' MemR {:x} {:x} {:x}'.format(instr[5], 0, instr[7])) + if(instr[3] == 'store'): + fp.write(' MemW {:x} {:x} {:x}'.format(instr[5], instr[6], 0)) + + if(len(CSR) != 0): + fp.write(' CSR {}'.format(CSRStr)) + fp.write('\n') + +# reg number +RegNumber = {'zero': 0, 'ra': 1, 'sp': 2, 'gp': 3, 'tp': 4, 't0': 5, 't1': 6, 't2': 7, 's0': 8, 's1': 9, 'a0': 10, 'a1': 11, 'a2': 12, 'a3': 13, 'a4': 14, 'a5': 15, 'a6': 16, 'a7': 17, 's2': 18, 's3': 19, 's4': 20, 's5': 21, 's6': 22, 's7': 23, 's8': 24, 's9': 25, 's10': 26, 's11': 27, 't3': 28, 't4': 29, 't5': 30, 't6': 31, 'mhartid': 32, 'mstatus': 33, 'mip': 34, 'mie': 35, 'mideleg': 36, 'medeleg': 37, 'mtvec': 38, 'stvec': 39, 'mepc': 40, 'sepc': 41, 'mcause': 42, 'scause': 43, 'mtval': 44, 'stval': 45} +# initial state +CurrentInstr = ['0', '0', None, 'other', {'zero': 0, 'ra': 0, 'sp': 0, 'gp': 0, 'tp': 0, 't0': 0, 't1': 0, 't2': 0, 's0': 0, 's1': 0, 'a0': 0, 'a1': 0, 'a2': 0, 'a3': 0, 'a4': 0, 'a5': 0, 'a6': 0, 'a7': 0, 's2': 0, 's3': 0, 's4': 0, 's5': 0, 's6': 0, 's7': 0, 's8': 0, 's9': 0, 's10': 0, 's11': 0, 't3': 0, 't4': 0, 't5': 0, 't6': 0, 'mhartid': 0, 'mstatus': 0, 'mip': 0, 'mie': 0, 'mideleg': 0, 'medeleg': 0, 'mtvec': 0, 'stvec': 0, 'mepc': 0, 'sepc': 0, 'mcause': 0, 'scause': 0, 'mtval': 0, 'stval': 0}, {}, None, None, None] + +#with open (InputFile, 'r') as InputFileFP: +#lines = InputFileFP.readlines() +lineNum = 0 +StartLine = 0 +EndLine = 0 +numInstrs = 0 +#instructions = [] +MemAdr = 0 +lines = [] +interrupts=open('interrupts.txt','w') +interrupts.close() + +for line in fileinput.input('-'): + if line.startswith('riscv_cpu_do_interrupt'): + with open('interrupts.txt','a') as interrupts: + interrupts.write(str(numInstrs)+': '+line.strip('riscv_cpu_do_interrupt')) + break + lines.insert(lineNum, line) + if InstrStartDelim in line: + lineNum = 0 + StartLine = lineNum + elif InstrEndDelim in line: + EndLine = lineNum + (InstrBits, text) = lines[StartLine].split(':') + InstrBits = int(InstrBits.strip('=> '), 16) + text = text.strip() + PC = int(lines[StartLine+1].split(':')[0][2:], 16) + Regs = toDict(lines[StartLine+2:EndLine]) + (Class, Addr, WriteReg, ReadReg) = whichClass(text, Regs) + #print("CWR", Class, WriteReg, ReadReg) + PreviousInstr = CurrentInstr + + Changed = whatChanged(PreviousInstr[4], Regs) + + if (ReadReg !=None): ReadData = ReadReg + else: ReadData = None + + if (WriteReg !=None): WriteData = WriteReg + else: WriteData = None + + CurrentInstr = [PC, InstrBits, text, Class, Regs, Changed, Addr, WriteData, ReadData] + + #print(CurrentInstr[0:4], PreviousInstr[5], CurrentInstr[6:7], PreviousInstr[8]) + + # pc, instrbits, text and class come from the last line. + MoveInstrToRegWriteLst = PreviousInstr[0:4] + # updated registers come from the current line. + MoveInstrToRegWriteLst.append(CurrentInstr[5]) # destination regs + # memory address if present comes from the last line. + MoveInstrToRegWriteLst.append(PreviousInstr[6]) # MemAdrM + # write data from the previous line + #MoveInstrToRegWriteLst.append(PreviousInstr[7]) # WriteDataM + + if (PreviousInstr[7] != None): + MoveInstrToRegWriteLst.append(Regs[PreviousInstr[7]]) # WriteDataM + else: + MoveInstrToRegWriteLst.append(None) + + # read data from the current line + #MoveInstrToRegWriteLst.append(PreviousInstr[8]) # ReadDataM + if (PreviousInstr[8] != None): + MoveInstrToRegWriteLst.append(Regs[PreviousInstr[8]]) # ReadDataM + else: + MoveInstrToRegWriteLst.append(None) + + lines.clear() + #instructions.append(MoveInstrToRegWriteLst) + PrintInstr(MoveInstrToRegWriteLst, sys.stdout) + numInstrs +=1 + if (numInstrs % 1e4 == 0): + sys.stderr.write('Trace parser reached '+str(numInstrs/1.0e6)+' million instrs.\n') + sys.stderr.flush() + lineNum += 1 + + +#for instruction in instructions[1::]: + + +#with open(OutputFile, 'w') as OutputFileFP: +# print('opened file') + + + diff --git a/linux/testvector-generation/parseQemuToGDB.py b/linux/testvector-generation/parseQemuToGDB.py new file mode 100755 index 000000000..932761db7 --- /dev/null +++ b/linux/testvector-generation/parseQemuToGDB.py @@ -0,0 +1,148 @@ +#! /usr/bin/python3 +import fileinput, sys + +sys.stderr.write("reminder: parse_qemu.py takes input from stdin\n") +parseState = "idle" +beginPageFault = 0 +inPageFault = 0 +endPageFault = 0 +CSRs = {} +pageFaultCSRs = {} +regs = {} +pageFaultRegs = {} +instrs = {} +instrCount = 0 +returnAdr = 0 + +def printPC(l): + global parseState, inPageFault, CSRs, pageFaultCSRs, regs, pageFaultCSRs, instrs, instrCount + if not inPageFault: + inst = l.split() + if len(inst) > 3: + print(f'=> {inst[1]}:\t{inst[2]} {inst[3]}') + else: + print(f'=> {inst[1]}:\t{inst[2]}') + print(f'{inst[0]} 0x{inst[1]}') + instrCount += 1 + if ((instrCount % 100000) == 0): + sys.stderr.write("QEMU parser reached "+str(instrCount)+" instrs\n") + +def printCSRs(): + global parseState, inPageFault, CSRs, pageFaultCSRs, regs, pageFaultCSRs, instrs + if not inPageFault: + for (csr,val) in CSRs.items(): + print('{}{}{:#x} {}'.format(csr, ' '*(15-len(csr)), val, val)) + print('-----') + +def parseCSRs(l): + global parseState, inPageFault, CSRs, pageFaultCSRs, regs, pageFaultCSRs, instrs + if l.strip() and (not l.startswith("Disassembler")) and (not l.startswith("Please")): + # If we've hit the register file + if l.startswith(' x0/zero'): + parseState = "regFile" + if not inPageFault: + instr = instrs[CSRs["pc"]] + printPC(instr) + parseRegs(l) + # If we've hit a CSR + else: + csr = l.split()[0] + val = int(l.split()[1],16) + # Commented out this conditional because the pageFault instrs don't corrupt CSRs + #if inPageFault: + # Not sure if these CSRs should be updated or not during page fault. + #if l.startswith("mstatus") or l.startswith("mepc") or l.startswith("mcause") or l.startswith("mtval") or l.startswith("sepc") or l.startswith("scause") or l.startswith("stval"): + # We do update some CSRs + # CSRs[csr] = val + #else: + # Others we preserve until changed later + # pageFaultCSRs[csr] = val + #elif pageFaultCSRs and (csr in pageFaultCSRs): + # if (val != pageFaultCSRs[csr]): + # del pageFaultCSRs[csr] + # CSRs[csr] = val + #else: + # CSRs[csr] = val + # + # However SEPC and STVAL do get corrupted upon exiting + if endPageFault and ((csr == 'sepc') or (csr == 'stval')): + CSRs[csr] = returnAdr + pageFaultCSRs[csr] = val + elif pageFaultCSRs and (csr in pageFaultCSRs): + if (val != pageFaultCSRs[csr]): + del pageFaultCSRs[csr] + CSRs[csr] = val + else: + CSRs[csr] = val + +def parseRegs(l): + global parseState, inPageFault, CSRs, pageFaultCSRs, regs, pageFaultCSRs, instrs, pageFaultRegs + if "pc" in l: + printCSRs() + # New non-disassembled instruction + parseState = "CSRs" + parseCSRs(l) + elif l.startswith('--------'): + # End of disassembled instruction + printCSRs() + parseState = "idle" + else: + s = l.split() + for i in range(0,len(s),2): + if '/' in s[i]: + reg = s[i].split('/')[1] + val = int(s[i+1], 16) + if inPageFault: + pageFaultRegs[reg] = val + else: + if pageFaultRegs and (reg in pageFaultRegs): + if (val != pageFaultRegs[reg]): + del pageFaultRegs[reg] + regs[reg] = val + else: + regs[reg] = val + val = regs[reg] + print('{}{}{:#x} {}'.format(reg, ' '*(15-len(reg)), val, val)) + else: + sys.stderr.write("Whoops. Expected a list of reg file regs; got:\n"+l) + +############# +# Main Code # +############# +interrupt_line="" +for l in fileinput.input(): + #sys.stderr.write(l) + if l.startswith('riscv_cpu_do_interrupt'): + sys.stderr.write(l) + interrupt_line = l.strip('\n') + continue + elif l.startswith('qemu-system-riscv64: QEMU: Terminated via GDBstub'): + break + elif l.startswith('IN:'): + # New disassembled instr + if len(interrupt_line)>0: + print(interrupt_line) + interrupt_line="" + parseState = "instr" + elif (parseState == "instr") and l.startswith('0x'): + # New instruction + if len(interrupt_line)>0: + print(interrupt_line) + interrupt_line="" + if "out of bounds" in l: + sys.stderr.write("Detected QEMU page fault error\n") + beginPageFault = not inPageFault + if beginPageFault: + returnAdr = int(l.split()[0][2:-1], 16) + sys.stderr.write('Saving SEPC of '+hex(returnAdr)+'\n') + inPageFault = 1 + else: + endPageFault = inPageFault + inPageFault = 0 + adr = int(l.split()[0][2:-1], 16) + instrs[adr] = l + parseState = "CSRs" + elif parseState == "CSRs": + parseCSRs(l) + elif parseState == "regFile": + parseRegs(l) diff --git a/linux/testvector-generation/remove_dup.awk b/linux/testvector-generation/remove_dup.awk new file mode 100755 index 000000000..7963d76a6 --- /dev/null +++ b/linux/testvector-generation/remove_dup.awk @@ -0,0 +1,20 @@ +#!/usr/bin/awk -f + +BEGIN{ + old = "first" +} + +{ + if($1 != old){ + if(old != "first"){ + print oldAll + } + } + old=$1 + oldAll=$0 +} + +END{ + print oldAll +} + From 61f2ae929b6ef917a1d72516e9fa0bf91914c4f1 Mon Sep 17 00:00:00 2001 From: bbracker Date: Tue, 8 Feb 2022 11:47:26 +0000 Subject: [PATCH 090/100] update buildroot main.config to reflect most recent image build --- linux/buildroot-config-src/main.config | 57 +++++--------------- linux/buildroot-config-src/make-buildroot.sh | 3 -- 2 files changed, 12 insertions(+), 48 deletions(-) delete mode 100755 linux/buildroot-config-src/make-buildroot.sh diff --git a/linux/buildroot-config-src/main.config b/linux/buildroot-config-src/main.config index 438d3f368..060c8892e 100644 --- a/linux/buildroot-config-src/main.config +++ b/linux/buildroot-config-src/main.config @@ -1,8 +1,13 @@ # # Automatically generated file; DO NOT EDIT. -# Buildroot -g73f9753-dirty Configuration +# Buildroot 2021.05 Configuration # BR2_HAVE_DOT_CONFIG=y +BR2_HOST_GCC_AT_LEAST_4_9=y +BR2_HOST_GCC_AT_LEAST_5=y +BR2_HOST_GCC_AT_LEAST_6=y +BR2_HOST_GCC_AT_LEAST_7=y +BR2_HOST_GCC_AT_LEAST_8=y # # Target options @@ -101,7 +106,6 @@ BR2_ENABLE_DEBUG=y # BR2_DEBUG_1 is not set # BR2_DEBUG_2 is not set BR2_DEBUG_3=y -# BR2_ENABLE_RUNTIME_DEBUG is not set # BR2_STRIP_strip is not set # BR2_OPTIMIZE_0 is not set # BR2_OPTIMIZE_1 is not set @@ -165,11 +169,9 @@ BR2_KERNEL_HEADERS_AS_KERNEL=y # BR2_KERNEL_HEADERS_5_10 is not set # BR2_KERNEL_HEADERS_5_11 is not set # BR2_KERNEL_HEADERS_5_12 is not set -# BR2_KERNEL_HEADERS_5_13 is not set # BR2_KERNEL_HEADERS_VERSION is not set # BR2_KERNEL_HEADERS_CUSTOM_TARBALL is not set # BR2_KERNEL_HEADERS_CUSTOM_GIT is not set -# BR2_PACKAGE_HOST_LINUX_HEADERS_CUSTOM_5_13 is not set # BR2_PACKAGE_HOST_LINUX_HEADERS_CUSTOM_5_12 is not set # BR2_PACKAGE_HOST_LINUX_HEADERS_CUSTOM_5_11 is not set BR2_PACKAGE_HOST_LINUX_HEADERS_CUSTOM_5_10=y @@ -250,7 +252,6 @@ BR2_BINUTILS_EXTRA_CONFIG_OPTIONS="" # BR2_GCC_VERSION_8_X is not set # BR2_GCC_VERSION_9_X is not set BR2_GCC_VERSION_10_X=y -# BR2_GCC_VERSION_11_X is not set BR2_GCC_VERSION="10.3.0" BR2_EXTRA_GCC_CONFIG_OPTIONS="" # BR2_TOOLCHAIN_BUILDROOT_CXX is not set @@ -368,10 +369,7 @@ BR2_TARGET_GENERIC_PASSWD_METHOD="sha-256" BR2_INIT_BUSYBOX=y # BR2_INIT_SYSV is not set # BR2_INIT_OPENRC is not set - -# -# systemd needs a glibc toolchain w/ SSP, headers >= 3.10, host and target gcc >= 5 -# +# BR2_INIT_SYSTEMD is not set # BR2_INIT_NONE is not set # BR2_ROOTFS_DEVICE_CREATION_STATIC is not set BR2_ROOTFS_DEVICE_CREATION_DYNAMIC_DEVTMPFS=y @@ -656,7 +654,6 @@ BR2_PACKAGE_GDB_ARCH_SUPPORTS=y # BR2_PACKAGE_IOZONE is not set # BR2_PACKAGE_KTAP is not set # BR2_PACKAGE_LATENCYTOP is not set -# BR2_PACKAGE_LIBBPF is not set # BR2_PACKAGE_LMBENCH is not set BR2_PACKAGE_LTP_TESTSUITE_ARCH_SUPPORTS=y # BR2_PACKAGE_LTP_TESTSUITE is not set @@ -668,7 +665,6 @@ BR2_PACKAGE_LTP_TESTSUITE_ARCH_SUPPORTS=y # BR2_PACKAGE_NETSNIFF_NG is not set # BR2_PACKAGE_NMON is not set # BR2_PACKAGE_PAX_UTILS is not set -# BR2_PACKAGE_POKE is not set # BR2_PACKAGE_PV is not set # BR2_PACKAGE_RAMSMP is not set # BR2_PACKAGE_RAMSPEED is not set @@ -950,10 +946,6 @@ BR2_PACKAGE_NETSURF_ARCH_SUPPORTS=y # apitrace needs a toolchain w/ C++, wchar, dynamic library, threads, gcc >= 4.9 # -# -# mupdf needs a toolchain w/ C++, gcc >= 4.8 -# - # # vte needs a toolchain w/ wchar, threads, C++, gcc >= 4.8 # @@ -1161,10 +1153,6 @@ BR2_PACKAGE_FLASHROM_ARCH_SUPPORTS=y # BR2_PACKAGE_USB_MODESWITCH is not set # BR2_PACKAGE_USB_MODESWITCH_DATA is not set -# -# usbguard needs a toolchain w/ C++, threads, dynamic library, gcc >= 4.8 -# - # # usbmount requires udev to be enabled # @@ -1185,24 +1173,19 @@ BR2_PACKAGE_FLASHROM_ARCH_SUPPORTS=y BR2_PACKAGE_HOST_ERLANG_ARCH_SUPPORTS=y # BR2_PACKAGE_EXECLINE is not set # BR2_PACKAGE_FICL is not set -# BR2_PACKAGE_GUILE is not set # BR2_PACKAGE_HASERL is not set # BR2_PACKAGE_JANET is not set # BR2_PACKAGE_JIMTCL is not set # BR2_PACKAGE_LUA is not set BR2_PACKAGE_PROVIDES_HOST_LUAINTERPRETER="host-lua" # BR2_PACKAGE_MICROPYTHON is not set -# BR2_PACKAGE_MOARVM is not set BR2_PACKAGE_HOST_MONO_ARCH_SUPPORTS=y BR2_PACKAGE_HOST_OPENJDK_BIN_ARCH_SUPPORTS=y # BR2_PACKAGE_PERL is not set # BR2_PACKAGE_PHP is not set # BR2_PACKAGE_PYTHON is not set # BR2_PACKAGE_PYTHON3 is not set - -# -# quickjs needs a glibc or musl toolchain w/ gcc >= 4.9, host gcc >= 4.9, dynamic library -# +# BR2_PACKAGE_QUICKJS is not set # BR2_PACKAGE_RUBY is not set # BR2_PACKAGE_TCL is not set @@ -1928,7 +1911,6 @@ BR2_PACKAGE_LIBCAMERA_ARCH_SUPPORTS=y # czmq needs a toolchain w/ C++, threads # # BR2_PACKAGE_DAQ is not set -# BR2_PACKAGE_DAQ3 is not set # BR2_PACKAGE_DAVICI is not set # BR2_PACKAGE_ENET is not set @@ -2024,7 +2006,6 @@ BR2_PACKAGE_LIBCAMERA_ARCH_SUPPORTS=y # # libpjsip needs a toolchain w/ C++, threads # -# BR2_PACKAGE_LIBPSL is not set # BR2_PACKAGE_LIBRELP is not set # BR2_PACKAGE_LIBRSYNC is not set # BR2_PACKAGE_LIBSHAIRPLAY is not set @@ -2167,7 +2148,6 @@ BR2_PACKAGE_LIBCAMERA_ARCH_SUPPORTS=y # # bctoolbox needs a toolchain w/ C++, threads # -# BR2_PACKAGE_BDWGC is not set # # belr needs a toolchain w/ threads, C++ @@ -2260,8 +2240,6 @@ BR2_PACKAGE_LIBABSEIL_CPP_ARCH_SUPPORTS=y # libabseil-cpp needs a toolchain w/ gcc >= 4.9, C++, threads, dynamic library # # BR2_PACKAGE_LIBARGTABLE2 is not set -BR2_PACKAGE_LIBATOMIC_OPS_ARCH_SUPPORTS=y -# BR2_PACKAGE_LIBATOMIC_OPS is not set # BR2_PACKAGE_LIBAVL is not set # BR2_PACKAGE_LIBB64 is not set # BR2_PACKAGE_LIBBACKTRACE is not set @@ -2317,7 +2295,6 @@ BR2_PACKAGE_LIBNSPR_ARCH_SUPPORT=y # BR2_PACKAGE_LIBPTHREAD_STUBS is not set # BR2_PACKAGE_LIBPTHSEM is not set # BR2_PACKAGE_LIBPWQUALITY is not set -# BR2_PACKAGE_LIBQB is not set # # libsigc++ needs a toolchain w/ C++, gcc >= 4.8 @@ -3063,7 +3040,6 @@ BR2_PACKAGE_INITSCRIPTS=y # # sdbusplus needs systemd and a toolchain w/ C++, gcc >= 7 # -# BR2_PACKAGE_SEATD is not set # BR2_PACKAGE_SMACK is not set # @@ -3147,7 +3123,6 @@ BR2_TARGET_OPENSBI_INSTALL_JUMP_IMG=y # BR2_PACKAGE_HOST_ANDROID_TOOLS is not set # BR2_PACKAGE_HOST_ASN1C is not set # BR2_PACKAGE_HOST_BABELTRACE2 is not set -# BR2_PACKAGE_HOST_BMAP_TOOLS is not set # BR2_PACKAGE_HOST_BTRFS_PROGS is not set # BR2_PACKAGE_HOST_CHECKPOLICY is not set # BR2_PACKAGE_HOST_CHECKSEC is not set @@ -3155,12 +3130,10 @@ BR2_TARGET_OPENSBI_INSTALL_JUMP_IMG=y # BR2_PACKAGE_HOST_CRAMFS is not set # BR2_PACKAGE_HOST_CRYPTSETUP is not set # BR2_PACKAGE_HOST_DBUS_PYTHON is not set - -# -# host dfu-util needs a toolchain w/ host gcc >= 4.9 -# +# BR2_PACKAGE_HOST_DFU_UTIL is not set # BR2_PACKAGE_HOST_DOS2UNIX is not set # BR2_PACKAGE_HOST_DOSFSTOOLS is not set +# BR2_PACKAGE_HOST_DOXYGEN is not set # BR2_PACKAGE_HOST_DTC is not set BR2_PACKAGE_HOST_E2FSPROGS=y # BR2_PACKAGE_HOST_E2TOOLS is not set @@ -3197,10 +3170,7 @@ BR2_PACKAGE_HOST_KMOD=y # BR2_PACKAGE_HOST_MTD is not set # BR2_PACKAGE_HOST_MTOOLS is not set # BR2_PACKAGE_HOST_ODB is not set - -# -# host openocd needs a toolchain w/ host gcc >= 4.9 -# +# BR2_PACKAGE_HOST_OPENOCD is not set # BR2_PACKAGE_HOST_OPKG_UTILS is not set # BR2_PACKAGE_HOST_PARTED is not set BR2_PACKAGE_HOST_PATCHELF=y @@ -3225,10 +3195,7 @@ BR2_PACKAGE_HOST_QEMU_SYSTEM_MODE=y # BR2_PACKAGE_HOST_QEMU_LINUX_USER_MODE is not set # BR2_PACKAGE_HOST_QEMU_VDE2 is not set # BR2_PACKAGE_HOST_QEMU_VIRTFS is not set - -# -# USB passthrough support needs a toolchain w/ host gcc >= 4.9 -# +# BR2_PACKAGE_HOST_QEMU_USB is not set # BR2_PACKAGE_HOST_QORIQ_RCW is not set # BR2_PACKAGE_HOST_RAUC is not set BR2_PACKAGE_HOST_RUSTC_ARCH_SUPPORTS=y diff --git a/linux/buildroot-config-src/make-buildroot.sh b/linux/buildroot-config-src/make-buildroot.sh deleted file mode 100755 index 841db1ba4..000000000 --- a/linux/buildroot-config-src/make-buildroot.sh +++ /dev/null @@ -1,3 +0,0 @@ -cp main.config ../buildroot/.config -cd ../buildroot -make From 096242a6d89fdc254fce732362acd3c7a9da7cab Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 12:18:13 +0000 Subject: [PATCH 091/100] Merged TIM and regular testbenches. RV32e now working and back in regression. --- pipelined/config/rv32e/wally-config.vh | 4 +- pipelined/regression/regression-wally | 20 +- pipelined/regression/sim-wally-batch | 4 +- .../regression/wally-pipelined-tim-batch.do | 50 -- pipelined/regression/wally-pipelined-tim.do | 56 -- pipelined/src/lsu/dtim.sv | 22 +- pipelined/testbench/testbench-tim.sv | 477 ------------------ pipelined/testbench/testbench.sv | 46 +- pipelined/testbench/tests.vh | 2 +- 9 files changed, 49 insertions(+), 632 deletions(-) delete mode 100644 pipelined/regression/wally-pipelined-tim-batch.do delete mode 100644 pipelined/regression/wally-pipelined-tim.do delete mode 100644 pipelined/testbench/testbench-tim.sv diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh index 45d0948a9..2443b7ae9 100644 --- a/pipelined/config/rv32e/wally-config.vh +++ b/pipelined/config/rv32e/wally-config.vh @@ -49,8 +49,8 @@ `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 // *** replace with MEM_BUS -`define DMEM `MEM_CACHE -`define IMEM `MEM_CACHE +`define DMEM `MEM_BUS +`define IMEM `MEM_BUS `define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index 43e34bb76..a35684b32 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -58,7 +58,7 @@ def getBuildrootTC(short): tc = TestCase( name="buildroot-checkpoint", variant="rv64gc", - cmd="vsim > {} -c < {} -c < {} -c < {} -c < {} -c < {} -c < -# Example: do wally-pipelined-batch.do rv32ic imperas-32i - -# Use this wally-pipelined-batch.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined-batch.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined-batch.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work_${1}_${2}] { - vdel -lib work_${1}_${2} -all -} -vlib work_${1}_${2} - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined-batch.do ../config/rv32ic rv32ic -vlog -lint -work work_${1}_${2} +incdir+../config/$1 +incdir+../config/shared ../testbench/testbench-tim.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 - -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt work_${1}_${2}.testbench -work work_${1}_${2} -G TEST=$2 -o testbenchopt -vsim -lib work_${1}_${2} testbenchopt -# Adding coverage increases runtime from 2:00 to 4:29. Can't run it all the time -#vopt work_$2.testbench -work work_$2 -o workopt_$2 +cover=sbectf -#vsim -coverage -lib work_$2 workopt_$2 - -run -all -#coverage report -file wally-pipelined-coverage.txt -# These aren't doing anything helpful -#coverage report -memory -#profile report -calltree -file wally-pipelined-calltree.rpt -cutoff 2 -quit diff --git a/pipelined/regression/wally-pipelined-tim.do b/pipelined/regression/wally-pipelined-tim.do deleted file mode 100644 index f8244f40e..000000000 --- a/pipelined/regression/wally-pipelined-tim.do +++ /dev/null @@ -1,56 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# run with vsim -do "do wally-pipelined.do rv64ic riscvarchtest-64m" - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work] { - vdel -all -} -vlib work - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -#switch $argc { -# 0 {vlog +incdir+../config/rv64ic +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -# 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -#} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vlog +incdir+../config/$1 +incdir+../config/shared ../testbench/testbench-tim.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 -vopt +acc work.testbench -G TEST=$2 -o workopt -vsim workopt - -view wave --- display input and output signals as hexidecimal values -#do ./wave-dos/peripheral-waves.do -add log -recursive /* -do wave.do - --- Run the Simulation -#run 3600 -run -all -#quit -#noview ../testbench/testbench-imperas.sv -noview ../testbench/testbench.sv -view wave diff --git a/pipelined/src/lsu/dtim.sv b/pipelined/src/lsu/dtim.sv index 7ebb0e8c6..7fbdd42f1 100644 --- a/pipelined/src/lsu/dtim.sv +++ b/pipelined/src/lsu/dtim.sv @@ -48,18 +48,18 @@ module dtim( output logic DCacheMiss, output logic DCacheAccess); - simpleram #(.BASE(`RAM_BASE), .RANGE(`RAM_RANGE)) ram ( - .clk, - .a(CPUBusy | LSURWM[0] | reset ? IEUAdrM[31:0] : IEUAdrE[31:0]), - .we(LSURWM[0] & ~TrapM), // have to ignore write if Trap. - .wd(FinalWriteDataM), .rd(ReadDataWordM)); + simpleram #(.BASE(`RAM_BASE), .RANGE(`RAM_RANGE)) ram ( + .clk, + .a(CPUBusy | LSURWM[0] | reset ? IEUAdrM[31:0] : IEUAdrE[31:0]), // move mux out; this shouldn't be needed when stails are handled differently *** + .we(LSURWM[0] & ~TrapM), // have to ignore write if Trap. + .wd(FinalWriteDataM), .rd(ReadDataWordM)); - // since we have a local memory the bus connections are all disabled. - // There are no peripherals supported. - assign {BusStall, LSUBusWrite, LSUBusRead, BusCommittedM} = '0; - assign ReadDataWordMuxM = ReadDataWordM; - assign {DCacheStallM, DCacheCommittedM} = '0; - assign {DCacheMiss, DCacheAccess} = '0; + // since we have a local memory the bus connections are all disabled. + // There are no peripherals supported. + assign {BusStall, LSUBusWrite, LSUBusRead, BusCommittedM} = '0; + assign ReadDataWordMuxM = ReadDataWordM; + assign {DCacheStallM, DCacheCommittedM} = '0; + assign {DCacheMiss, DCacheAccess} = '0; endmodule diff --git a/pipelined/testbench/testbench-tim.sv b/pipelined/testbench/testbench-tim.sv deleted file mode 100644 index 1a13e2b5c..000000000 --- a/pipelined/testbench/testbench-tim.sv +++ /dev/null @@ -1,477 +0,0 @@ -/////////////////////////////////////////// -// testbench.sv -// -// Written: David_Harris@hmc.edu 9 January 2021 -// Modified: -// -// Purpose: Wally Testbench and helper modules -// Applies test programs from the riscv-arch-test and Imperas suites -// -// A component of the Wally configurable RISC-V project. -// -// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University -// -// MIT LICENSE -// Permission is hereby granted, free of charge, to any person obtaining a copy of this -// software and associated documentation files (the "Software"), to deal in the Software -// without restriction, including without limitation the rights to use, copy, modify, merge, -// publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons -// to whom the Software is furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in all copies or -// substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, -// INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR -// PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS -// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, -// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE -// OR OTHER DEALINGS IN THE SOFTWARE. -//////////////////////////////////////////////////////////////////////////////////////////////// - -`include "wally-config.vh" -`include "tests.vh" - -module testbench; - parameter TESTSPERIPH = 0; // set to 0 for regression - parameter TESTSPRIV = 0; // set to 0 for regression - parameter DEBUG=0; - parameter TEST="none"; - - logic clk; - logic reset_ext, reset; - - parameter SIGNATURESIZE = 5000000; - - int test, i, errors, totalerrors; - logic [31:0] sig32[0:SIGNATURESIZE]; - logic [`XLEN-1:0] signature[0:SIGNATURESIZE]; - logic [`XLEN-1:0] testadr; - string InstrFName, InstrDName, InstrEName, InstrMName, InstrWName; - logic [31:0] InstrW; - logic [`XLEN-1:0] meminit; - - -string tests[]; -logic [3:0] dummy; - - string ProgramAddrMapFile, ProgramLabelMapFile; - logic [`AHBW-1:0] HRDATAEXT; - logic HREADYEXT, HRESPEXT; - logic [31:0] HADDR; - logic [`AHBW-1:0] HWDATA; - logic HWRITE; - logic [2:0] HSIZE; - logic [2:0] HBURST; - logic [3:0] HPROT; - logic [1:0] HTRANS; - logic HMASTLOCK; - logic HCLK, HRESETn; - logic [`XLEN-1:0] PCW; - - logic DCacheFlushDone, DCacheFlushStart; - - flopenr #(`XLEN) PCWReg(clk, reset, ~dut.core.ieu.dp.StallW, dut.core.ifu.PCM, PCW); - flopenr #(32) InstrWReg(clk, reset, ~dut.core.ieu.dp.StallW, dut.core.ifu.InstrM, InstrW); - - // check assertions for a legal configuration - riscvassertions riscvassertions(); - - // pick tests based on modes supported - initial begin - $display("TEST is %s", TEST); - //tests = '{}; - if (`XLEN == 64) begin // RV64 - case (TEST) - "arch64i": tests = arch64i; - "arch64priv": tests = arch64priv; - "arch64c": if (`C_SUPPORTED) - if (`ZICSR_SUPPORTED) tests = {arch64c, arch64cpriv}; - else tests = {arch64c}; - "arch64m": if (`M_SUPPORTED) tests = arch64m; - "arch64d": if (`D_SUPPORTED) tests = arch64d; - "imperas64i": tests = imperas64i; - "imperas64p": tests = imperas64p; -// "imperas64mmu": if (`VIRTMEM_SUPPORTED) tests = imperas64mmu; - "imperas64f": if (`F_SUPPORTED) tests = imperas64f; - "imperas64d": if (`D_SUPPORTED) tests = imperas64d; - "imperas64m": if (`M_SUPPORTED) tests = imperas64m; - "imperas64a": if (`A_SUPPORTED) tests = imperas64a; - "imperas64c": if (`C_SUPPORTED) tests = imperas64c; - else tests = imperas64iNOc; - "testsBP64": tests = testsBP64; - "wally64i": tests = wally64i; // *** redo - "wally64priv": tests = wally64priv;// *** redo - "imperas64periph": tests = imperas64periph; - endcase - end else begin // RV32 - case (TEST) - "arch32i": tests = arch32i; - "arch32priv": tests = arch32priv; - "arch32c": if (`C_SUPPORTED) - if (`ZICSR_SUPPORTED) tests = {arch32c, arch32cpriv}; - else tests = {arch32c}; - "arch32m": if (`M_SUPPORTED) tests = arch32m; - "arch32f": if (`F_SUPPORTED) tests = arch32f; - "imperas32i": tests = imperas32i; - "imperas32p": tests = imperas32p; -// "imperas32mmu": if (`VIRTMEM_SUPPORTED) tests = imperas32mmu; - "imperas32f": if (`F_SUPPORTED) tests = imperas32f; - "imperas32m": if (`M_SUPPORTED) tests = imperas32m; - "imperas32a": if (`A_SUPPORTED) tests = imperas32a; - "imperas32c": if (`C_SUPPORTED) tests = imperas32c; - else tests = imperas32iNOc; - "wally32i": tests = wally32i; // *** redo - "wally32e": tests = wally32e; - "wally32priv": tests = wally32priv; // *** redo - "imperas32periph": tests = imperas32periph; - endcase - end - if (tests.size() == 0) begin - $display("TEST %s not supported in this configuration", TEST); - $stop; - end - end - - string signame, memfilename, pathname; - - logic [31:0] GPIOPinsIn, GPIOPinsOut, GPIOPinsEn; - logic UARTSin, UARTSout; - - logic SDCCLK; - logic SDCCmdIn; - logic SDCCmdOut; - logic SDCCmdOE; - logic [3:0] SDCDatIn; - - logic HREADY; - logic HSELEXT; - - - // instantiate device to be tested - assign GPIOPinsIn = 0; - assign UARTSin = 1; - assign HREADYEXT = 1; - assign HRESPEXT = 0; - assign HRDATAEXT = 0; - - wallypipelinedsoc dut(.clk, .reset_ext, .reset, .HRDATAEXT,.HREADYEXT, .HRESPEXT,.HSELEXT, - .HCLK, .HRESETn, .HADDR, .HWDATA, .HWRITE, .HSIZE, .HBURST, .HPROT, - .HTRANS, .HMASTLOCK, .HREADY, .TIMECLK(1'b0), .GPIOPinsIn, .GPIOPinsOut, .GPIOPinsEn, - .UARTSin, .UARTSout, .SDCCmdIn, .SDCCmdOut, .SDCCmdOE, .SDCDatIn, .SDCCLK); - - // Track names of instructions - instrTrackerTB it(clk, reset, dut.core.ieu.dp.FlushE, - dut.core.ifu.FinalInstrRawF, - dut.core.ifu.InstrD, dut.core.ifu.InstrE, - dut.core.ifu.InstrM, InstrW, - InstrFName, InstrDName, InstrEName, InstrMName, InstrWName); - - // initialize tests - localparam integer MemStartAddr = `RAM_BASE>>(1+`XLEN/32); - localparam integer MemEndAddr = (`RAM_RANGE+`RAM_BASE)>>1+(`XLEN/32); - - initial - begin - test = 1; - totalerrors = 0; - testadr = 0; - // fill memory with defined values to reduce Xs in simulation - // Quick note the memory will need to be initialized. The C library does not - // guarantee the initialized reads. For example a strcmp can read 6 byte - // strings, but uses a load double to read them in. If the last 2 bytes are - // not initialized the compare results in an 'x' which propagates through - // the design. - if (`XLEN == 32) meminit = 32'hFEDC0123; - else meminit = 64'hFEDCBA9876543210; - // *** broken because DTIM also drives RAM - if (`TESTSBP) begin - for (i=MemStartAddr; i= 128 | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); - assert (`DCACHE_LINELENINBITS < `DCACHE_WAYSIZEINBYTES*8) else $error("DCACHE_LINELENINBITS must be smaller than way size"); - assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `VIRTMEM_SUPPORTED == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); - assert (`ICACHE_LINELENINBITS >= 32 | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); - assert (`ICACHE_LINELENINBITS < `ICACHE_WAYSIZEINBYTES*8) else $error("ICACHE_LINELENINBITS must be smaller than way size"); - assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be a power of 2"); - assert (2**$clog2(`DCACHE_WAYSIZEINBYTES) == `DCACHE_WAYSIZEINBYTES | (`DMEM != `MEM_CACHE)) else $error("DCACHE_WAYSIZEINBYTES must be a power of 2"); - assert (2**$clog2(`ICACHE_LINELENINBITS) == `ICACHE_LINELENINBITS | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be a power of 2"); - assert (2**$clog2(`ICACHE_WAYSIZEINBYTES) == `ICACHE_WAYSIZEINBYTES | (`IMEM != `MEM_CACHE)) else $error("ICACHE_WAYSIZEINBYTES must be a power of 2"); - assert (2**$clog2(`ITLB_ENTRIES) == `ITLB_ENTRIES | `VIRTMEM_SUPPORTED==0) else $error("ITLB_ENTRIES must be a power of 2"); - assert (2**$clog2(`DTLB_ENTRIES) == `DTLB_ENTRIES | `VIRTMEM_SUPPORTED==0) else $error("DTLB_ENTRIES must be a power of 2"); - assert (`RAM_RANGE >= 56'h07FFFFFF) else $warning("Some regression tests will fail if RAM_RANGE is less than 56'h07FFFFFF"); - assert (`ZICSR_SUPPORTED == 1 | (`PMP_ENTRIES == 0 & `VIRTMEM_SUPPORTED == 0)) else $error("PMP_ENTRIES and VIRTMEM_SUPPORTED must be zero if ZICSR not supported."); - assert (`ZICSR_SUPPORTED == 1 | (`S_SUPPORTED == 0 & `U_SUPPORTED == 0)) else $error("S and U modes not supported if ZISR not supported"); - assert (`U_SUPPORTED | (`S_SUPPORTED == 0)) else $error ("S mode only supported if U also is supported"); - end -endmodule - - -/* verilator lint_on STMTDLY */ -/* verilator lint_on WIDTH */ - -module DCacheFlushFSM - (input logic clk, - input logic reset, - input logic start, - output logic done); - - genvar adr; - - logic [`XLEN-1:0] ShadowRAM[`RAM_BASE>>(1+`XLEN/32):(`RAM_RANGE+`RAM_BASE)>>1+(`XLEN/32)]; - - if(`DMEM == `MEM_CACHE) begin - localparam integer numlines = testbench.dut.core.lsu.bus.dcache.dcache.NUMLINES; - localparam integer numways = testbench.dut.core.lsu.bus.dcache.dcache.NUMWAYS; - localparam integer linebytelen = testbench.dut.core.lsu.bus.dcache.dcache.LINEBYTELEN; - localparam integer numwords = testbench.dut.core.lsu.bus.dcache.dcache.LINELEN/`XLEN; - localparam integer lognumlines = $clog2(numlines); - localparam integer loglinebytelen = $clog2(linebytelen); - localparam integer lognumways = $clog2(numways); - localparam integer tagstart = lognumlines + loglinebytelen; - - - - genvar index, way, cacheWord; - logic [`XLEN-1:0] CacheData [numways-1:0] [numlines-1:0] [numwords-1:0]; - logic [`XLEN-1:0] CacheTag [numways-1:0] [numlines-1:0] [numwords-1:0]; - logic CacheValid [numways-1:0] [numlines-1:0] [numwords-1:0]; - logic CacheDirty [numways-1:0] [numlines-1:0] [numwords-1:0]; - logic [`PA_BITS-1:0] CacheAdr [numways-1:0] [numlines-1:0] [numwords-1:0]; - for(index = 0; index < numlines; index++) begin - for(way = 0; way < numways; way++) begin - for(cacheWord = 0; cacheWord < numwords; cacheWord++) begin - copyShadow #(.tagstart(tagstart), - .loglinebytelen(loglinebytelen)) - copyShadow(.clk, - .start, - .tag(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].CacheTagMem.StoredData[index]), - .valid(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].ValidBits[index]), - .dirty(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].DirtyBits[index]), - .data(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].word[cacheWord].CacheDataMem.StoredData[index]), - .index(index), - .cacheWord(cacheWord), - .CacheData(CacheData[way][index][cacheWord]), - .CacheAdr(CacheAdr[way][index][cacheWord]), - .CacheTag(CacheTag[way][index][cacheWord]), - .CacheValid(CacheValid[way][index][cacheWord]), - .CacheDirty(CacheDirty[way][index][cacheWord])); - end - end - end - - integer i, j, k; - - always @(posedge clk) begin - if (start) begin #1 - #1 - for(i = 0; i < numlines; i++) begin - for(j = 0; j < numways; j++) begin - for(k = 0; k < numwords; k++) begin - if (CacheValid[j][i][k] & CacheDirty[j][i][k]) begin - ShadowRAM[CacheAdr[j][i][k] >> $clog2(`XLEN/8)] = CacheData[j][i][k]; - end - end - end - end - end - end - - - end - flop #(1) doneReg(.clk, .d(start), .q(done)); -endmodule - -module copyShadow - #(parameter tagstart, loglinebytelen) - (input logic clk, - input logic start, - input logic [`PA_BITS-1:tagstart] tag, - input logic valid, dirty, - input logic [`XLEN-1:0] data, - input logic [32-1:0] index, - input logic [32-1:0] cacheWord, - output logic [`XLEN-1:0] CacheData, - output logic [`PA_BITS-1:0] CacheAdr, - output logic [`XLEN-1:0] CacheTag, - output logic CacheValid, - output logic CacheDirty); - - - always_ff @(posedge clk) begin - if(start) begin - CacheTag = tag; - CacheValid = valid; - CacheDirty = dirty; - CacheData = data; - CacheAdr = (tag << tagstart) + (index << loglinebytelen) + (cacheWord << $clog2(`XLEN/8)); - end - end - -endmodule - diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index a41fd3def..47337630b 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -49,8 +49,6 @@ module testbench; logic [`XLEN-1:0] testadr; string InstrFName, InstrDName, InstrEName, InstrMName, InstrWName; logic [31:0] InstrW; - logic [`XLEN-1:0] meminit; - string tests[]; logic [3:0] dummy; @@ -183,22 +181,19 @@ logic [3:0] dummy; // strings, but uses a load double to read them in. If the last 2 bytes are // not initialized the compare results in an 'x' which propagates through // the design. - //if (`XLEN == 32) meminit = 32'hFEDC0123; - //else meminit = 64'hFEDCBA9876543210; - // *** broken because DTIM also drives RAM - if (TEST == "coremark") begin - for (i=MemStartAddr; i= 4 & sig32[i-4] === 'bx) begin + if (i == 4) begin i = SIGNATURESIZE+1; // flag empty file $display(" Error: empty test file"); end else i = SIGNATURESIZE; // skip over the rest of the x's for efficiency @@ -254,17 +249,21 @@ logic [3:0] dummy; testadr = (`RAM_BASE+tests[test+1].atohex())/(`XLEN/8); /* verilator lint_off INFINITELOOP */ while (signature[i] !== 'bx) begin - //$display("signature[%h] = %h", i, signature[i]); - // *** have to figure out how to exclude shadowram when not using a dcache. - if (signature[i] !== dut.uncore.ram.ram.RAM[testadr+i] & + logic [`XLEN-1:0] sig; + if (`DMEM == `MEM_TIM) sig = dut.core.lsu.dtim.dtim.ram.RAM[testadr+i]; + else sig = dut.uncore.ram.ram.RAM[testadr+i]; +// $display("signature[%h] = %h sig = %h", i, signature[i], sig); + if (signature[i] !== sig & //if (signature[i] !== dut.core.lsu.dtim.ram.RAM[testadr+i] & - (signature[i] !== DCacheFlushFSM.ShadowRAM[testadr+i])) begin - if (signature[i+4] !== 'bx | signature[i] !== 32'hFFFFFFFF) begin + (signature[i] !== DCacheFlushFSM.ShadowRAM[testadr+i])) begin // ***i+1? + if ((signature[i] !== '0 & signature[i+4] != 'x)) begin +// if (signature[i+4] !== 'bx | (signature[i] !== 32'hFFFFFFFF & signature[i] !== 32'h00000000)) begin // report errors unless they are garbage at the end of the sim // kind of hacky test for garbage right now + $display("sig4 = %h ne %b", signature[i+4], signature[i+4] !== 'bx); errors = errors+1; - $display(" Error on test %s result %d: adr = %h sim (D$) %h sim (TIM) = %h, signature = %h", - tests[test], i, (testadr+i)*(`XLEN/8), DCacheFlushFSM.ShadowRAM[testadr+i], dut.uncore.ram.ram.RAM[testadr+i], signature[i]); + $display(" Error on test %s result %d: adr = %h sim (D$) %h sim (DMEM) = %h, signature = %h", + tests[test], i, (testadr+i)*(`XLEN/8), DCacheFlushFSM.ShadowRAM[testadr+i], sig, signature[i]); // tests[test], i, (testadr+i)*(`XLEN/8), DCacheFlushFSM.ShadowRAM[testadr+i], dut.core.lsu.dtim.ram.RAM[testadr+i], signature[i]); $stop;//***debug end @@ -288,7 +287,10 @@ logic [3:0] dummy; else begin //pathname = tvpaths[tests[0]]; memfilename = {pathname, tests[test], ".elf.memfile"}; - $readmemh(memfilename, dut.uncore.ram.ram.RAM); + //$readmemh(memfilename, dut.uncore.ram.ram.RAM); + if (`IMEM == `MEM_TIM) $readmemh(memfilename, dut.core.ifu.irom.irom.ram.RAM); + else $readmemh(memfilename, dut.uncore.ram.ram.RAM); + ProgramAddrMapFile = {pathname, tests[test], ".elf.objdump.addr"}; ProgramLabelMapFile = {pathname, tests[test], ".elf.objdump.lab"}; $display("Read memfile %s", memfilename); diff --git a/pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh index 3d403f0a2..42ea2a258 100644 --- a/pipelined/testbench/tests.vh +++ b/pipelined/testbench/tests.vh @@ -1432,7 +1432,7 @@ string imperas32f[] = '{ string arch32i[] = '{ `RISCVARCHTEST, - "rv32i_m/I/or-01", "5010", + "rv32i_m/I/fence-01", "2010", "rv32i_m/I/add-01", "5010", "rv32i_m/I/addi-01", "4010", "rv32i_m/I/and-01", "5010", From e9a519a228568019dd141cc6182ef1d92b6e7f3c Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 12:40:02 +0000 Subject: [PATCH 092/100] Patching up testbench; fixed false passing, but rv32ic and rv32e tests now fail --- pipelined/testbench/testbench.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index 47337630b..e1a362741 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -256,7 +256,7 @@ logic [3:0] dummy; if (signature[i] !== sig & //if (signature[i] !== dut.core.lsu.dtim.ram.RAM[testadr+i] & (signature[i] !== DCacheFlushFSM.ShadowRAM[testadr+i])) begin // ***i+1? - if ((signature[i] !== '0 & signature[i+4] != 'x)) begin + if ((signature[i] !== '0 | signature[i+4] !== 'x)) begin // if (signature[i+4] !== 'bx | (signature[i] !== 32'hFFFFFFFF & signature[i] !== 32'h00000000)) begin // report errors unless they are garbage at the end of the sim // kind of hacky test for garbage right now From e5097e67d4f615fd8aad1112233725cf333bb126 Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 15:24:37 +0000 Subject: [PATCH 093/100] Fixed TIM tests; rv32e test still failing --- pipelined/testbench/testbench.sv | 2 ++ pipelined/testbench/tests.vh | 1 - 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index e1a362741..3117c1112 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -193,6 +193,7 @@ logic [3:0] dummy; memfilename = {pathname, tests[test], ".elf.memfile"}; if (`IMEM == `MEM_TIM) $readmemh(memfilename, dut.core.ifu.irom.irom.ram.RAM); else $readmemh(memfilename, dut.uncore.ram.ram.RAM); + if (`DMEM == `MEM_TIM) $readmemh(memfilename, dut.core.lsu.dtim.dtim.ram.RAM); ProgramAddrMapFile = {pathname, tests[test], ".elf.objdump.addr"}; ProgramLabelMapFile = {pathname, tests[test], ".elf.objdump.lab"}; @@ -290,6 +291,7 @@ logic [3:0] dummy; //$readmemh(memfilename, dut.uncore.ram.ram.RAM); if (`IMEM == `MEM_TIM) $readmemh(memfilename, dut.core.ifu.irom.irom.ram.RAM); else $readmemh(memfilename, dut.uncore.ram.ram.RAM); + if (`DMEM == `MEM_TIM) $readmemh(memfilename, dut.core.lsu.dtim.dtim.ram.RAM); ProgramAddrMapFile = {pathname, tests[test], ".elf.objdump.addr"}; ProgramLabelMapFile = {pathname, tests[test], ".elf.objdump.lab"}; diff --git a/pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh index 42ea2a258..4a78455ea 100644 --- a/pipelined/testbench/tests.vh +++ b/pipelined/testbench/tests.vh @@ -1432,7 +1432,6 @@ string imperas32f[] = '{ string arch32i[] = '{ `RISCVARCHTEST, - "rv32i_m/I/fence-01", "2010", "rv32i_m/I/add-01", "5010", "rv32i_m/I/addi-01", "4010", "rv32i_m/I/and-01", "5010", From 492c1473f30859af20c7ca601bcc4071b0a46070 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Tue, 8 Feb 2022 09:47:01 -0600 Subject: [PATCH 094/100] Preparing to make a major change to the cache's write enables. --- pipelined/src/cache/cache.sv | 7 +++++-- pipelined/src/cache/cacheway.sv | 12 ++++++------ 2 files changed, 11 insertions(+), 8 deletions(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 5089672c3..f70467f4a 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -121,8 +121,8 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( // Array of cache ways, along with victim, hit, dirty, and read merging logic cacheway #(NUMLINES, LINELEN, TAGLEN, OFFSETLEN, SETLEN) CacheWays[NUMWAYS-1:0]( .clk, .reset, .RAdr, .PAdr, - .WriteEnable(SRAMWayWriteEnable), - .WriteWordEnable(SRAMWordEnable), + .SRAMWayWriteEnable, + .SRAMWordEnable, .TagWriteEnable(SRAMLineWayWriteEnable), .WriteData(SRAMWriteData), .SetValid(SetValidWay), .ClearValid(ClearValidWay), .SetDirty(SetDirtyWay), .ClearDirty(ClearDirtyWay), @@ -157,9 +157,12 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( ///////////////////////////////////////////////////////////////////////////////////////////// // *** Ross considering restructuring + // move decoder and wordwritenable into cacheway. onehotdecoder #(LOGWPL) adrdec( .bin(PAdr[LOGWPL+LOGXLENBYTES-1:LOGXLENBYTES]), .decoded(MemPAdrDecoded)); assign SRAMWordEnable = SRAMLineWriteEnable ? '1 : MemPAdrDecoded; // OR + + assign SRAMLineWayWriteEnable = SRAMLineWriteEnable ? VictimWay : '0; // AND assign SRAMWordWayWriteEnable = SRAMWordWriteEnable ? WayHit : '0; // AND mux2 #(NUMWAYS) WriteEnableMux(.d0(SRAMWordWayWriteEnable), .d1(VictimWay), diff --git a/pipelined/src/cache/cacheway.sv b/pipelined/src/cache/cacheway.sv index 7cb2084ad..09fcbfa29 100644 --- a/pipelined/src/cache/cacheway.sv +++ b/pipelined/src/cache/cacheway.sv @@ -37,8 +37,8 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, input logic [$clog2(NUMLINES)-1:0] RAdr, input logic [`PA_BITS-1:0] PAdr, - input logic WriteEnable, - input logic [LINELEN/`XLEN-1:0] WriteWordEnable, + input logic SRAMWayWriteEnable, + input logic [LINELEN/`XLEN-1:0] SRAMWordEnable, input logic TagWriteEnable, input logic [LINELEN-1:0] WriteData, input logic SetValid, @@ -68,7 +68,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, logic [$clog2(NUMLINES)-1:0] RAdrD; logic SetValidD, ClearValidD; logic SetDirtyD, ClearDirtyD; - logic WriteEnableD; + logic SRAMWayWriteEnableD; ///////////////////////////////////////////////////////////////////////////////////////////// // Tag Array @@ -93,7 +93,7 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, sram1rw #(.DEPTH(NUMLINES), .WIDTH(`XLEN)) CacheDataMem(.clk(clk), .Adr(RAdr), .ReadData(ReadDataLine[(words+1)*`XLEN-1:words*`XLEN] ), .WriteData(WriteData[(words+1)*`XLEN-1:words*`XLEN]), - .WriteEnable(WriteEnable & WriteWordEnable[words])); + .WriteEnable(SRAMWayWriteEnable & SRAMWordEnable[words])); end // AND portion of distributed read multiplexers @@ -112,8 +112,8 @@ module cacheway #(parameter NUMLINES=512, parameter LINELEN = 256, TAGLEN = 26, end // *** consider revisiting whether these delays are the best option? flop #($clog2(NUMLINES)) RAdrDelayReg(clk, RAdr, RAdrD); - flop #(3) ValidCtrlDelayReg(clk, {SetValid, ClearValid, WriteEnable}, - {SetValidD, ClearValidD, WriteEnableD}); + flop #(3) ValidCtrlDelayReg(clk, {SetValid, ClearValid, SRAMWayWriteEnable}, + {SetValidD, ClearValidD, SRAMWayWriteEnableD}); assign Valid = ValidBits[RAdrD]; ///////////////////////////////////////////////////////////////////////////////////////////// From 9ad3f263655ffcefc4f804569ea731450b8bafbe Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 16:41:11 +0000 Subject: [PATCH 095/100] Restored E tests to makefrag --- pipelined/config/rv32e/wally-config.vh | 4 +- pipelined/testbench/tests.vh | 2 +- .../riscv-test-suite/rv32i_m/I/Makefrag | 37 +++++++++++++++++++ 3 files changed, 40 insertions(+), 3 deletions(-) diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh index 2443b7ae9..45d0948a9 100644 --- a/pipelined/config/rv32e/wally-config.vh +++ b/pipelined/config/rv32e/wally-config.vh @@ -49,8 +49,8 @@ `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 // *** replace with MEM_BUS -`define DMEM `MEM_BUS -`define IMEM `MEM_BUS +`define DMEM `MEM_CACHE +`define IMEM `MEM_CACHE `define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 diff --git a/pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh index 4a78455ea..9cce5847f 100644 --- a/pipelined/testbench/tests.vh +++ b/pipelined/testbench/tests.vh @@ -1498,12 +1498,12 @@ string imperas32f[] = '{ string wally32e[] = '{ `WALLYTEST, + "rv32i_m/I/E-beq-01", "03b010", "rv32i_m/I/E-add-01", "005010", "rv32i_m/I/E-addi-01", "004010", "rv32i_m/I/E-and-01", "005010", "rv32i_m/I/E-andi-01", "004010", "rv32i_m/I/E-auipc-01", "002010", - "rv32i_m/I/E-beq-01", "03b010", "rv32i_m/I/E-bge-01", "034010", "rv32i_m/I/E-bgeu-01", "047010", "rv32i_m/I/E-blt-01", "038010", diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag index eda625075..792ea2e24 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag @@ -28,6 +28,43 @@ # Description: Makefrag for RV32I architectural tests rv32i_sc_tests = \ + E-add-01 \ + E-addi-01 \ + E-and-01 \ + E-andi-01 \ + E-auipc-01 \ + E-beq-01 \ + E-bge-01 \ + E-bgeu-01 \ + E-blt-01 \ + E-bltu-01 \ + E-bne-01 \ + E-jal-01 \ + E-jalr-01 \ + E-lb-align-01 \ + E-lbu-align-01 \ + E-lh-align-01 \ + E-lhu-align-01 \ + E-lui-01 \ + E-lw-align-01 \ + E-or-01 \ + E-ori-01 \ + E-sb-align-01 \ + E-sh-align-01 \ + E-sll-01 \ + E-slli-01 \ + E-slt-01 \ + E-slti-01 \ + E-sltiu-01 \ + E-sltu-01 \ + E-sra-01 \ + E-srai-01 \ + E-srl-01 \ + E-srli-01 \ + E-sub-01 \ + E-sw-align-01 \ + E-xor-01 \ + E-xori-01 \ WALLY-ADD \ WALLY-SLT \ WALLY-SLTU \ From c07584bb701f9ecad2e6ffc827604e6e1fd3c6e3 Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 17:59:50 +0000 Subject: [PATCH 096/100] rv32e config update --- pipelined/config/rv32e/wally-config.vh | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh index 45d0948a9..2443b7ae9 100644 --- a/pipelined/config/rv32e/wally-config.vh +++ b/pipelined/config/rv32e/wally-config.vh @@ -49,8 +49,8 @@ `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 // *** replace with MEM_BUS -`define DMEM `MEM_CACHE -`define IMEM `MEM_CACHE +`define DMEM `MEM_BUS +`define IMEM `MEM_BUS `define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 From d5d9bb9d4dc25403c6e513e31b08e561480cddd4 Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Tue, 8 Feb 2022 14:13:55 -0600 Subject: [PATCH 097/100] Temporary commit which gets the no branch predictor implementation working. --- pipelined/src/ifu/ifu.sv | 27 ++++++++++++++++----------- 1 file changed, 16 insertions(+), 11 deletions(-) diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index 7be1b56f8..196746361 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -243,19 +243,29 @@ module ifu ( assign PrivilegedChangePCM = RetM | TrapM; + logic SelBPPredF; + logic [`XLEN-1:0] BPPredPCF, PCNext0F; + logic BPPredWrongM; + // The true correct target is IEUAdrE if PCSrcE is 1 else it is the fall through PCLinkE. mux2 #(`XLEN) pccorrectemux(.d0(PCLinkE), .d1(IEUAdrE), .s(PCSrcE), .y(PCCorrectE)); mux2 #(`XLEN) pcmux2(.d0(PCNext1F), .d1(PCBPWrongInvalidate), .s(InvalidateICacheM), .y(PCNext2F)); mux2 #(`XLEN) pcmux3(.d0(PCNext2F), .d1(PrivilegedNextPCM), .s(PrivilegedChangePCM), .y(UnalignedPCNextF)); + mux2 #(`XLEN) pcmux0(.d0(PCPlus2or4F), .d1(BPPredPCF), .s(SelBPPredF), .y(PCNext0F)); + mux2 #(`XLEN) pcmux1(.d0(PCNext0F), .d1(PCCorrectE), .s(BPPredWrongE), .y(PCNext1F)); + // Mux only required on instruction class miss prediction. + mux2 #(`XLEN) pcmuxBPWrongInvalidateFlush(.d0(PCE), .d1(PCF), + .s(BPPredWrongM), .y(PCBPWrongInvalidate)); + + + + assign PCNextF = {UnalignedPCNextF[`XLEN-1:1], 1'b0}; // hart-SPEC p. 21 about 16-bit alignment flopenl #(`XLEN) pcreg(clk, reset, ~StallF, PCNextF, `RESET_VECTOR, PCF); // branch and jump predictor if (`BPRED_ENABLED) begin : bpred - logic SelBPPredF; - logic [`XLEN-1:0] BPPredPCF, PCNext0F; - logic BPPredWrongM; bpred bpred(.clk, .reset, .StallF, .StallD, .StallE, .StallM, @@ -264,17 +274,12 @@ module ifu ( .PCD, .PCLinkE, .InstrClassM, .BPPredWrongE, .BPPredWrongM, .BPPredDirWrongM, .BTBPredPCWrongM, .RASPredPCWrongM, .BPPredClassNonCFIWrongM); - mux2 #(`XLEN) pcmux0(.d0(PCPlus2or4F), .d1(BPPredPCF), .s(SelBPPredF), .y(PCNext0F)); - mux2 #(`XLEN) pcmux1(.d0(PCNext0F), .d1(PCCorrectE), .s(BPPredWrongE), .y(PCNext1F)); - // Mux only required on instruction class miss prediction. - mux2 #(`XLEN) pcmuxBPWrongInvalidateFlush(.d0(PCE), .d1(PCF), - .s(BPPredWrongM), .y(PCBPWrongInvalidate)); - end else begin : bpred assign BPPredWrongE = PCSrcE; assign {BPPredDirWrongM, BTBPredPCWrongM, RASPredPCWrongM, BPPredClassNonCFIWrongM} = '0; - assign PCNext1F = PCPlus2or4F; - assign PCBPWrongInvalidate = PCE; + assign SelBPPredF = 1'b0; + //assign PCNext1F = PCPlus2or4F; + //assign PCBPWrongInvalidate = PCE; end // pcadder From 3e16730226bec44d098c070c2ea1ef40b9d0c68b Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 20:15:23 +0000 Subject: [PATCH 098/100] RAM simplification --- pipelined/src/cache/sram1rw.sv | 1 + pipelined/src/generic/flop/simpleram.sv | 20 ++++++++------------ 2 files changed, 9 insertions(+), 12 deletions(-) diff --git a/pipelined/src/cache/sram1rw.sv b/pipelined/src/cache/sram1rw.sv index b17aa20d3..921c0af47 100644 --- a/pipelined/src/cache/sram1rw.sv +++ b/pipelined/src/cache/sram1rw.sv @@ -46,6 +46,7 @@ module sram1rw #(parameter DEPTH=128, WIDTH=256) ( logic WriteEnableD; //*** model as single port + // *** merge with simpleram always_ff @(posedge clk) begin AddrD <= Adr; WriteDataD <= WriteData; /// ****** this is not right. there should not need to be a delay. Implement alternative cache stall to avoid this. Eliminates a bunch of delay flops elsewhere diff --git a/pipelined/src/generic/flop/simpleram.sv b/pipelined/src/generic/flop/simpleram.sv index 43b873567..3ad367bd5 100644 --- a/pipelined/src/generic/flop/simpleram.sv +++ b/pipelined/src/generic/flop/simpleram.sv @@ -40,18 +40,14 @@ module simpleram #(parameter BASE=0, RANGE = 65535) ( logic [`XLEN-1:0] RAM[BASE>>(1+`XLEN/32):(RANGE+BASE)>>1+(`XLEN/32)]; - /* verilator lint_off WIDTH */ - if (`XLEN == 64) begin:ramrw - always_ff @(posedge clk) begin - rd <= RAM[a[31:3]]; - if (we) RAM[a[31:3]] <= #1 wd; - end - end else begin - always_ff @(posedge clk) begin:ramrw - rd <= RAM[a[31:2]]; - if (we) RAM[a[31:2]] <= #1 wd; - end + // discard bottom 2 or 3 bits of address offset within word or doubleword + localparam adrlsb = (`XLEN==64) ? 3 : 2; + logic [31:adrlsb] adrmsbs; + assign adrmsbs = a[31:adrlsb]; + + always_ff @(posedge clk) begin + rd <= RAM[adrmsbs]; + if (we) RAM[adrmsbs] <= #1 wd; end - /* verilator lint_on WIDTH */ endmodule From 39149c618f78c044e9f5fec28af1b8c4f5675e0c Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Tue, 8 Feb 2022 14:17:44 -0600 Subject: [PATCH 099/100] Moved some muxes back into the bp. --- pipelined/src/ifu/ifu.sv | 17 +++++++++-------- 1 file changed, 9 insertions(+), 8 deletions(-) diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index 196746361..27b56f219 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -243,20 +243,15 @@ module ifu ( assign PrivilegedChangePCM = RetM | TrapM; - logic SelBPPredF; logic [`XLEN-1:0] BPPredPCF, PCNext0F; - logic BPPredWrongM; + // The true correct target is IEUAdrE if PCSrcE is 1 else it is the fall through PCLinkE. mux2 #(`XLEN) pccorrectemux(.d0(PCLinkE), .d1(IEUAdrE), .s(PCSrcE), .y(PCCorrectE)); mux2 #(`XLEN) pcmux2(.d0(PCNext1F), .d1(PCBPWrongInvalidate), .s(InvalidateICacheM), .y(PCNext2F)); mux2 #(`XLEN) pcmux3(.d0(PCNext2F), .d1(PrivilegedNextPCM), .s(PrivilegedChangePCM), .y(UnalignedPCNextF)); - mux2 #(`XLEN) pcmux0(.d0(PCPlus2or4F), .d1(BPPredPCF), .s(SelBPPredF), .y(PCNext0F)); mux2 #(`XLEN) pcmux1(.d0(PCNext0F), .d1(PCCorrectE), .s(BPPredWrongE), .y(PCNext1F)); - // Mux only required on instruction class miss prediction. - mux2 #(`XLEN) pcmuxBPWrongInvalidateFlush(.d0(PCE), .d1(PCF), - .s(BPPredWrongM), .y(PCBPWrongInvalidate)); @@ -266,18 +261,24 @@ module ifu ( // branch and jump predictor if (`BPRED_ENABLED) begin : bpred - + logic BPPredWrongM; + logic SelBPPredF; bpred bpred(.clk, .reset, .StallF, .StallD, .StallE, .StallM, .FlushF, .FlushD, .FlushE, .FlushM, .InstrD, .PCNextF, .BPPredPCF, .SelBPPredF, .PCE, .PCSrcE, .IEUAdrE, .PCD, .PCLinkE, .InstrClassM, .BPPredWrongE, .BPPredWrongM, .BPPredDirWrongM, .BTBPredPCWrongM, .RASPredPCWrongM, .BPPredClassNonCFIWrongM); + + mux2 #(`XLEN) pcmux0(.d0(PCPlus2or4F), .d1(BPPredPCF), .s(SelBPPredF), .y(PCNext0F)); + // Mux only required on instruction class miss prediction. + mux2 #(`XLEN) pcmuxBPWrongInvalidateFlush(.d0(PCE), .d1(PCF), + .s(BPPredWrongM), .y(PCBPWrongInvalidate)); end else begin : bpred assign BPPredWrongE = PCSrcE; assign {BPPredDirWrongM, BTBPredPCWrongM, RASPredPCWrongM, BPPredClassNonCFIWrongM} = '0; - assign SelBPPredF = 1'b0; + assign PCNext0F = PCPlus2or4F; //assign PCNext1F = PCPlus2or4F; //assign PCBPWrongInvalidate = PCE; end From cecbb3362dbc9ac51e745171a98c53a6ccc1d3cf Mon Sep 17 00:00:00 2001 From: Ross Thompson Date: Tue, 8 Feb 2022 14:21:55 -0600 Subject: [PATCH 100/100] rv32e works for now. Still need to optimize. --- pipelined/src/ifu/ifu.sv | 6 ++---- 1 file changed, 2 insertions(+), 4 deletions(-) diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index 27b56f219..d9a296687 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -251,10 +251,8 @@ module ifu ( mux2 #(`XLEN) pcmux2(.d0(PCNext1F), .d1(PCBPWrongInvalidate), .s(InvalidateICacheM), .y(PCNext2F)); mux2 #(`XLEN) pcmux3(.d0(PCNext2F), .d1(PrivilegedNextPCM), .s(PrivilegedChangePCM), .y(UnalignedPCNextF)); - mux2 #(`XLEN) pcmux1(.d0(PCNext0F), .d1(PCCorrectE), .s(BPPredWrongE), .y(PCNext1F)); - - - + // *** moved outside the bp. clean up. Should not be able to remove without bp. + mux2 #(`XLEN) pcmux1(.d0(PCNext0F), .d1(PCCorrectE), .s(BPPredWrongE), .y(PCNext1F)); assign PCNextF = {UnalignedPCNextF[`XLEN-1:1], 1'b0}; // hart-SPEC p. 21 about 16-bit alignment flopenl #(`XLEN) pcreg(clk, reset, ~StallF, PCNextF, `RESET_VECTOR, PCF);

%*`7y^GxR6mq-e|*?^SIupt zL2gbr2lcl_AAhT5MnB#xs$)G=TdQ@`DZKf_rrg|@{rj~c`-h5i`?$1^OP3TJ|ERDE zxHkX&*z*50w#&eP03-UVK~MS1G2Hd=obdB_in9J2ZKeFw6f{@4q>dUuQAj-*gN&ouQ3t(sdO)S?6gqFy`I| zsO>B@-t`Iy{b{kYF=I=sld-fYPln@?xkzYMkUWV6jxy`4O`nF0Rl^*)jyPoUtLpoA z{D}Yk@aX?p?72rp8>QAMc$sI94pOD@!$`SJR+Sr{j5{g5)*2X=MGe1BB2 zLd#XC^6)vWqp$wiUHA>{{R^U=zkfpio;mdg?WlE?Le36}eQP^-m3KKB(xQ|f0-$Q1 znT^Dw3={YoEQcQYN_T z_mZWMstx1UIeo-^?t~AIX5)+G3f&W=R!0*~=jG?@nTgLtkH+h_IEmE!Mt|YA`V~L! z3o+BW&{{MpX~757ekr+^EE{H~d-YRL)KhCvj_cN<*{ZG1g)o z-I~ZCzRdo#U(y<@ln|jA`D4ZZp2_k*n415oX8oHSNNVlMNVDuEIFrMw+uDL&mN@c` zWOX%IVLz^3|9s_UTFx%qTw5^w@TB_}^vAs&*37aaYVFnU;K*UYwG9POm=W4=R1+7< z!6-|$q(3G)_GsO@sxe(`0to8ax|xqpVl_E4m-=v|x%2$Lx8qBQLJ-6g}<3uzPc z`t$07RFk>zN0r#N^sMf`i5D{-6S(*dq_Xf0^o(mu!WFJ{CjHtfAx0~B#;#+s#F>sI zV;Q0Vd6x=?fIR55_so=6^So4-6=hyX{ib6pg$ScCW9*Fyn8HHc^x{-XyOtKQW0h7X zpiw!T?kJ$>yS&R1#7YU0^)Tzt77O@rZYB?Y&|!{yb|))C*|`w)sf#;P;J1z0N6xZ< zOM`Z<)G_ihdclyGy!+eGdD!hG{rb(!Dgm8x&&&NukSl^Flp(T+xg>DwHV)0tpw(rS zDV8C-C21Rg?Wp>DFXgv&*;@d^B+xhYkHe3AkNzqjYh(ql8 zSrZ@W<@DTiMv<~4VFzR9bpOiJ7EC(j1X%~>2T5{gx%UjFiLguh9yXRXCgRdICq64w zsL5Qd-4tF`&1MQ%u?w-bF?1iIl4ssd>4+w~!bmual$briFLYuC`v9!F*Zt$N>3p>H zf3A{ne>o26kOyN-%54MmSnDL_zQ~YO+DHE1*EG#OPCx4{$3j*c?2Nh82Zu1}9YX`j zfQ}W7J=23sECAPezKXJTWb*#>l(g@70NeC*b)ikkcFs{ME6UhC{pJb%g-p&aKaPod zLC?c|${c=k?t>!VK<1U`BeBY7AJq&1sy^&nt1CWJQIq{IUYLpMG+#mOAgZk5`H48h z!D9CUfYnQa$v^?IZN-u;t=m8TFXYk#z*qodpfZ@HXZ`$tuK#n#;f{OHb(TCf(6U7~ zfTh%0onBcF-j)4QAQ7|v>NfJ(GUA%sfacYP*z&+2-T2V8$}^kL$SG#K-DiUOB6ZPf zbTJjadvc$Nc6!3j{Z|(Fj2&gKUTxz3$o<1${g8JF`B~m2+_`9SS(zg`f!W?4$!o#` z92~2di@LDukQQMjI?Zn5tpW&5pm7I9=rMJiB;AyLA^6lMh-8OC#J=7@{b_cz+!LHo zr9EaFpuKFQ=;?Nr1i$@djr|7OyjYc%L!V(`!{LJtf$|{Dx=x#yULQNin$$j`6JUEC12OpI;j~X+GL+ z`{3esDvc0?lu7_WyKPy6y1H%r%a0?Md-%qCf+SXMV4R26K$n|B~KtXAn_>BqnEAZbBG#-DI@`8hQXh}Ovx2y z5ZgrAfq2-jJMmXNlq^u5f6~lW{ny?2%T9j(d9?R->Hex0f7M4z_5F9YazKRc*PZyQ z9=e&M+G_vp14SR0A-y?sZPL zC#X?Pn#1kQMUFyYU%5a0C6U4+S;3n_)BcH9mcU8SGo>kI+R+snaCrjO`NnZ1=S;oJr9m zT~858Tn!Hc2%6x9>^+3#WnH|H{q#f5kxMKAor) zJDGVDD1Ws04sk|15taba038KYeT+!u_a8Om{H&_>mj?6idFlTs?)o>{`=2=cUv>=6 zn7T4wT`sNn4*WctVPpNVO;U>M%F8Rg!r2fV@3fu*h~i@3RP6Axw>&rz3C-nS(PXwe z17W8&D#1&x?!zAO6?J=IUyNrQ`=}`vk8y0T=)zukimg;$#)ibFm}?uq|Ew=Rw^2wN z=e=(1GxAzoZ*^%tE;M8^f@LEYdvnAjzub9+5B^&m>!@F z-J1kjt*mo2++G4w9uNM0gpMxGL3tQb_trdGW!Owit(qmvkMj}hXIjxqRh?7%?VB_8 zz4(#p0YZC!zT9-kjD9wp)t2sB(l= zu-=nxAP3r+W)bT!i|1CH{y4~_g;W$`tVPA!+CklRfw`O>euUbg)qV57CXl5s4@n?~=Eo7hp zy#oxi?0BB(nfHeco8vLFC8JWzpFz}e>DeF?A|Jjn%XzTg;OlT&yy2O0y32~@toI-x z$BdtoBUf>MiuwU*&YYygonZdD(vWz=l!q?oodk4z;^Sj>Z|H7HF*evp0diZd4O{rV z8uX{pN2wpWbqmTqym<7`TdS#)-G{{uZt^E$=^sG5_&Z1Gl#dqL#$jazC+`f81iiZU zN}fQQzM0CyotdYgVXhVHEmAmf*On=|9zzRkUq{-vcWfeC|BAOWT%FC{CALoYSa;7fzG*>2qKXjAB{yzpIFolqc3Z^;8vQ zOV8S|LDFezkCvdEikgA?;dC)`o|i2}3s&lTo}7LtcPZr5g+i$rM`bQ9E^JomRD4N% zArwuU_OW(Y94KK>ai^%9F%$6=U-qEfn1&&iJtE;1^Bf0B5LFW|YTGVwBfp}MDHV#f z0Fch)%9li=A5iA6=j{LRT5@q|QQn(&I|-G$V&ysXa?_?ShQFjR%73ia%Zuu(yy9%2 zG@6VbYr<5Udv606+!R84W`{p$yAZC}lcFror(1}agammS7k`>DWWAIL&E%WX-pQ6w zpGCgFY{Fpdz#+|J+EV?po|b6}tlG-YM^d1e3wx!c(J{2O?EF((MV4@VONG3T8&^Ck z=_q`wYs21H?qjrW2DGhGyQ|7e1hS~+huxx3F+$ZOOj~j~+7DaRqR*K-L((M6O)|&8 z{NPvn7==}#POpVIOSl$zP0k$E3>?M%b6OtqC_Y+5zH4(%q4O=jP9|dLFzD6|Q9$V? zmd|@C<2f#ykKvZg{oZyC?>N?TuCxn@Yr4Wu^lt>qU06lx@MLRO($Z&QT;Yfi)JxTe z38A<_Z()Nq42{{~T%bJ1#e2$529X#Q3*$^gtDROEmNtpEA>9Q+N_(JfEV7TJOgIAaVBMrtsp#`$doWmJr<yU4=0oklM+lWPozT##kSpn&bSy@D|OMy+g z|1kGg&IWtK7Y4b+Bw8W;nMptmzb$&s1?{#lxM~~dj zDJihgFFT@|;1RVpDHQ>E2?{9|4HQH9k#h}Ku$(22trZ&C`AIkiaJIt$e4sQk;#ML2 z^r+7Lq?xFjEuST;6KVb{%8fh2Cq$F}9=Qwy=wB}zyfoNSOaz20GBEEF>6FpHgfB!-@fwh_XK3Q4b5!91+!1oVN1Dt+f;(oi37TGH(|;Dm%tnAa_6bep#i zl90;LhsmNj4l(h8H?GyqhS@5)^k3~rDUaF3-=_sr(JGuSnkPMs&@y?bKINn1uf=`Y z#ps1!z(Z7(y25lsgywjoY8p`lE1RAlESjAHYqYPCKvXHW6W|7E?hIgvd1)?eJft5M z4q%OE^&&p2Mdfva`QKq5uz64U?9ENAegjnlyWf*EqWES*OhNd4MSm*nX!sQbZ`3$Cj%RtR!|? zooHKPw;#K0J{Yg;k8*g~^0}D);N8mDGLUMrbul?t@>KH6TS605&c0g1mO^n+va|A+ z_f6&UZc^mb0xm;_l22a&v)eO?HUInBI7tx6A}8vMUH>8y)#i*m{-*rWM(dZ6`EQ_> z?{D$yW_t*eb9#l%xXX1xoUUg{PGPH3Zm;3i>hra=w>zgA&cbxzsS0m+RtunKuXo&V zUNHZX@5akO1jm@i2z8HCbMzG7n^9YDOavLle%(G}IRw7p{2CsvKe!<*Dj>0cToYFF z8b}`oX+3kteJ{T|d>t3bbmK#1i{koi8Ts5*gQEpj0<|hVdOnNql`lvt6rIE$q9X0+ zr|6HLhkrn}ID19swVCtnB3$ot=e%zCVKM%R2c~~+Q@?`|Q+aX#F)R8JG1vwax=o}@ zMVP?$x!|ZjnYDWTvhQXMPB)EyGky;1nKm4iWGMm90fkwZIWk@cF;cO=yzyS;$i^{u z@y!J+qZjDFMMMvS$CUNV`{-StOm701^eGWhZYRY^QN5VU~9E-AG1~R)eJ*T6nx?ndmOFnRQz@ZCR0V+)_azKdX_FT_elG;CD_5| z`b(h5p;{6(f*N`j95wTKin z`-7k0Mc$E1Kqa(GsjJ&effcCn=;d?p+tc3v7;^I0q+Tt4e|P0{yupK8aC8jw%Wj^Ug0XLOW4hneD${EVnQd^9Jb)VMDoSwqygqhjwR!poKD#1z5u%u8i;WXp zFjJ{FgBu!*F6K@%KBsb2D|K3rL2i_PN8D7;wLzBwATPy$Y#7#>^K~NdVWMN2%ncYMq@5!36fMrJs@}rP4}pOe zLW({ux5rDXl$0=b3~_MEb=iZxT_SA+Gg7+2PSHlr_fMwMxAScvqODfcyy-jK0NB{n zY?v)G^OO)tY<|{+IVC6(tls4*Jh+58R({*br#x)9UfkDXW1kGbbB&pwd);p(FCHlQ#8^`D%O8G> zA>mv|7QLxH-jYrx)$^icerD&1DAwp@0$E=+6;Pc6av11q+_eT_Ux>WB7f@%HPtIZc zWJT?WzC`L=G@rJbNosi4E}o#WjTu8PI!n?|fpfgiQS=cd`Y~T5ES|1@4VbEI=PLH3 zB1`6z>2aE_Um5k|^i3Ar^%SESsN-k$0mlu*ozpw_d)=LX(E9&=6rh+c$YAU8Es}>E z$pR?R?oSUr?}DsB>~lwf*8w72@^EEvb}pt)|BVX%PQdIyijPO^Oe0?8ZDx$`EKT!JLtS? zL(5-9SlAkh>5dUSkagqSx!uN_E7~4klzEL6I|a?ygL3L;u!(e1SOn6Z?y&Z82RF=w zNSoH@m%Z}q3=(v9uQv2=Wq9_)zSh0ogQIykMKyOzEiV@=Qw7cjU5PtTFo%c*t4kF` zvF`3wcF-o9h!A@i^V0mvy%Nru#(1lXBiHT%HDO%cf{?DDCBRNllTtCW zr-7U{!p1GKSHUcX8Z-M5??$fl-4deM^#BaCVA&B(MvnI&ZpwOh=>wT1SL00Kw9u5w z^b_~tYrJ-I?4e__0~~Hxoo}F%WWMw|)~5?fV(ee#kCdR=7}(6;GQzHPj#L%9lzG= zy&LGk+NMiI=^I24NYDTZ);$dXZ6o~+&a>m)5YLQ+95J!;?0lZxb5~DHB4~^@J$O8s zi15H^*0Hsu9;TZA!`yp^HNCCd!a>9!BC&ud0#S+})dqr;0160*6zMH0AWcO?dI^Gp zAVokxPzeYKNQv}LsM34yhTci2AtdpethM)EYwi2pyZ1f!o^#KCd0;+CelxR-cf8{r zubn}^#unAKG%~bI9}3t`bK=xgdlYV@>BZQILED&cj$BpbWBRcl$|Bw$IJfGIsoiGh z4694Jt~H(SxOhDLEPU-mEwJSCz=yrT5nNtpijBB((yw-fy`SS{$kDz!5hdN zVjtptf#_1zGGlu(f2XxXP3jrj9cSToW-k=Pnzj*KNH*%aGl-#ac&@+fgmqLhg19Lm zd6;|2&t!mnE#^)6=r72_1#jdI$_?G&0@_5QK_O|rbCi?Nv!&8I{gM*bPp!=+>R9iQG*#6|`k83T~Z!;%tZVGw0e;z!;9$HggTZhhf4I(7s$KORN zyb8-7kGQ_~%H)`As%(wV#rcW+(8TS=9>dNRv#}3{Jd`ag&Mf&0qrWQmR|Y1)B#DuP zhwy-+erMzm3?g_IUL4jc7iclf+imF4e1w;z{AX1L=Du&uEo^$6Ro*J}6kC>^LT87TQjSNeNtJb{T zjvyRpip^KQ+|$Jvgu2oI2>N7K(JA`?PeUh;*WI#FX(~0!Qhw|KUC2oMCO3P-QI8r?6Me%q-*eQ7ph>B2$@ zshB?a;VGA)X1HI0zw*5G0YjeKNj5xAw>wWgGWSVv;u#1BhL66T*F0hhy0UosW)*Z( zU^BJoN7ceaMt3#{E}4?-c^i3oepod%>F{Cl4#OZ2o!Z=KPS0}nJjgd2-TV~5a|e(u zo>1_SNvbvD@AfoJ7D-JSJG{~~SQV0W)=8jhQ#xoc;ZRwob^9_4^NH352<)DT$pot> z9bNTHvdUuJ%H^5=r{dt>Nh(dFbOm)R8w9*f6j5?dIH1craCm21uf=}gvihQku%j2aZ~ z>%H~{GS`zCE6jF4TUcrgIFyFgq}7ub;yJ@idb6kUa$KV|H`w7HEFe(c3V(Ii@rpf_ zo9wd)*u1|%1G%WetAxY_*dLtSX<)mSA77s9=a{&Vs~jE`lB}TI8%fUSB_Abt)KbM` zAIEs3)6r#ilF-(z!CHUPGp{t-PV0n!gG`g|X!r#=vl(`9k^7E+SAn{`(xV{BTQ;ZM z4?Tu|9AW7o+Hb!y^{8|A4(IbXgB6bQ957guu6g|i5;b_`klU<}Ozv-2f2A!Y89V(L z{K!kdx?=uE0wdA~v95C-aDpn>cbJTMNTw*WYwaz(^XKicM}!CW&?4tIR4#HvxuY@i z@wXFTr-6N#jY{y$3wziPE)JgZ_tEx5hD~ytc0MI}D?e6!)i1T>l+w)Waq))UXsQm) zk~cju1vkMCHG&8KAyr2Url`p>bl1aRUH#?%cJCd(@v8>7;ha@3)@%{i3|p{t>{v$C}?ec7b# z=&-3ET9W-X`=`%UbBNEb#BZZp*M{J!c&fqoVzpkg%`NZGtXqBPdj@98X*T@>IjD@{ zKucs21eIlgF_p?d$M*WY`h>j6caNYHkhIE5O34?gFrO#^`tI;ZlKy^Psw=BV1a0N$ zTAL)!b(8oB-CeRcnW&*Yv|>4m0H|60d2Jbm71`1XBCfaIT;rkl2 zZ=ktn8EZCy4j;5Z(_a%b&GM3I+Xzi^i4MTC|AI{Q4J=f0c5V6~@-|1TPczyZ{P+c_ z598aw+>K^q-Wo$gKgQW-aDe$MMdlh>IKlFgW17 z@j{oZLQBAW5P8a5RvJC)B6_Xe!dYhUQ{?Wz$FS&}z#UHxh0YAaEgP?4Y};`yGly*e zz+ZrL*_Qos7@L7=+nZGTyr%X;@R#WOBN{lQl77a^sGkF|yK}7CGxas8uGD=sCxc+| z?*CyvUoz~pYj;CUwCi&|Y`Ejm@6x{@<>PP3doB$=OKkPqWob>Qv^$ou#BHr19p?k( ztxxQ*k4oXFnppe1uLP%4xn1rqyHvB(0?!M*l_NiKwrw$au}KYHsk2bvvcQecUM;hh z>VCT}t0s}UOxQ}I%u*>R?X2z82VWazk!B+!=jR{2yWkzWWD=S}Fs{{;G~kWjYOv<6 z>gdZMJqjwoX}F{tdTYFGkm?CZ8e91Vfllv-YNmG|XMavL9!{Tnk!fk4HV>V)18KDf zlN`ur<$c+PXZ_f&M+Z<36dx*YE-vX%{35$1f@{5Sq9<-H2BalXwIAN=U)%({NW;$3 z$xAfK>WNq#der~Q+&W9&0`>@5BkhS*L1_Ec_N7<|h^CY@W(93^`0nA9KZdpKbDj%! zL$-hxkOFagecXcr8Eu=e7e#VD-h7he*UoJAFU!SJ?vE^7x*9h1!7U4o{3t=bY!)TN zb3UUFedGf{M3BW*dxv}GH=)tw3kV$q0%3<-w9DN6N3q=Q+@yF3rD$>wExcnqj7q8x z4Y1h_n;e?!VW|&|N>3RjWuHjw2#!+T)}I7wUz6!H3=f5wKY>oq;@RSDOUZ-IVp~iv z)3fsu3>F3CuhwJ^&YwN~fXE(JQ^9z!AVT(fu#H)iK*dKSihMaq(cP;0->Av_e>>zq zP_~Oa1p2J8gFb7sp!HQJv>P;(AxCC(>@ffu@5>~{be}>KoW_R1*LCf&ORs=AWdc*o zwjHog_A>#OiUGLEZ;)htq%*etz-4ar3u51ex`2t@Jo*R)vnzD3_{QB~!xziewBtha z!z=ATjUt8!TC3$e6_YXAYT=yTBMH3Rgt+Tx*r4(rWjI*p+PYH;J}sB5C;GJIlTM9d zzgpA!5hiMdU8=N|dGVpMHIGI(M$e3t?PbCQ9EhBCKl`u0B5QczV8@5;PKi|G9?U9# zI&4nmk*)Jqh620k5_6A3NvR`9WAS;BhlWY^y7%c3;Tg;{IAnei*;g6?ct^mdcj}eL z;PlB+a2RT!ld9w++kyE+z2<2^?Q|mxPooT4Cz%RkmVfNCh#^X&WX9*H;M zM3|+Uu=B7@m-vwVg@f6s(j;P7k^E?I&{iY%Q5&Q2N{nf*k*C+@E!~d2Vm@G}euDr~ zJznP3&i3iUqavv(siY?DD-kzWTO!x@{I(91z@fwwEm6pkP!@$YKi;8Zi1ob`qlrZA0eAkVE1S6jwCmbl)r+YmgX> zX>jqoO~;H%T8;2@?ANK@oy=Uh;nyyO94dj zXIQ|EozPWwn;afJ5Y~>h-wuJ9Fdk6Z<{YYbe@BVMI&=hqGNI6ZxQG{`UlaP7*n;B= zB%eJyrg30=p{1#;phW<-J@Ad3#{vjUnG;h}e&wl9)=PO^-`2{(+ zl72nvBx3rj>su-|nfihTjR+Du?8$A1dyf*m>u**3;vThNB+>pply=eUa>dVySL1wy ztfCPioPT!}WhA4JKi63baf>f-WNF z$Bl*%F?x&2ce|QtLH+&G%fZhiI0koQGJme$o>aPSGjM;p?b`UtfjCMj%lU^*I%D50UB^ysnp>e*#9jgc2 zexXF*9}HR_TbX)ycY8$lzxZKbZbN_I&bvdXGC9ucW~sQamvI9@(vp^0#-symV|jpdd$jSQ*@t8L8eQEM)$$YeeB)y3B1|2p|y% z@L~9m>*CLbh2FC>2AD{Qe5(V zAK{v^$(qm-BWhdRm63;QX4nW%ulb}~CKRT-2)0?W%H9pze$9N+q101fn5~vKL?h2{ z--}2kjL31MR>7H;ZX62C%*LdS4xM@WUYVnYna_4zPDYtKK7eIulcs^J6K{ulO+6QJ z$kNgFp1?Eb9EOQRF~Vzzm)4$in-KP>h02-OhrPVFs}WAlN%y^lG^WfjzvuAbbc^V9 z)(VjK0X#|~8!6@|D>-5wv9xFp+H3VJ)nIMxcMrOvsAWJzoR9@^6RpAQ3dnMTWs^t_ z@j}a;G2;X4NW&2P;S9K63^A{*X;F3Ff_-G9i?yi5qtIcVqJqLODRWK8PZ#r-k-d~l zf>?aV^4_HI!#=a;u+qGOEvyPFswO znU}u}MIF<{vMuw|2JM?P^JLV)x2jQ_VwezX+O?xcsEU;9)QX4TN}+SxsmBIsxn8MS za5o9OQ1%Qh>hL&#I6}e56XPY$!3BRoQf#kJtoP5ZPHMQgafHpPT&RpED&wOOhif^D zvXn4cjo7lVv;yrLFn#Xyl};BP+WxfP?&Dd^uo~zk4yTrDP=jqD^+Z}=XrKTmZGPNk zAXWNY-5Pt;Q#;Hui}BujlErmJ_6Ax`rm2c^wo;IQ3~~}ZZMU&F{3#{*-K0eI?t{CH zN#Eh=P113YF}!F^n@7WgY~fqZanHs3%Zj0PoH)qL8*;@`Sug5Qh0<9ClFOT{RjVUt z0Xy?!e4Upbfe>)oJv%&$C}nzT0I!j{D89_2bkz5ftHpzAcBeym7?C+_Mg01##+AuQ zrD*+gM_U_s2&;hp#SXPlRmRqSr+>BdF~27{>Hd;M+?Ac6%7VEB4Wl=o8Q-48l*(3q zDW6LI57X8MAL%H$-2axu6X`?eItM~Rv4#DRQ1HoqNO(v?S3_}UZF8w5C}SCCStdi} zXz?xynvL5zpRwV^y4A(`K+&~f5o(v}sowtr4I5t2b1;UTR}GxjZVQgMboHf=!$itM zTQlK-Ew7p#y^QefsvW_w znf~DXQL{|qorb{61{pQz61Xtco}6j#1F1TFAZSVU#h<4ac9GbS{n)?bW?+fqb|7K? z3bVHx!Uw~JVPzGu#WYgX{O3J{N;zg+cChO?9(Q_@d(D=fDi|B9IB+vnE5kpsz(ce$ z*>dk}n`@~)_g%2B<4?cFd?NYSZSHrXO-Ic z@9;ZhAASRl)W@dFEEX^S=ZOA~*#6&pWug%(d(PO^_CjvnMTc+S_de^f@7SZ{sdsh1 zeS7v?h8;sA+LS%r&t*#TNR5qr3if+j!?DBO^A>y-(_Az=*cUd5548a z&UNt-AB~Ph-2CPQ#1*Ym{Z=)Ozk@LP@SF^OkPm+-KULL<<4dUYBLvJZK+Mf}!~4zh zwF%!1@v}O8S~BK^3PYeBvH0a(eGvCw!&iPR2nj3;M};o5%q^CSE`Vr@**tU0s&-ad z*Q@~NZxX&XK!wiDI*D(08Y!GF^p$wXapD?75}rlaIpxXm_3rn{qsgm-TJq=r@jD!X z25(j4){dB&WjuUdsl!=m{tSj|A`8yuK7Vf4($Ku2g^~b(OU>Y59*861IuIN+)Im&pA zgo9Ci_-RupaiB}c{Q<;MKZ3$k8I}MOpd^65#QuVeY$BU7W-^T3L{@QyZ#ga6bH3g^ zq*9dt%dO<}MD&p960^$BU+A@jjE$|#DpBWGnVsQbM&RC~{V@)ITSsN=yB5pps7`x2 zRML=j7MyclG)Pz{bZ`Rtba(@&38fi~&CvAckPE z)3h1}cbNx_y(pFrFi8aTYw zVYsZ`XR=KNhflR0b7QEAA@|)T+s$x@*F4eJ$?sY5w?;Vrg^%~cwY)9a^b#3wRx3Pu`Hi{r zRUfFFq%}1a4Ca`i-kiMzlt5P2j|G-^WowxWRjGxHXj zL;yyoia@TUR~bpOCWntAO|ti{aSJ|;{js*weq$RR0`ah9rdf|^Kr_}R zx-KJ)ew>)leY-*@S9QWKpkctDl#{vU8&|u4V7^v=^bO|bu&T^W#x$UtsOf|b^eG#H zwSOw{7sRX^HGjcJmI;&V4Zcj%#ZJT$P}2gQo@p3#hDC0__BE*FNe=rQF<93XKS=kI zB2QlMKH!R01B$P=*((K-+M~9|GBw-h7}&)XB1dE^6EvZ{oBP;Fr|;T`sg-J3kG=VZ zaP}AL6r?UUlrvzw{4R3nOIx;KB0+lLslkeJ9%GgJsc{-|8ts5IAMOdFdYscwsmJBR zL*6(QdQt9^5e*ywm9rH6=Rx@ejh7Qgmos0ywLi5e$Wg!5y_T6mGA{J{C5Vp&a@TaRh^!NeM9LUXBYtdF-jx$^j? zLk)PfR;#P`akoc3!~w~Qn2vJwqZWhyDFuWqh?nIQB2Ya30A4ok-TRM*CAneC{d4b< ztU1kpL2?oI8q(oHqoWf`arrSP%Lih1p3j5{txIv%f}UPIh{Lic6mhq?`?rcC)SoK0 zI>hUBa@O`yG@kmE$QJxQO>~}pdn%~Lp(L=t0)d<%MD8>ef|^bPr=nRT>O4}v6Z)3g zHQXER&C+wtb;KH5Y{OH%81Cb+Iogb3*qxK>2B_m^>cTOOTr(4%^Esc(LJyOn2oP-5 zr`R~hiA33bHBjIxC=6C+W2$l|MfS!|_ccRir5%5IU2QNrIfy)`_KGem+jjf;G zPjsA{*Pj?_y?y`wVfGNN@&+*w@y2wMa;==rK!PtxW7Uhb)7#t655rJ_w7Jf`m69g@7Y^mQLJmn%IaRu6`0&{=>O`+eFO5SoA_m-u2FJZjZ)hZu zq5Jd2Ugsc*oLK*}bey*9MKSvGp+ICSdVYBLYi7n0$2Thk{z3!Q&(uE_KT<<_+CxEa zr$Y&2*JIJ&C==Zk0tWmIhKn5mt*&1yJZc$BxF=RnRX{+kMOQAKL!X5UJw5P6DN&A0 zr(&9JY(A61$JfT^%&d9tFQU}P5j>Zrb1&WQQoDN62eN3*re4N9i#TBi!w~&svq+MKoMj$3>IChcyXuh`v zy1Tr+FpJZm+Ktm$-*`l~PGfI-FG7Al`JHlIklta+%lrdBd38!JlH&k24Ly_ zZ(xba2fz|wcLg;FMT_pHEX(K*|0k?9r~On%;PC~sr!ij|bCJ(;+a(RW0y4b)mU`-w z!)K#rqK2lQ8^(sJo$QSq9SD1y)gh~!i%`dv7cG83+K8=nAQij3vG`i+?3?qxfNC~Z z$A7KJSP{RW+nP4Ub1?@-KKvbFRIG5%Ip|W|WEw7UMNmc24fyeY_Wy`?)Gr<$e!W%j zCH|XF^VBY^cR#^(0Y4MXHgAGNpv2WP(D#ceDj0=mI$>Kl%LjtRjO+crm<<`K8kstU z`QCkPMOb{$V8r;qCC{{)$Sv+Hlk6=P77NeUMg;={RKn17X3KtxMEaa z8FG@k*yY(Ix5mu`{Ru!zWr$mr>xWoJU8|)tM`7kM-C<5B?7bqQaU#mjHA^J<&d9=4 z`{U4ki5Gbt^;4{!80$yG7&vrcz9NXbU$hFC_r9kX4?l`JSJ`@Ew+OXXPb?O35x;BiOMk7siR-+E&JaQ?fw~C z|Hmqb&H~o3(NPmnLa~TVP_?5!c~CexC|MKG>v!nn#<$5iAk-hz_g zdI8Qw<$15ADJAI`+3o?GMnyl!d@fvI0_ec%JZ1Yj-Y?+91UlQLI6rkQGT~1@7bw;+fY>LbJ z&X_9Kj+^uH({S@PYfY#Rwdd+X#8Elez30^`5jl9m9ICKlkAU6| z)MYFcv+&77zu5KW6vn??nMW_~%@fYu_RhS*c>~g6i3Tz~17z#m^DBR0eSEhxc8`n$ zG~)s`PKcKp{s>j&1$=Ak`UW%2!qz`0&wQl+oIR`TNMQUYrhlL&pJqFtrel|vx=-`U zliQp&A^MFdb_o2`mfzxcINA3-&4_pF9OZhQUr~%bc^Z?L_Wwh$-ZU=mU3IcS8@L&5 z(kXAa`#=~V%a?=AgZ0y>9T7waPeW<<;G27vkvBXej%hw~dCh_5fPHlc{R!9jdkNmZ zMrv)S{P#2E`bpK1uX047d+o~97L%gtBMuG(tJ^#lxAJbI=s&@FgCL}Ba9{mDsB5F; zGa!h+O~`hVQ9a`P( zrg`E$Dyw%GDBa6gYuoQVWlBO&4q&j?KzFH;MeSAPB2&Nr=>XXj5!}kaec+chkpvcm zz}|)iA?Yup)76SSoPc4K%RFH087j#=WDwW>c{KP4&M{}$pFj35qe<_}0*Xw1JfC(S zuIjcAB&8Auj!(fkkgnM%(L*B|H$SY@TJi0*dZ0VKQ=40VL7qoREdoc*Wmh$ttso4m z*lMjkk_i8(d{NOgU_Mb1BFXqr@ha_Ub$$OC=aNfG1acCiGN{I-OmAT#@=lm#K)|Cyll!|D+2)q~+JMmZ zPmhGLxzDZ}sXV89IU8j>yLUF92XRhtsB+p+hOv!!)v{!pJUh0Eub-i$mcRqF z!7I>o5=|sgKY*pR8)1~CrJX7eF?4}CHCFCn&-5AJPU;_Xu4UA$dpn=l$*{X#N@(s; zYuv3o85w^fd3@D=U0%@vuE_!3<)I(0PpP$%v1RB28~Tph8>Pi6YS{N>}tLMD-K?=6-(79Z?HT(~_&a%v(?>pRoZ=ZLWz z51HU40>m<+aZ#$OD`lpeUD(d$7ZYzP8M?(k!WmjlVpJHCB)}@U%(s>GmrYNiwDgwD5>UgHCo9?BW|Te3N{yx1tSx z_Hp|^THt8B4F9SD#f$a&g@>CITx|ZBAD(HE@?A?)^Dk>yw-V3=l3RS%I54S zO{Yiv`;WOLFMcknD@YZY&rlS$pQve-R2ECdO)7;`ljqjI^=-6`jZ(gTVv*oiwoKe) ztyPEZovpc~!u4==lk!DF6E+IpX@~X3;j5}7luqH#CC(T<_>31Io6&1BQ z=z0!}Imi4A($PP>^-^12I(p!^i9$VZU~Ljpg5Nuv)CZ~pDqp=+QYIR{+33X(p2Zv& zO0be>Y)3FfJ*|8V^nF3PUl-any*PY>EdI17|Ja@XZC>fq5Z+R6DS-?4 z)Q$3AknhQ8l8C_8zU<;ar5X{aN_h45sKqzIZexHf?8B(jVjZL{aIM_LB+b({W33Up z7f{<2)^*$67 z5LZyu@C$M-3D?ry>ts``7@ip(Tpm z?>XzO%)4%4Wbgq&1+YWJ&mbJ8)GEoAR{ZT$Hj^1X!NMbhK4 zl~q;=gxlHD@5}O&1=jlj{EeCc@wq2EPYk_AuM%q8h+t1QY=|U|+{*2Jcw%VOte!E= zAkFd-?U{qe`+a0n!AXA=zejyN2t2AT*i%Kqi1!dM?7S(Mhy#y)K_=&c^5I{MpiS?( zc2uy|{n_`f<%fBNjK&t`8JyGcDho}USpxrBO!0S)A!xRLe0x~UE{!Yx@FC8NnpeJW zp2J(yHhXIAA&7PcS2U7ZM8cnsu4s%aXzab3_J-1Sm$Uvr!Nh(+Xic~l3m5ylFB@e4 zl=|Yh5TCGjjGrd{AR!B!7i49oB-_>PdfzeQLp5!1hcK;P&ISS|KT9OBGS_!?Y^Pe< zPUtZr(7KRjEbln6~a|2{N8MQOf0>TYV=tn1jl7!PFCm<7aVh==HY4=AqH5>Uo!1J;&)Q70Jn&rr?a&zzbZT)$r*aBb7~ z`cX?iP?S^Fv^b-^n>Z^n5TO7WR|55s&kYg?t`IgJ3BsOI)~7Aw-Bfo@)6-DYU3Y4U zM?30}OiHXSvaUySJz;tjNRD!W9j-2olp>OM6VW4d%vKW92cZ~nhD{Ys~Hab$o^KUn-*^Q&VhJL zwg5LA`q?en_N0OBscvIaxe<#XdEc)-G7~>xVXIF?&epKK$MDlzeh(kvRS1hie3j(< zAUn9T_;)V`Ai*Pw>Hr40VvXX0qRzi+b63js!$z zl|(}V1Du2C>b4x1X#|OJV7l&pJE@Zzz}+J=^LxgQWkY|37eKs6U{mcYLX^ZH56Lv( zTPMOO?&{>%UdZ2Ae$6O?bGR(iz;}LFX(NcR9%UQHjyoJP+}No{s-b^4Gcy zB!9_hRf0Vm*|-?c$%xvg4}2`mcHmOKASINpwSfgw30v82eGhJdycr`-gEg__VhlZljqxwwL+igF zZiuVER@SP8GEMFvYAsu^&m;0?sO#QVWPWN#%wE$j8lN=SDB1asAr!RePUHpxr=0W7 zG2tIW{_zp!=jP;uE^u%_JLBFNjfPF#+ycu@{}i7<%A0;Wv-#~StLJ`LE=31pI6HKy zcGC@S_||67i3ps0U;}>IJqVv8N$2j~?b)(wHS$xU=de*%U48Y=7%FbB(j3Mi4>#k- zoJf)Ei4p2U$8Po7bz_*^wY5!qijRl;X$c1hC-~p-Qui35n~|(`)*$V51Wv`FN|N;R z?ABDMU_t)G?io4GSQXS>b2*mW*_f-y<*$76 zUDf8fo~0jB3V-87ehZ!j=Q;w7=C%jkrrsVA-$u?8rV`JQ8>pm45{-$$g3;$P^t~D# zNkVQKwV7;&j%t^!Q2sd^FlRQl6p^h*Gkp`i79Nf80cYq-He5|Z+&9^xH-dZYrAdpM zdt+F^&*-|H5&SQR7JS?~fO_j5MR2ZkfP<_!??&dr4q8(AcF%n&4ohe7)Gj~ClXKbd zxSt?XLa6-LSKYR)q_Eq)_w>4!x9ACllPr<6Io#ny_MkH4P1YBOvVpH<{HpLkU( zUi~+P@;{|r2m&LuQkxVR?IoRt*2B&6)SdKgGG!}CZCK-dU`vzF#<$Iig-hlmMTl{0`Z(m^o+hYUu+wLIPJil=p z8^}k}7v~m9eWRC_?JmJM#o`m`>Sid@zd*)*l{OxAxs0ys{$N47>gyHa>Ke+K!C~D@ z)j+M2!wRb$D$Wc`G(N9f(%pHAJ)UCdo(|Vi=`R-;bl(q&gs(1tFCLW@VJEt!i;4~u zwF+Fd-MC#(&v7+w^NK#T|4V5q6_xmt;3fMwTbrtfp0e-#$M^jEY+!P?uON8-n2+0! zFL3lyj_yy!8y^4Lm89cqrpVW_=a*zzqqt5KjK{;;>;x@nsMS>X%!)~$&Bbg(HU(!F zWh~L7&d4P&KOftZG8zyNQtUdimnp^8hdHI?@Kk8^?&4n~PW@Q&g8}ch6l3e0>uVw( z+deRLrT>IypytK=FPP+ilnM3^C~UP6Y5xUr#>P&u6yb!A5&i1=joc2_byPl-QYtT+ z>seHE?VV~9JGn_VwSN%s89Ad)X(X9IzOl$b7$H*n&H5U%rr?Ji4-Z>1B~p?$WTpJP z1vjXX^ouGDL7W!y!oZ0>FPJLmP;9M zDGG_2sQB3J!Jld7e?RyHNaMd^@PBLG`+&wT2>b-#EK#2!UUqmX0K@Lj_`;fY*;MUre&6Ou$PFr8d_Ruy`H!*F@Qr~2PkREaYQXy-K`8pfYDBY1 zlhre2QX>VncQX+lroc~Yj^nGdk%nQs;C+D>`!RVe~FK4PTI{iZ9M*P!x=vO;D?823P1tn-=0Jddae^X;(dgmiw-UqwaFO1vKp??aZK{EDzr6~ zu&_ukvy_lPFA5ydmn7{)pK=!!zIIU&%4E@TkFblxht;jn{umyk#wL3uSA21cf1LAL z<(>quTl*Dd%^QF+MzWAWh!zn$DN3S7?so3y)KTjqOX#g_G>Oi`3v7*l68*o$L#e8- z5L*k^*O_UyyewVOR7DvpYPl6yE026+Suly-hdu8VoYJG7=${E4zg?+z#D7<}8nHOI z{nS;42z7|37XejIaK$z_c37SBICAY&Vfzh)s@nEicO9tHQ=*?vP~$eiFGA_469dmZfg*7n{wDMx7Jga*LN2b-*kORF)IDy;#<2 zK3_b(Ba^3gFljH7=MgP+*Afu|apz#B(UZAb^F+Z^R&dFx;uS4#Y4g`x(08hH+*w8- zx^P1|gK@y?#B1A|a#b^%)m-!iFl;DO?aD zuHJdKJbkaLcrj!iL@=EGK4Oc7iD`xlMxFyZJI64z*tlC3{rd-KTcF1hS&iBW*BSuh)aE$zD z*gN}u)pK!q3F>#%^N;IS*&}!3ASCpW;zh$3ATQ4h2>{}I?sCD;DmOuUra>ohb1)_^h4hl7Drhj`y z%AJ4FZn#D}|HP_;Zq)QpI=jk=6~(I5fwZWo@aF&VCcJZ53H8dGT~9YnJB!mOT%F_e zV@pq=-Ffx;UBu$l(bC9j?qM_=vuW}i`i?%+S;3Uom=zoG>@4$v2Er-6OEp5`ycc@Z zRjf%_dSmVeUMvgo;F%dCu0=VQ`U+l|9ZIm*kvVE^#A;Gsy(x1QCmLebz9lV%x+tDk zL=wFG3!;CX3Qs~IvOK(8Pp3Wa#X45L#~k}o67mQ1W<;AC1A3bj6ioZ%VhF+0_zUrRlk z+vCG&VH10MbuOBsF-<3Z&};6z!)tFN=J}l`losmYmxKq~M79T%g(-M8D#ew}=oARcD@Gn>_l5Rb5$qxviHr$1^qw+yyAhu!i7iGhZqfkgeXfEY3Uymgb+ znA}!@1^}MCv1wYyoPT9W|7TnxyM3MgX%K7+Cax4dprp9G_i-AOs+1}72wy`=Mhf@U zUd>Yx3I-}RP{KDGDKRrbm#aFzkS2UPAk$uPdYanNo*%L!ivR}E(hy>WRHJ+gOH^* zW|w+%0)28y5XbkbZrE0JU7xfDQjCxn972Q%tfThovCx4%J^%Px!bDe(IHDx~9 zXS?sIuTWJP<8}kps+(DZv8Mfh7xv3XYffSZ{QPHLhd$$)mEMiw`_p-`~iCC@J_# z$XL@Z4*ze;n`cd-R3+i7)JnkLb=E_?CidBG9`IQN(Y-kUcKWdg0D~B5gWBpwQP}$C zd;eu!XtsGn{E=KjhyT|e5$EKky%ytON5;=#otxY}6U;P(ubAZVd82~%n6#?Su@Om? zVDxrNdC55kNI#VOXH$pu#e?eNVZ*u3zC|Ak>?cdeO6~`LZ*)_#V50}So zfjbd$Qdi<(_g1ggyGNmcQBA`!<1vOB7ux6`bS6NHoXcoUm911q?LZA6$sx;i<+_N+9pL9^x`=-5_iK&xV zdMR zZafXO_;Gz#+uL1YD>R{JxE<-b#5(mf2Ck-Gw|MF)GCi@ z3aDHyahQW_3b*cMXT23D4xo8=AztDbcsmh7Y$-s@a=co*Us2x7Kgv z46cg|yDukG4|%qIiq$=Zd`et=-Nf`RjyvdbMBTt3f>D|w{viIFky_4{px^AcYPs*& zd$=OI*K?0fs2!m8H3+n|Cy8o5N<(9X9)I7>63*icJ90uNtk!S!sM=4=n^M4n@qXO9 zU=TlU9xI&9od0-Yyy~1^C}>&pqO_=C;;gMehMjP9TvB?WpM!n+yP}04lHaY;=so$K z?74=|GMUij-e@R=e>`2@=3X~*RlEWIl?8z!Uvki9~N)$#wJ5ZKk2AW(?+Wm>BJcQ zk2-zXakHx?PoF~|Og=PKw4IvJa!(rk(f&`l#Jqd2zu3Jg&p17L|Gk9p1t}{C?C~~N zMZb^4T2QwdYt7oRe4YUDG8HKN;{CIm7b-6&z|yf+fjlVx9>E-exZrO-+h;Wi#7MKe z|2!e~3hXs{U5zXQ=yku{xTSdrCR`Szikpo4fP%^I7vxq7V0ZEmWRz5w#4YlQxhW6b;oAW>iJY(WVrs(PL=ev+*H=G(R4Tt;q_wr) zA_u{+v_HoXqWFOCnRI7WV@SenJ%|mEEZ{Pt|)w+;kk~DmKB)%KxQr9qW z<{0xP}O&q8*Q!gD|H2T~# zGZy#|HT*~hvL(%c3YNak_sUbtB~krZUW;vxefS~fJf&>8ZMvLz;))zxf!dB-zq^Lo z*F6RWRk;6-BKU!c!vSF8Fswm2IMB2WF1QWWy{X-SB;R3WF|V$zEtWZs^Zy3TWoX9R zHz1E6qkeVx=&B;;&MM6hIH5P+f@UKWo;J@lx37)m+N&}Dk)e@Vg(ai931Rm#Rz`u+6JCVL6XhY?Ccj7;?0#h7%mAh_RZaaHGdSyny&o5Ryc`6np*hqjoKsT>_2T9y0W1zd9Y-Z- znOJp$I++o&Kp!@*+>)cLkgFmjQBwNQUbA-z!09jbEA=tHQ47X7yG1PB(+w9<*Y1%A z=9%>$Z2a*UH2;g=#IucX#Po^B50@V3*ukUQuFxmn9KyFG5g`_XwYj2~!eX&eUQDM> zsW>Zt)*YYuCYWFM7fAn42tNqYTprN;@#T6ES4Ha2!qNeEvGI_rGnu0*OsL|aM)Fxc zDxKL~an7mr8}kS^&LlYRZ_qS)sth1w`V~15wPQ08K<`g1X-o z5c1`iyNRIFjK>umgv&DoymY2f0;K5nMZqP05u9X@YrhU(>Gwve)53jT0ymEMBL@GC zglV2g7h{=6ANwPQ`0x1YKTr|)!46GB=FYZby%E`xZlv-Y7)=z!9(c;f<%!QhwUJ~FE1Q$HpSZEBpg3ot0ItSBgZp@ZU2 zS7NxtMBgX@Od?=3o|n31;Y}`LulvSYcU05Xq(lz*eS$zJj;0lm`>wS$MyL=^S(0oL z522<#SFoz;O75n#uT*^B97%t912-n6Q&8TRp>8MMa}GTdP2`kh%y6 zhepcdPAK0LHh_c-+uXA=Rr2`2Hq=tjTLzKmK6p(2;0tm_EFM!;0j;5)E9k$cg)J>E zctYTL&2s&I@F&Lj%6ytd9Ze`~3jNw08YaS3R$=$ncs}xeaiPEw%>61~Cdr1IZH}eT zDh}G4140GJ6?LUaW622D@F0O`ZTfar9t+?iI&0CbEkCj43vN%0v{b?dIvSK|LwH87{oxmW--$MRxm0RY6X(1AVhUVXbD2 zyJ1JXNPocP|D*1`$A$X_GO>Z5Aa?k>a|@Ua+dUz#0n8Mql^ zkm{LcK2=|J)4$*H&`yM5)2`I1*E_kk7P49uM>ks#Gn;mCU zmiApAkatMTMq^Uw{@dJUHzriUM>#?7ok55Fd>|;~guO2>YkrXp3PXtHJWgeXN%Ldf1d&wWhi0-#jI;vx-zTzqmk?-TO^@Y2Gv z$}eAvN{g=Yma{y)6F26^fxYRHnZQa>NuogRDi#(lFZYhV>6DDSBj_I-$3(;#fi^V% zma_rEKusS|m^D82F*xR2dE--%26+QMWX)36i1yFhe1V`T8QcKjT3N{@YsI$VXO@h+ zT1VnIJ~YR9X;6Eia9{*b_^RiG^)wECsm4l3*z;H$Fag+uIRiA5YZBN3Uf>>{aO3vO z2;DLCuv=1j!Q#B};{yOghsxj&=)P)OmsrGwh6v6^*QTQ?y@U^qTX?ZDddxZ0LtABS z2hO|W76o^?InpfvIS4m(dYm&<=}okL@5<)h+Z>U+`@t2sa}FDV6$$cPqJ8o`f~VLr zlR-=!Y@jMK+)r6)a{m<@qbE*{S%o4dDd{S2op5Fih3=uryRz2DnvHdctG2I!I7Z6u zr(HGzB}10lxSv{(jq|qIANXM*7l^jwI6u@8(iC}E!~T4l>r3dF#eMp)4`JWv(SCZq214T!?cjV zOB#tES794f@0v6(dMR?=@muXwzQ9yp#h?0w=#}JMCA8zVZo+~D^0x1z*QG(C)fx&_ zXFR-|P$A4zQ=9P7d#H4my9EH45-MBXs$wgpcfBwoNL2^-%t`;K5nYLAG$83z&OjbfNmPi`_El zxO#47$J#4R$2~GmZB4#bb5CNlRSF!lD9pLlkXW|&KEo563i8;BPODC_mi4eLS{3Zt z>!&g+@;F^LV$oN>Ty2~VuTuo~;WFymZJxfDt$A_#@Kv#;%b*U{H|o2xbAq$ZF*7M0 zmUP2Ahj81U=}=-p$l3NQT@ua}u+!kw?1%E*3S({}r79Ym(oC);_5w7CE@iBfjfhE{4w9!D36|$#WApuc7C|h@R6bpel}DZ#FHku524o-? zpbSl-6eD&|6q@n3s!nrHqofwH6(Gb4kh^U1uFQOd&T<<1#loP__H9p>x42qxQq7i4 zw_u4ukFuJJ!x05Bmau)fY`yPW59&xXuck7)FtIIqh3 z9&}JNH}qdyHK1I`3G?qscWz|}EpxpJR#7#upJB1Rj7qtYLCXKSgmh_y`NZ_#laoma zPqx{hxBrUV@A)rTDj@ImW%|2Q;ws2y2*x(dQ!eCUSDPqEF52Cv4{w*5nk1Icb;>yI zcxZzs<9+{pE`d>uEq*yfNkxh6r|0$ zxJIsY;3VXe*OUhd#r3@zGmPmZ8ix|4u?nr(<{3K;-#N5c3vI0j_;9-;CxB|$ar1$i zBjg(At-XD2xBEw`-I%_gJ9h4s7dIbn+EmYQxDE(OBUcl}C(cAl&D99)5L11kYjLk8 zc}>4&ED)gHDI3TnjQn5nZy*%^1KP3vJ)NlmYq{vF*+-Q98GBLy=>+S0{Wo`nqV04v zRJ3hpoLU-c~cuc_OFW18~MgYsSFPnl-$|uTFJ>I_H*mt!hv7MR0Y$Ag;3~IrKX8s>n^v+}v;JrA_}V7OPi|AYsFc;O8; z@(h&$XtmNRm~UeNmnJN^+f;%m3r8o6vPur?d)sWXLEB-iK*>|FI&P3d|1dUH1mIei zq(wx+(`NLjlMLp2Z+VPTza-C~bE9EQT07>6GX%Yoqzb3?`~P9s```5e{s&O}Z)~IA z+FF0)cYkQq?H{bhzbuP?ykLLhElmHq?k7Nd3;}GGB<4pqfyPgsjO|N?xL{gUJ9jrw z*#LD=88+1#+1W`!$a+2pXZ(I0zR&IhqXIk3C|tra6Q&Mi0;Ke>KprVGZN@mje+MS) zkqVyV|L{u$ni=KV9L=-(WJQLZDYsqo9h8Cheg>4QVrq_`H_}e1RJ;295ohwi=t>XS zsGn36IC6@7CMw>4x^d@CXlJPLd{sjaAh0fAExHZYtuxr-6hZFjVI5&H`ghc>-TIL& zRdE(>uud!wts{b0L)di?#^KmA@JW;;N>+-JO^3hWqKT;YGJvp?s0viKsC81Z!7lb0 zOY)~n{mq?bl{M>P^cz5)cIKB)@_VK6UDR11 zxak=JP#+I4=EbZJ2{^EXNl#qlT7cSBM!jfVKg($__4|thj3-R?)79*0Vn8Q6=p!J# zC&vhUJYJ8vv>}&V2E!#)Vm5wRr%=gSkFQoRNJUTL-Wnd!G)f#Pd0p_M<8+W$$furr zk2_K-yR}v=TOFI%Z>}}hO}UhUV=hA3^VYrA|R*P9S- zncj(N+Hsgpy=gX${bJg&tq2{03;%wa@~2mS zT^_&ZO{d*Jm`z{%31mpnHFnu3khOewA*uM(FZbiO&&M2q7bDQH0L6|YXm9Sx(FX_{ zddS04A?@v3y+}_;W^B(XN?&RY!yDXBCVwOz z*d+EEgkZ#gozZ~ifteR9tVi8F1t_-JBJUjaM-a$6j8Zf*3r z1nyOt)#lxoj4O|+uwo$DKI(Dw>Np!Txc~Pb^MAst2k9%;jKCs@jjCoi9_#ZZi@c1nha`+ZTL(L9Hr<1laO3MlhxIp z&e>ZNOtF}=S9kV;s9|>I{+w_z@z>QFM0E{)8$TUzFti~lokwI1|5m2W;GVrnc~5Gi zO#nLx``km624W`R;SdQmj%QrE z!lT=SYgSel1IpDtUb}vExVD5^lBsvC10k%v9Tu3FE}yI9SqyupwfzmIwQeTXIHLfr zzm#$`=6c&b!$jSJ-NVTaw&5)&UuR_9z9Il?uuj-ZVWq{dCSaM{{JTGA-KOu z(71AL=)gNtbvMOT>Drj?abWZ^K)M9f{?6@~*}@ny*}vKoXKpXSN-#KV^)DXT27t?@D9AH;D{{*^dq`%?peY`DUTLhvuA;@Ng_}WxdSzhpSnIrfIx;Cy20wte?j0l+4LTxn=Jn+Ab(#% zr78cxmr?LW?|miWq|e7@vd(&!M%>!sX1ldU7{xDmNPd;zSRSO6WoNz3Mjl9baeXgG zqvbkyxp)5l6B1^``_w>eFwB z7sQA^S2K9?_3x*r1NT)PBDmUD=4E24#xuLh0N1Q7>~!v&S8jprzy;X>CbEDDddDp`_k&6^YO@ie1W8rayTq~W*Lu0=c&Akha=9G<_^qwoxX2J{%<2W+YWyB?9Z6m@J%B!8~~wIia;g!Dc3InL*+L zp|g*F9HX_UmviN(2>rlm4IDngHk4Z@$lighG(d#~OD1bfyn?k&RG|3oOH7preE$a5 zoGD(x&v?d-E)Nlg=r?1aLM8JUy~hwlhl{gm_*&gVr$u4-7yAwgC;e3YRlc`8PPeoQ ziHtpibPg?wLY7yeQF+&}k8%35fW+0b2b+5nDTh4OJW3Q$;cIZE2@jKZ!HMet`;pz- zSIq_TpDYvx4wz&|6r<$~i*n`Y)&K3Qhb1R+#tJB2-la7>Nwhem_wmj!c$_D2l+Z+`oX5LFi=3iL`v zpEHTRTz4m1$s1M2f2?Gd^`W~ zqs{s22c+*LRUD7|^|LrQe3kA2pgnY(eg-SHkqc0Ezysvu0R&dw#1h1uR|MM=br&nxztX?T5%9%+A@7NhlUD#23nT=2dA z%|{}_Jud6ZfL4f@+Fgh`h3hJ#iWHxk|M7c(dZN6FTd~;&H{`i<O*JFea`zS0LiH`*MId6Cb?D&AS^JI+oPSyIK;dG=#qS5)PHeF5&VPfihL1)9 zkhtNGtqVIIDCYR+QuJT9?mt)7x%;;k3V#5pV_N35tdZo<_-L%9IMi}344Tj5&;*KO zLU~T&IR?>Awd|JWUEC;^HwO-DhTo{ivaOLy$|7y#wahYexa+yprPMrLivIef{qF#M zJH&~@rSvZoiy23^jg1rYYeL_m(#TH|KSHDMd$LP2Wxea7fs<9!`OBH@O&Y?GqVQoF zFVm!#Mpfpau_w~^S}vlBQANj;3&M8Vj}o^^gLkjDteDO|O)5hioMebpj=rS&FxIcZ z*8~&iO<~B0P=??J{Q5YUQ6ou)H=V3x(`LwYHqcd0(?TE5)}mqUlgqlQRgcsmB;niG z+cqb?CxA)a`BLoHOdMSaOTDe|7|PtIvS*}c5x&pjzMNLdNWLh)E8htk%n?v_oZYOj zAQ6P!-OUSg5IdHV_3fL|G^I>_u37`$SHkCxLt%<<=4!~d)x2QYJXgi`9(}Fuv0SA! zY_(%|G4*jz=C-z1J7a$V(w+QAP}$!}mHt3B^*?`$w)0&fbBD-%XZMhCZj5ATLU%-2f_>2rfJe|V(n-#RP7 z+*B$5SV@`=y=gF`VdN$dd%Y7dHIbV(gtIuWJ50oWnYa{HRLeZ~O%?L~@y3Idabtyq zLWJA@ayg%~%7Sg21=@W?hr4|Yz6zdOl&}pI%)o@?4o{&el?J3mS7oLxuzaEA290e= z6%nugr?I#^c2c0U4gkJ>0(IApd7oxwJagFi6Yw=OJ_rC`X#@9u2EHVm8K91zK*izCnjvz%N~h6yHLu&QlVv>!NljW4fvWLgdH*^1Ya? z6XIAoED5iO=QvKg3&}ADhEP-IJVvY4=p!W!A1-jvc^ER&$k!*0zXv(>iSfXN0?V?t zFYLtiAHaUimB0d|HjUFsr3mz9#qFL&0Kh2NEj;=0lWGm|@$r)!yR(U3%frhYpoT4F z>Mz5zhH|ua9&31?4fV~G4Z`;?i0hnsch_eDnaojZ?k%&)mRH7Va4`GQeuceAgFUFF zuyvZ5X0NsWO3W@{yzWP~_fInhD6|%6M2RiJHz(l?pU27M(<->ggiH3{uUR zT5`=XuJG&o0~G=K3ZB_%OSTJX*N8!*!vxv7g{T+~t?7*;rS0OTlSq(B34@ z8$-D6uVJk6%;+xJ_SC$eKud)eO_x@C=CYucPw&kW+8IpMx+ZCWf!5dK;N_1MDJD*w zl4%bI25 zUDImUWBL1{Say{Y>g0L0p#=Xi_BrP(-FwkH6L4bkC7Bv)*@~S1Gw3;7z|ZvB z9SYARFP4UZY$Mjesix}cO!#~ux3sH=!Dz65?NCQZ*Z109$Ti!<#< zv5jp%I>l3?R31DON||z*D7tP7UW&W?>r?vC0%Va$A6-N>kdzpzWq3M9lHrdsfRBas zUY$_S)J0t!{P|eEWSv~>r!n(1?0V`jP8HN7v(E8K#$9IEV_h#osQq=M9!*?cmjYsO zr|fuUiVTpv*n6{#^R!lp8&WnymB;2x2N--_n)R8t z!ib^^CHJD{i4eteYuB0>HNc$L2|QoVlay{3XciBl&$zi$u9TH0w|QaXfzZs_JI6%;oH?|v;=&~fOFx3I|PwIpn|X?ji>)##*D>swvp*S}7SSi6l(X@2Jj zi+=>rcbUa0q4!ZaZx<_Z!nNOh1)bwNO}$+T?1d!bspV* z1Q1}gP2}5Opk8dasdsK5+Y@|#zE)%a21WG#o?DYrI}q69rV+Y!p?$7|*5){Q=CJ`F zhR@!y_0BJP4FC}kJ?k+m49+>z!342g=d8EVo9ha5=E|oSmJDXpBLU^!hqy1_pt}cI z?(P_`c5kXo1a2c5{Q^N_Fv-f%eb?X>XyBaWccMeTE;iN!Y$dwnb0kfKHqeKWK3REc z-u)-gM*u@Rt>T=>YrD1Jq5loucZCd?gP-GIwCS+ZqfmsY>t_wx=WE7B*M*2I2}sIWl>|~xpY^ndKsh=f^(X8m%c@a6)KC!-D@vNIvXoL?%8jSDaBnn&cI~;o~lETjR()e}k5l zfCZ>89O1VTzXw-sS`@?ryM*ukp?gvjZhkHSm@N3w0r!_lt@X#eW2@R+0vG1^xi5Wc zJT-MxmHpSD>96bF9b1PDVjnZtmbw|L6!O@4boblsVUFwf6(jn+MLxk57qCZY53*Xj z?on{MN}-x+O4ky%o5#H0FoAKQ805!By!C|2ZI!gs+TD2!aSc{7cr&fW^vDQ0ZG0;- zYk|dR&B7%z^2!U*rFT_hmUigP_Xq8{XqKdQ|IGmB*jKCek`I~Nj17CT&4YdJzIF0b ze1xY6P2gVA+#O_cW4F>JPa`hAFH_A%o;tyzvDZ9wXBL=NEBGNU_}7o{Ti=0wCsw)V z1rBu9r)IUB3W-zab2vX6_DP4+p(_x*|fxb&QyOfK`X8D$u z?jxt=;vzY*(m;(cHLx0d;sFb?NK*@m>d1D7)T&M~L`2BBG@HEOo1zlNlDa7Oj^Fx- zfA_op4|uu3wjW{2sSCSUlD&vMj0y%|8ma(5F5sdC58~C1e+1A1 zYJdG-|1E)v{dWjdtgK|?dyG~Rq5mOZcqbR#pvw(l{O^AVp^*aDF6LDjyTUccjRe}6 z+G%ZsFNh98(!ArMQEx)*VYea8Bd=i#+xAuF4DbfMu-w4)(|1+h)6e%>CFlA2Krwrr z9hDO<;@!KF%FZ(ATvLEPfhy%wl8-XSM9m7hHF@{bbvPh^V>AK%il^Q6NW@#P^|Qgp zO~aPq`}70^dLVs^kZ=xiF2{tq00Otkcko<-F|J;weKBS}lQ3XLcT?u8G$j#Up-gE3 zO}Zwm#Dp}G5lLbt#~g7U1K2HVW*Pj2`g=Y+GI?LL*hYzI=X^Op2H`q{9McL zNytjCNwJnQdR|TMEq`=HDtPieHKJkCRB~jv4eO;cU1JyH&Z`bfiHlixSff1jYG=LG zm18+YKvIt&J4O#n!S)b$y4 zWK=xH_=&aXU5?#DYsGUKG-zmC>7#cWC1t4Z9Ex7urXH}jNtHgd`~T5rAX`jA;^Cas zVe4(?izc<5#YNYpHu-I)wRWNLCk+z5JxOiLPCdXMn2@{^xN+gqh=__yKI|RIwKCxh zsUjhXnCcos==cC-ZX9=|Tvx-uL z@db)f?eITndIW--A4>6huca?4e;+DqRc*Z8EE@rEV8bXKtlutN zmg%i^-6EIg->5!%#Qd`g2)rE{yEkf3KkhhR3+Mh&udhB{f4C3UyOS}^5v<2ay1x%Wc7hd}SZ z5B=cZSu?-93cxJ9<=6JQRtos;JUoB?sV8<%Vh>|a*@e$DnZXNRuFX~+p7JT8483(a}#f1`3Bb$8~^fsduxo^}tx{UQj%Uo~ocN`R`Vbx4DuVfGc z76`1;D(fRQ;b|@0yUM^}fnIA^tD* zgz08AeB&tUGTD-Gy&t~V2Hz0DvobNr+ws72eDM@;R`?r63zN|?09AJYo;rZ|< zd49I@z4{^@u=ADNiN&T(g{HQ#v)&a!OiTn))w<#$eg4~I3|9WS5 z(iuKn4BX<=bel>_sr@WkR0Fpur%RY?0Yt(LHjumLDlNm_eH)-dcHP!3p8?&^t;zAo z_c02$#ykDRwpnF14^5Zz&ODbXRq)#yN{6+ULx?hSV=lJ?$QYwxg^tWJIjYq3?knNK zQb6nX`#Bw5Vu!zc`Nj`j?U(e2HeDwoU^b! z-x6~mF~rQ;lTTaIE7tUtu7T6PmnHPqp!i$gp$YZagFDCz=)hr^hQpyg*6YmE_O%DS znfuBvv{fXZSJU{b#c;i35P3u#}jsC2im|8meQ ztGE~*aOxIoU*%zn!}utRkzoJbl;XGa>7@l;16Tt^{ZqqaU)dliEn{BMHQ%Z)CO)KM z`in~_x(0_=;iy1c;^3A`D+H4DR9sQKbRt!vpAn1|=wVvG9+GAsJ%~~6at=`gOP*4< z)-{yvT9cX-@(<~sC~N+W)Ak*7=joTt!ITcxD)Vq)Hp&Z2(v-oC3%t5>pODbDf&q$j zCRG7nHIRNqw=`Wt-+sw9H`049;mlLmJI-BWC1Y+(td^DS#5dO~zFhFjs>eJ3(NNZ3 zqdZ&Q~3z+4PYzKENkYVqf*chem{sbD}Evoqm1U{-aW*H3N zdSnuI7~X0S>BSFuwr*LXYZU(1vl?76hTq}aNTjYn6-R5Llf@y&U@!5p%4&cVyzGzD zD*`+Bs5~1#8Ac2o;3+`nIsE!+{wSU6KDyoHC(tv`uf*ug{y2OjEB@^eGsO|h78pM5 zAJ*&lVVNvFkCoCQ!)z9;JL}gq!|GGx8})U2B07*h-i?ErOH`*Cqf1r-{CVBTlOJ%~ z&#=c63>oHqIbM5(S~$Nzf*;x__NM96KaP%k4NL~g9+(^DO*P}xLW)N{T=X?k40XtU zLaR6NvqfOPID8y8g>$7`iN%~~*d;nbI@LFF-6roa*==|RJI;$ksxp9E7~ z@IQ!5^X)qpK*AUfE9>)S6;G{T3Z3lF#1Hj#pC5SO8q$!=?5~TA>5a#I34W85Qe@eM zV(rBiVIXNH`F4?JYpJvGO>5!aC9LG!7Zp^;89&QO^a82@d^^!vhVmS2`8TcqUq6sP zA?60ZwrT+Ns!v?;gouk!>!^X>@u?s3m7AV}OMl79eqIV*GN}O1SFnXq0(hiB8)4^w zpT4uw-P0J)Hpj-`Be3;K0_oea!0phVE6QKK{6V_xZ7FfFN2f%2gcCsTdqajiWB5hQV1#rzH9GPb_l zyZnhI`5hH^NRpzRwoV(EgR|13KKZyef%5(4>4`i+3p$4Bqedfq(*xl(Kfa<(Z zgWs}Thk43J<~JkqU(R6W)!Z#dpL>ao#a4Tb(O#Q9qD>k5GtrUc4&2kH>RmCeZdV>JG_)Aa;M~TS?Pj&hxMNtW9#lQ(xv(X) zuVQ<=A(*RBR#F#N)=!?GoYiUOyPjWT5w2 zJ^ei^QjW}QR8HFV+4M51jAUN2s{ zd)<3U_G+^}O}!O+>~Umt9h}ebTVCCS@$9MDC#y3Vw3C89Dp}+B25!JR@^H|E{CHu{ z8KwJWxarVGODT}DhGMhjdaoFtOUnA0S|2D{$X~bN zxW1it7>(B`B=iWD6eO&OJ_=gu%$9v0cY;f@0sGH_t1Z6y^H5 z=Hvt0NyoZq;qV17PlMHJj#n@t@{13d1B=B0u0^DjFnD;_C*jxX%^)Gb^U^YXHU?@X zoZx;;)a>@EP@H%=5T(BQD6`fR3Z%cz7)$Q|-Y_lpeQWnklfAP}&2at(ct#G(Nptdq zYDfm)dp>_V?Qn#)$47LJF(e44)II8q68;h~ICc&=eTaNwJMrgh8x*uKYV$qf2w#_h~Hxi&)y4@L@(;UDUYGom2GLMo(AN%dEf!kOq$;( zOha;}<4yQtIv>ahNg-PMK&p}KD zdGV+Lnn7Rc72$pb{^^g`mstfx_1>MeSA!7WubL`Ta9ciPoebrG5uTdr^y#)2w3-@nwGtEF7ecNgK9}tW{z^w4g z#N!)@kZR#u^?e?3&`KM1+tKq5rN)$KVb{GL&h1XB0wQF>1Xln?D0@Ci5xfTIs(p^S zzNR}6I&pE`-?zcu=;hdI?Xvy7L;(JLmUSo4Sm~s$(`?f*^=Y4{j&}|Qdp`0}fb(J8 z`!;QwY%wyYyPc=y@A~0jx(gb+{{2p?gC()pfCSmXIBiJ4rtE#E0Lx2~^tAy%HpEMx zG-_HwhSw~M81`tMYb- zi!WZDkk-~|kaySLqvPLm^tt^99#{d>hBq#&e{oQ|GVSBgxvl5}l?q%tkSiQ}T?O%g zv>)R%WpvUCqmgmdB3;ei2HX=?$2DMPo5}5SKwLu}JTq92_ryOYDt`PR8nrTA+&q3| zYLcIoITd6>F>a_7B$*>G&bejTMW4$NwbETf%I{W9X5<2nmx;9;)Pq27>GKpwOQo4M zSid5`3$P0$X^+s%#_a$HVGhAlwF7jGWe2Yx8?zAnXyJ5pGsNd6lj?CG13sbb z^08S!^5}>bqpj&U(5v(EM~#xwCJ!})$VeqU-g3B_zCSpuecu&LE*=)0r=FYDK!3|) z`M2u7zx)muPq42S((k$c-0b|5Wr$Q>f^hUlSWx$3;$>ZoFzH?ICVn|Kecj^W08S|2 z>;il>fnb8npq+y!?!*!Jua3;&&n^5h1`A%2G79*0jQ}(=Q|zvgK|gjPNgQ*K90F&+ zICj%^0=s@w?W4FHXzmIn0FcAII!j}U>GD1>xl0l>t1Me*Fr!1d!#P^xpi zvhEglCfN_)#lI8fpL)UPTB9S(RC*_kgvT%#Xny^mxIaj=7u^}oY`rXEW zwyBM8DtrZBbG5JX;N$Ygib}<)t!8UTAg_b=+4O$Z+z8v5J=(S99*IzbS4aY*C=oUP zWEK3!b(AJyXBP?mjAJp}qg-4KOY;ky^z|JFz>5zYY3KM`$ZjvFRbJ%eJnt024>%x4DOJPV!tt>g)dV`s7 zw>O5$nVsz|aoa9sX!=W!=tot6yr@n7ME(%`6Q~_{s7Z@WHg$CXeFj+F96c0!hT5A- zELBhC%R;G_kLhLvoy`T_-S%8cS*d0qkQKk!=SBuxfxRf zG~DLKQLCiTr6KgWuLzo_N%?)t_wx2L^hhoBO!cA3! zKY`98J_$p7-izN?-kvZ)H7QBIvkGbY2~^DnEdd;*evM81n+Jpc%eBaJ1PTC9 z_Ol=jMrR&QF+L_7tS+VAgYR*Hyjn#GjW2tw*BJHiM!wsbly_DU*6T$8BRR~d1fI+{ zaXc;hj^E~}_E-9r%CDwe&M<5$6H^!WNOsekQ?o|Kik1|;>x%FF8hG^|Yy~_YJ=s2i zgL!_->gjoVU#!a=Gh8bUFdVlC;ne_htzHCdbXFzK*GX5LZ9(AZ_p%S{p@XmBrd3bO z{m{l1kHQ1mr7?iI^+D>ARw#R)SKHzWYEI_7@PEQG^IBH0@bX*G45Yk|WT>WxRYT-abDhM;2F<%@5 zOLItjd_yK-oOO##0y^?}>6ak^GL1AX3XfT;RkY&l^AQVU z{Y&i@&{YA$sl1D`zTXZzO0_>^8f&gIycEvO=cgFBt2K^)HAP1HNLT2%jXIlMG!dA& zrUc-+`)F3oDTL3(JsXR$>?O1oKnIRTPktzSztEb@bT^}Ygzbi~i!DMt1-B74&sYXw z@=aAS3KY35`QSWM;oNsA|9;@G{;_2p%E zW_SC2c{6nk#8X|3*8n*23}tMSKENx?Jpwc`_LP|p;2GBsIWa2CfL%JrJVzyS42sn0 z8P1=8oh*#7u58^ll!qC`iPPE50s1LG$D={UJqg^Dgm$%jC0^Io@W{ph)^iPWa4FxB z%8ZW6BWTHMpR`;%b5>{A1I|m{(fsE16m43?2C62fRS^!`@S5b!$tK_InKZC^b`z6f z%m)>d=a~-+wj^loIHJ!Km4qLdw3-PBRJ>4az`7TL>0s>`p&O<2M3wq)?&G1()q138p3AbtvVX89sEac0SuV5nf5Y!^N@GzW8RP+ zEvRrdrsV3u=WG`v{4VLZe-mZK6DYc4wpWDsDo^I)gqU)wpW!eW;8{7KMUd`adjjbp zkjvut4JR$<^X};7y~`E=_O%}ZB=dox6X+b<)RF`>;4;fY8=l{~q(Kv9o*)QN>R0O- zVkNyIXKbr44%Q5c`i^-a^j2(=D5j*O*@keH&8c?l*~+;m8NU5z(U%bz;2i!L)F}! zSaRwL^c1f;6xGV9C|wl|avt*B zQl9MEgbdWwL>Sw4yLf5#InbPz-XVHb^{5@Jsel-v%{a#aYw9tR*f6W?gLSg2=cWVd zbrj4-O0a_*42?uOL5&szM6M3()WdH0;)*2wAaZyEgsk>Rqdi~6E`9-4?{%NExLsr| zvd{G*J&8K|@VoJq(ubVR`uskNJ2Be?R_}I2jBMC2I(I@VD9t2R$!&noyRPA!_ge_6 zfT?2<3o7SHzkA90lZmyP{LYy`%h#6lR7XeHGcTK&#N!nETciYnQ}Tk4OibD(CQhyrT|G7Vd!LV@m5(-?d4KT2f&?H9^YA1UfrgKl&sI~Rq# z%Udpe7tkb3C9;!OO%tzEJZx=K#LlKZE&6t6YzKXAGy#Zds*p=)?DfQ2)TvLM%kKj)#L+!B`^lDX=}@nx_Gy$W%=93lWNZOamraXa z0Om3Zy;w-yR~hxB;A1yp?d%bQ!kZvEFdu{&qibY;x>%vLr9{aioIRjtYRzCb{nlWn z=Fu?wGtSN0CUD7%A>D_dt2DyT);%D$_fQ6QWe*v1Xk%Tx)jJ3W??~1x1DGAU8M_AU zH4Qq!aPv)#fGmiFB8c{kHdMap(x_wyZ6+=!VU&>or;9iE0laZ48rjKU`XX1!(q$oX z=D`|a)lcsykVWni^Y-8x3=uSr5k50$8iSp3B5+G57NT88Z>zNow7r16qCseA$ywAH z-H?#X(bC?po9=7VkZD_QLPiG#V!)^;zle8kD>@s|94!)p2RZ@oSV{PltSlx;2fWlQ zr84@wI9REE6v%`9IFSx(mw#t90&C%aFrK*47Y!7BL6X$5MCyM0DM~(2Nj`yW&LmQg z6a9UF`?ULw3TWBNYeGll{{~9_V=6x=7V=dS29azY)mdq~#QOTZ^C-=79Z(T8K@a?J zZbf``Rv>>%-W8*-BG%<&Hro9MA%iY9@sIA%hckC>MT}hM3)?WI??>)Cq1>yxrLc)L zi`Mt*t)#<+2IlZjXn&f81-R59h}dC%6`?l_3+&hOyz-k!a*B6WP4j@QWa3P_Hs$=g zJ{P%-V%?o$fRk7eYSm|D9#FZMp|=6Fw}E?$3!J!XEETbA-vkjY;~oxs!K1NP&!z#6 z&{-Hq<&zAS?0T05N(B{eVMi|$wv6V!DjBE;)IObQdF*byuAZ_u_;6A2&{kYOVBhvU zOk=5k2Ql{4W_tE|$N;})UfdB6f8)u??7NdB7vruht$f5Pz&JR<#}`_-vHHTrbeV9- zni}f3enq(kH_0c*P(H+cz_Sfp&xyYtiE6`FV5@+czMGUI|0PlX2RZb==Y3>EV8R~R z2(1YTamfugrQC5{Mh=dQGaKz#9}SI@(9w)qu>e$D8_`1bZm1)@m?~c51FyJ_F!x~H zv4ar2CiSXLkFAU??BpU3Kn#m^RQw4fX|`-+CN^lYm?3T9@~{_N`zyPupSxMVd_f)E z)yh94Rg(!Dk8$)axrvoh6<|$yuGsbpTe|gX+e?mZ_uHQ?Kar&I?wzwK-PD z8(MV`uqC$(1nsnMz3vb!?k$M-;AGpj>RW%Un0G$|MiItV0o<9Ic>MzVS7nFB95W@4 zi3sh6y2{Pf`@o2~(1}@EI3DOlvj7PzV0$lvFJwT_X4<4;|0P@JxlfM*L=p^kyBeDh z2s3M7X3GP(<(mL?3`{;7SEe2)V=DujPMzwI>@-Pd=x^9B%_>BU zZ!TS%ZSF|{c`)-?{?KanPAUVC5)Hy)ELI#uIZ;_nfdW-U&=o4;Cs6X#`@>Y=gGf#S z?Q!E(>Np3(2bxNc0cPgz6XA<}aQa~%*#Beiy`!4k*6rb-B8mkI2qF-rDI!fNijdfl zCQ=llw5SLOhzLk0iHLx70RaUeARwJ6y@w7;@4bZHNvKH(@msq0K4-VP&%S5eaerrg z>Hap9OpStrQeCeTIyv+`K=v+8pZki1P9CnWKmc@+r6>CF_( z;L2f#x!lrl;Q7c;e)#}?uvqE-CbsVu-K7bm-@;UiZc;lzM!6na+;{U5c4JYl8~2?| z#@0-px)FYtC|ZzMmM|6Fbor25{ds+$I|f{zcJDZ}tDO5XE``oc(`}_G#Os>rMm6Z@ zHXKa5XmT&r+U9k(_!tJu`0oMb^QcQ|V>JXpVGYSe>Ba8Imfm+6>H7cRYxVi|!lRuZ`RHe!)l z`3xBX+$9H5Ub7hHxm3?I%H+7!uH{rlws-$xmHhc8{?qyUPS_f5+xb52T;Id!<(cB% z=L?+C4AeXTpptw@pMy(uilB+aMi%36fU=*nTBQZ$5xBp*MX&4ZIJ^f&35>U>N26fz zh_(?%jTFfC=S=3aW!GD6U>HB)l?Os?^SBK>d0VT&RNW6+0aU^GkdeG zZxCXo#SET#7T=F%Z_n9gvs|&mYb%GMx3)8Eo8gKA$wRm$@fL8gM-un>2zS8#gtzqT zZRY>Ds^U5lEV~p+oLG!k8fJBT#k1(BNeLpGlo~sjaYyxJeHM88KG>q6wth$xw&^~t z>#s7{hqM84jN~>Y$iwItrC~&SPdRyl%f%xag8h@~OMEsMQS2q5dwfn8*s9kkPgem4 z2S0!xiOfbMq0v7^C!o7IG!-SDO!y3sgc5O*xWjCqU_9;N>0UcA_0H&2qUJf9sQ z@Dmsms}n9FD?5r5o1cI$uUPV5odq-8rck>0VyDrp_0)l>G9Ba~#xt=P(KLKe5<8FO z96p`FTL3o;9Dtt$dXfWh=2eN-5=mOKKY_D2|I5{4j39pGeY(rZ@^rnXwx#SutBBn@ zT3=v1@{gl-fnwIwKqg^r#Ws=@D0TzfU}1Gq-mEi?~@4vo0yDNr;k?c>LRoiAJWpG*=CDddn@g)zu5#v?)LZ03VA~Ha%_=Ky9iOX*F zr3G&g%x0JR(>6DqTg*#@&-o9%Z~pMiz)GF8Gm@Jg^)$0$3sdY>_-WqaN^!D6b#Ii} zpaCPR=kKQHABSwC`0UVBWr95Kyr_^QIo}t1!`$SuLl5}@&r<5=Ej$_JDDhg^--un#dFxop9D_D zl42Bgz=d1$1=>%n3}|3i9Wu&7dt-G7({pnSSi5j;AbX4G*U}O63h>et5+E*83U;7B zgAc~!3g{LDp}Hvr$Ufy6nC>(T zl^cSB8lKp^=BT2&z}sGZq*COboNp+o?|ckc&3nIZjjYG8>?2zF;DANCi-Adll>~QY zWzn`r%|lmETP_D!%&G1QK`H8L1OS~h?$(Lx$RxQICOrbiXGoM78*tG;ZPD^@VCIm?9n$oW5c~$Q&IfxO4_DS=Sd?%)TX6}(Bit}?82#f*Y`l#I zQxlrU--IL`dTh*M=t?5YqZ-x!6WJ5`+o(%NtPDyy4ba{0_~AMYrp{FWb7*e@rskJ( zKnY_SAELq3P{=~_(>2{ffvurfpUu+e%)hbwZei-cL-6C_;5*1$7zsn{B}OOY0wX8B zQlvHod98BJ6WY}i1IbDa%( zaccVn9_o6iiza0)FyzhJm+?mV{zS%1ERSCtsK|cgY{Tf}s?})mG_#ujb>lJPySsI? zk1bG1kb= zGX}JH*WySSB z{5e9I4U{KN{W@R%n<}j_uNnBi`+lq_`bkxeLRk%mJsSjQ`AqQ^6?7CjzKh#+OW9Vf zZYz>WvFASFJD1UI9?z65mNkjgei6gD^Y%8@@{=%EWHF>d!g9Z;K;3G&EFh}k) z$e$jHK%0Jj>Tjt3Fm?zYf+XI&S>ij=l|E^{&FflK%QJJI?GqxDC>wceKKH-#aPL!FeHMS(Rm)KWCo45B^@Y2Md&3n?cF5kKj^U zu8^aUw#F&~%f9a^c{mw6zD>5(Hk~boU+tOb=tkfGk7HY{0de>$y^my9q*RcX1+bxz zme*)akg^#CUb2|hT`a5VSQ?YqA;(2w-XPe2EsD2{`URGQjTeSP&EC7h*m-O2ytV9v(JK<^;)t^o$?TKppm%NS`Ldsu*eQ=tEI@K+>|b<_zwSE!3xD1I zBOE*Uk8likn6y#x<;;yjoYx045hpWC_AuW;6rw+g`fR46b37`#;cME3pmIl-stBy7 z)Iq0@O`3(u$83UGt^;z-+XX`kf#sF6x=);v!yYw7s8qK9MoG&z$bt2_g`q<0XHN=7 zO|RQ;-0f~PH2YIwLbfVb{gz=vvT166CC}!o1Nv1LC(m+>4L`aMiUDiZ)&k3#*%WbP zW^UQL4oEZP^s3O!V`Q zwpYusV|c>H{F$kyy?fb-#s1SpHDeT$($Vjm>%`$c_1m{wR@L~$ho$NVGB+65AHm5K zT52VYJux?R47IIk073GOgw!_xyWoalhz zWcV2~z3sVJ>m}$RSJ0V){YTX|cMo8uD-Gv1WiWN2Dc>MH*FY+o9m8Uhlz|^>v!YH8 z1110??h~3BgaW)RrC_d{rkvVd3aS89$p8cv(AlKt18^gTc?M&Gr*KF$Q`Z84WLFGJ z+}z}FA4`n`yYsB$&AuyP_U6F@Zou1A;C{j7b>_>|5MUVYPgJZ(H?4IRp(iA&TQc`6 z@%o$c@5Uazw~L8`(V5yIgWoOZU?dcvzTZHZ-oa?hMACY+ttOIaS{w1k?Yigsmm$?; zEphnXsb#hW{Nbid@<^_jfxD(sAXl* z8S$!rK+VjSt-2V;+Irq`{Hmu8({XnI&28$H@tg>+He_tGdsoJOxawLD2V+2KUR4@cOe@)pfgBky#WOWOSXpn zJV!N@{AAAty#}#I@b|o#B?50IMmZ*lp_1TKiB$Fx7Fy^o%v_t*_^Iu)fY18h#lL2e z&ymZjF`$Ao8UGfi4!qPX)&n~D+{<+>J?GVIUHP|n9y|t5O^3J=5_(~Asdw*GofBx7 zVGh)4fY9wW5+H1(@M;jU8y*KuV7K0AFr}g!ndk!1ywKG?mhrX4)$ipJHl-)Ywgjsq zskzAs3hQA|(i&uWlBxUY9GI%>Vp!gz1!w}L#G`t1W_=rqLAkrXJ|{^`XE04yx-V4Q z@-UMWhTUn}#;JV;dyaWTF)8z&oV-OwU)$dI95&3v$CvOuk@;gnc}pPd`~xRry8y{! z?*>LrtqDGti>$%3Yn>3p5)gOrE_16A)wDurf_(8h zPp&4k@iILp^XpNSPj!4d5O@|hsQ-iE&1=JvwB|Ra-ZA;XM>bnO-&$j0<96J;6(Kq! zp3zTG>&+!~95mfFd6X9(_6;%_n$BeYc}KV!fR6*46zV8$=$|hh`}+LcwGe zXbJalTs5xO5^LBJKAW)c)b9R}*fPxLL&*y17dwGphq%8K?|^gvYZ}oSg9FvZrcxaX zxg47D_Ny9a&15W9{Pf2^Lh>4ZZ=3JuTiC{~?{Ia#wL2=DH%J-LG13d;vt)6AX5vuN*-`bY)^Fjg61 zM|F3{h3*F$KiH?Xcllb)q)bqEcYLw6VkNz=1xe_g?toT@_aCzi%0dJOWv4gtUL zW7m4Bn<1@Rl5-I)<(V}7;DpS&F2)x2Fj0|Dfw#_2yTemU_Jwd6+~j+63Lngs(;kKC z`WUevNPP|Wcr}h@;v=T6tx7m3V|}_l&S;0qwB~FuvNpW( z>laMIsrIxOkqtLvc0wWnWtef~7do zoDr~-EOnH)&k3i)TO(ExM?d{>KHjly7vFJ!&;-DQ967CaIOaamWLY=vHA{=HJ!bnA zg*Z>Ww~=PWL@v@I>fn#0f6O1)`6bq>Nb=!w;__UN+a;)uqvB||2MuWn?OhtnE;+x? z_!)tJ-*f??HlJo~kN`26<2l~Cy2r>m)m$f6)9tm%Yay$cS@lo2{iNZA9c{urju)8s z`zJMlB)?x$9+pmVhyfboia2u^AKC7Hl6h97Z^d$4!zIRwg6-$m%VLHT#-&-qpRRz3tc? zy_9RRrUSvs)J+6xQ;vL7b?KnKW7sI)y50^6z0X{d4dT{w9w+HkR)*CaYa}~-Jk-&h zo-aoC%w`~^qX@B>I{Zff+@v9?keEHI8cbE#1DNqR#}Imj1C%P>T}W>nUKTLNuOEa{ zMNVz+O%cYCS0m{KdBB&`&0-$<0>7-3Efx>FwP7ldrKG>X_M=|b^W=St`)%MbnQS!!!vP9wHGJIn}k7|wry!h= zc{cY`#>Zcr-L<6pec9K6`nn<#9nW13xzo9$@kz$#mmXIyp5uY+aGP~rkJ&|&7(=p< zE4rInb9PPMJ4=+QKZ991F-4kLGGK(5IC>d;CU$u^q?#W}vtOUqn}jnQE^l)Iw4li(dc%8lHEnAgSzjIZ5tIMXkdy< zBnBA#Rxa_>--+fYJ@Fz4A9hF^u6`1KC}`@e5{ganB*l3Y(89`*oH$n_lAy>DdFeeU zK?47>@52V>5V z*=%j;Ral~o45S~WCHk(t_`}c-a_hWFd(^U4|6S$KGe}qWex$C7dfRQ8G6x8 zvTHeEC8>|P5AiBvSZyxd{ag?y63P?r)lA#b-F6$|^L6&<9dY^cMjJ4kLkNKNIIANq zTR9*+nEaHaP-3KET~N?;F8cYZBtxs2b7@q1Pr_oj3nM5(3ufO7^J>}TF<*cu-`BY!g&Z9~)F2Q+hCKpPHIw)M8nKQo@CuSuidwdC@kwr%}$A)m%2r=sEn{C8$fy zi}RgK3J_+yKdo@LMhlRmVjNxNBpSD39nUXY_8ef@RBu5i_7&?@^T>7;-=26fwVZw~ zG{@^D)Nme+_XGm^_ZODxCR4TOvjRlyb2|*%*GvUyZ`wJpqBpLkn~Ef8B2PS`)X}uU z8R7A`*dGQR65m>=T;cZ`pt|foZEc6!%%{~}hnhvc`P(G7ABq0|ew+$o0yW!BMD_Ki zlZ~a(r5fcUbxyVv0)W)W3RVY%uJ#!L1Ts`+1L)a%0(+&)v0FGjEF#pFEn+@U ze*FFxJA9)B2j9nIo(wgO?q0uuy6d2`xw@rp@u8%0`QFc59hjQQnd(3-TVb6ikwwe|}G)Wf> z?D>>A8O!v2qs^!y9CM#!67p2fJiX(7;D;~>{behYnIK1!ZOeO?L<&@`OWiv=Hl1vt z(M}4FI1HeH(hJBA=8ca9A6m)loVZLJGxlry=DU@HJGc(M5Ym3fZJ_+UP*uZXs<}@_ zu|szM$HFFeqoic$%z@NT+}n*Np>B0F;p?R@#3F>SKHZ7)DPJR}`!?Td-hTLJdrQBZ z`|tDB{+MI^EuH4#mC?z9btsEMLKQiJLU*so=Ca&(6B4;#^`?^bz4 zY6o#LfV%POOm;`zVWsV#=&W3yX%MN=D{^g`i|AzUw4~N3#QJv^(f2<5FIas9c5|N6 z)@%VzqEzZ(l6}9!eT8j5!f+skR|KT+D*v?<-V!uejNF}oNcW_(syCgRa;?GPrUQ?X zo2~Nn+Mig{GkfEjH`B3O5yfANi@!75++M3jip10KI;@Bb^H3E>K_b#oSm~{O?w!C+ z*mnS=YYW9F<9Ko$E#>beC>ZaeOgC*TJ>Q1;Tx^uoe|l6fq{%lx8sF%)`UUD?+ezpQ zJktl)E9+d(*R{J?4KG_CcJIUW6<@UdSOmnlzOr0HV^)t3M>$KmaG2R@`NGAUffH0s zn(GoU9|jTw;~syK4E`|}{$o7$zxFlLS*lk1xoyCe4~Khmjg+4Y@b<<{_t?%-MVq}ETe5# zg2|^Vk33>2k8kl1YEG^&yt`9J1(^4CT*X>_%H?2 z^FO_EC4C;xHYyCE@dfneQfd6zM zs=opX!o|v6Ft~rQ zeL(AAHMY~kTDR0Y<&n(CW}Q#@S@~S^@0iD(12q>)fJz5_~S6gF7^b=6z?} zC8e6$rCuob`ZfM?3!1H)CQU}9ICg7z&Q2b+e8z9tHt?x7f?+d=8qzM$)ct~Ct-7RG zI;>SsujX^*pK1rLQ->5-?Z!@}mQtVRuV&)>eUX~oZ=m?x(rU){Kv=rlRd zEI-)cb!^<0?=$s%$R(ZyqACHM^UxWF06*3ahb59`;t)~EX2t0ON= z$HhQLEm8r-dU6bG3E-p-Gx@C6+rKSm4{_nL2-~$=Sn%Q)KlE}y*~s>(SL4A)weCa( zUpo=nF_O%^OFs3%N)3-d#~FI9)95~}(H=jJgd%$_`Er10x8WgiQ811x?M%!Ywvu{9 z8JEWTpIUVlSoIyN(9Mmr{y5il#AZ-WudGGiN#*VbO5V=nwgKw$pBo{Luy*|)f3aA!Z)=2L{oyuLTMtCkDS8eu2GiguWj0u;R}2$gxY&f&2sl1Zl6B=hf2#dHT4=E z?$+|nC!fvzWNUCG-yln&U$#!i?pEtNbyNAt)57MOM%aPYX{u|USc~dY*KF#@Lu^~| zupw#cRq-{>i}mXPgZPOdmLQ2~AS2A*op6;oc1cyo_GYRxZ?vZn8Trq_X=Y5Px};yfk4@f0sL3PVI7!gR#= zKARYIgnW^jG@4jCg>@-k6;VllvLIH3>@Y^197$)P9|Y>Qy}g;1`Nk`zV_1oT<68h4 zRAo5USl8`4w@iptr9{y|oXW_}$Tka&{wdy2u%R2ow_)r!=vO>%YmWyl`?IZhEhKjI z$vQc6zrfh{NqmC@_!J=(xP!8kJ;F_dgw|*+CJjO=kM9Wzn$Z%Yf)3m~||%QFywEAojoM zX7}-;@%+TlbLsSA!`4a@^ut$OJhJ`O zSZLrD5h(TkL+J3U@Kp09;VCGO1-_pyQj~vv&}#Sc@ztJ^+KzF$RAoSDOt|gb`RiWN zr|povNMGQ3-H6C=AiRn1KX_RzUat8 z+}LY?O97sB&r!puqI2Wq%y7XA>XZ-o+pLKDD`5ToQReRbFw#tmdZZw3=XA^M$U`-Mu$-4cIk;djoloxs|}&ypE%6^>?Nc+pBeTCM_j0 z;-JfIEtGdOrba3vL6QBanf%d4SrPL9gR%T9>|^gUhfhqGr80MCk;1*tK#oucmy@Q` zCG4Q?4-vOxkEUxkgjg7vu=w(by@d4n*^JSh0Y0}a16PA&6}#QuKewCie@9M0@KLG~ zh9(*a*5~GZGISdL8-!i)cgMHZWYI?JZ7gI19zX$%=Csa`ZZ>LtJ;W zpQ7u^$2nm)dMt<2p|=tzdHNL3&waS)31e?7Up+M7Msey)POw;amaMQ4+vA8b=H}5e zeS>Q$dXN73p1GCCt)utEMOIswwj{t$8UFjr{rRo>jEyn95)+BfE%@AK`a)ZnU#mY$ z*uCR`-VROBL+&rc+Xj(6YlL2YA+YRSV&aqL53I`-PJ|w%-GFQxz5#j0qlzIt`{}KH z2bSXiA7`Z!P>bQKRZo=(}?YurFtNw^9=Lb!=LVkt7?cZ zD?chRqyl#Iti%`Is^{u0(!#OXDEf8R3CdxL34TDbXb4M7!k~UA^4lGws(K#`kX<7ay^~95x95-PkrbP;t3h+Vpgr zTFmc9>RbrfeQZ#%I+}Y^I@v67@+cc2?EG6Luk+^x0(aO!2?{p^&X<4kzbhRy!H46v znBGBd9(CjN^itzz2)_RWLzm%ImQ49IjQe>ncp->>W7Nc)$NYK5ilF@~gU$#vs*idj$UX!~rIF%Ojb13gwhVS0nd zF+xc{bpx@Ph#G9Xia<8;qub>6*X@`2tDu@CO~UElJ7)}o)knyA$dzGmwI8it`CFZa z>32_bM|ar&Sk=Sabi&}y*{fYTImRLM&HcyLejZCxxzjH|Unly`IIXTcu?Azb^--w| zX8*_xjJrkTA#}M@gyRdKwuOmMTYu{KPkz^-es}m&A33VqT&0RXkY zBIO$yA%AbGAzm!twD>ad_=(fe0D0w%$7&pHc|z$z1%^{8u5fZtI@zeAbtYHhZuTDG z{IfOHnd)+$Y=T9jNi=1ds8mPWE73+@-drLTq3-G*CP(x|A3F3RH(?yR_rv*w*m`kk zU$eBS*UPu?UE1?V(@ESAhJ04Afc$n%{;>%2z3-Ak94TE8Odw!c8il#C zKXe9Phs|dIec!`Ryo(%Yyj0Wn#)4XUz|VbixK+;R8)VmzjLFYf-c|;9M**tSb^hw~B#H(Cb{*0c>Cxal) zT>A*c@}+~rp%%k@>aW&Tpe|#*2x^d_S1gU6xcEj#wK_!Wz3n^TeEtyH5kW}zol#$s z@!-k4n1A1Ah|QCu?fmQenyjZZry+^me^=t*pMkMHwkk>}$79%l0LU>pziiwHn(R*ys?W`AO-3ViU8|QB6jAm+g#mc z$`kl2oDhzkT@<7Rx4a$!sdub{!v=o&b0_U0fF1MXkdHG3=UkAh-dQakaxB%KYd=~{ z`z!$dZ~vkz?nw7k&Gm>)VG@RT<~#p4_Q&(y&hc7aRo$R3WP1T`G0B81$qzU!;YR40 zRJ(}rl#a(IDO9CIYwJeaM`PM~Cc zg`G3I;Cr*P)k(`T0agpzgHIVM8eSKbPHAo>Kfz_!$4=Oh0Y=vAD&C3Dk~6b9mdjgw z>q+mL9F8srp;=6GE|z^=UFgLMS7#Skr(hb{$Of3#ng(9=f3;(vb=FfWjX_KN^3o;f zNsB_G>Atn~$m7TR8|uyt+LqI^vXOYLMwO! z(yB&Sno6-P*-6>*`qdQX+(~Oq=8EryGy6F5RcQ=pdroV`zbI_GGtX?YTl|ROmIGosG#i%S< z^i%@y1m-n)w7&Gd9__{oa3nb3RJz~i61>wO4jQWi?Lc@UqWtMX8(hM|6YKLmn);?~ zJb#omSW8*|6tJpEF+Errel~f^>I)apRanI?V3}s6Q;HJq(0V>y7{H2epYuaYtr*)F zjV*md$?Vdeth}ArhuG|zP{K#}PvIDtTa9$#G zzr@|iE)*yPNvNEv0##^Rw#VH{!o#lz!=`H^N2WZC`D04GdQSrT@fhILme2PO)~lj#Ik&`m=;w{TKhMMcM5fW^MniIz+ZhP zZZ2!yy+;&q3z!u_02mV%M@aSAK?mhGux`C5eni|vq`;e#HfrYFk%f7WE2igcH zNBp);aG~Std-5?AK`r=cfh4-wH%Jx8Y<}Bhw`niaCxc2lYk&vPi4;);7LkI${q~=2 z!ir#Z@-G&l#Q0}o)ZOOr2nhn;Eds`FOW7_R#$kO|Vqev)(vR>|-J?r+m@lSh zbB26T()~9I+;Ch$*=uvjo`L#wT{~TLD$grWP$csvb%l`zl~t-j=+p+ z^Dd5pn$yw(t!e{-8hEm{=|KxiQ^Qi%r=Rpv#Eoe4%dQf87HWI2VKM zuSQ9U{6+Wg2Sf4I|Ba&mq^SiLiiiAgs=7`6^>z`{F)(knR3+0+WiDu<^UC)#Ifg^Ow_BD){j|{%>mgkDBi< zk@;dBex84RUYpdQxay(7A9k$R7PYjS((7G|6z9Y7`<>n9PHLwYmh>D`dE{y z1~Q3A&ukhh@>=GOXQr<%vQ%eUSZixu`QQP>UO2XWdNU#au#r`(NB8v3e*@F^i+z&{ zxy*5VBZlRjs}sRYP6XJ#ce3<+6x2h^Yha7k46#8=ch(Vn+fK>>Zy|cS<|ruZ^7#}=$sHtkyK0Y~7m?2E<>OTihgP`f zqSOIVq6?RJ@QCDX1!f=dl${Ws(2+4yr&H?}r$t0%Bt< z>d&nl1yXE9uzTH7ckN1SR@*?!I3A1d74`mhIhB8(_9VEMr;lDd9>#F_Y zunb&6BZ>=)Z)oM1K~ta73NriDyI1Zj*hYU@gfnH(mUcHk(Gy{8hsd*qDs4F+Vi84N z4he^sZ!B`X^U$86%-$n6tI&Ii0dXd&h3coi99Swr--B}*k#G^IUQj6N+?*pUo!BX~ z?(jYQRdpKADexIRB7Ej1H-|mgKSU{iMAoL5>4cL|^{>J-&b@zV3iVP++mRD2KE`Rd z@IC$oq8)nQ1K=xvN#l&*=qX~0{P5Tq` zu|_`Gs7dqGof@~tc~)~~-~W_K{xg66_5axRRn2XCxLg~PaPn)0WJbgE{b=gq`4j#L z(WcC=;soACLvV>eDYb)$_e_7*n%%(k?qcBR>or>b_8w-EMEk~Vm#FL`c`}c1k~4A_ zM~4d5zd;Tve!NEUEEn&Ye)#w>WnbJ}#7ZBLbZ9bF5S z=&h$2dXDkM;?X6(r})Juj$9^pij&}v(;5+i*LqCgyBPol>WG7L;T=m@vI$3#i~?IzK%O~YJ>??)W%!H!nhzkT7n5$g28&|> zn~4z{K5N9AtEHxs#fbg-sLg70>MAoOyxJMDe$q#352VN_;DHfzUZw#b%f><8OpbDe z>U7TDS`695(hhT$^b}%>wz{P&PkEN6$j|o^y8I zB{xt@Hr{PT8160Im7rX#nC3K_DA@N!B^6~*P}{OwB1C^Sga7?=d(~OV59+I(FZLMtXTTnrY!^994b+^y z*xg9yC3Ync{FT$zI8>Hh3lMMKI49Gi6r4F^@%P`a#jX2j41M9~8GEk8eM7x|K8jm-jcHbIXo%|0-@6(~6vlndRXcTiI+;nXtAI0-~3p+E|sArjLLj7?P_SKNvYG$ z1COEU`b1z{A|-a%T-7-7l0p9P2?AhMxv)(26_|Inznk~J&-023uRyb95~Blhb?|cO zE9w_G%=Ef@oc9k$J?VD8Q1InT6iG*ic?5Q54%<7#X&@_DwXu*rmgN6bZ{?z5OT<)_ zYS^21v*X$Fxv)2KOB)tc9(G;X{UL${8ND+>5080o3xiga+@V7blM&t=E6RLp=4;xV zS}F9eR*Q=5Etk1-il1I_ox;~=wq|alKa`@LpzCEG<)ZF>Up|8-p`0QcX0irN z@XjXE)Ye2Zz1~p9P+9^_!6rRzz=f6DTY=TnCYtgaSqY}icz3{$V_s+e2|sc;1n{Gz z&Hm-f$a7@8@^=&FxCg1ZQg5;^J?j}h8(4M#&Rh$HTAynjq2^ZIP8>uoVu&|XHsCLv z^ZH$d1>AEVetwhmeHw)u42Rl|M5Xi>ELv-ex|Y=cm>r!N+2*hjf6XsGIB=P*vp8&} zCiCj)H_z_BE+byXVdY$ivrCmShmd#Tn&Tzv?$=+Y*Sk1Fo1;q{Rf;nwP&X{D&rTiQ zSM?hEX55)4)J|$w9i{vhr90#?i&%V=3$dp1JU{v0}X#itm%hPftbz#BO9 zIx)`~`E!GJ2@;>GG_~yua?Qfk8GNI;i~4cTI)6JvEE4-F3(EhS1ETd$hS!Ob=NKOJ z^R^#D?uFV_zw7xM=IN}rh6ds_`5NW?*fbeTjHV%Jr*BWLib{N59NrJ(##G3qrkbau zCl}HeVIKx~@H+5Bl~reAYR7uWN3oO{2&$$HA~#A(ZVdvbsHE?Knb<2&=8Zr zt-?GqK*yoguThB=oK<(eNZY)YuLy=~BF`eQfriVbJw#W$wWbrU?o`J1`F+ETgON~9 zv%}l{2GSl*aj-07Tm4ZYh8YZ-{!Un|^-B@&L{SnAOFMw$CfCPP9M(BA#p+LU@bhif zf2H5UZcgUZ0F{j?Vkgq{ZMj1sO^nK8V6aqSpf?XYBYm^Shsyi5Y3CXRxZrt>85;8F z=R9aGZ8CGwFHLie+|1cxvHwugzAXNiTOg4C`|BULq5u9X0j{e$oQ)y9v(ldj$_6!S zug*E*8so^r)oIg^$$Ikr0 z$6Osl9Hw&R82<^1A7xc%;N-Hva@*I z$focFmcz<+j5iGe>aUUoa=Hv0H1{$I?z!YdEr8acrDmOVf)c00R82qjDOzp=H?Tjk zBRYOFVr6cWTkS}#f~;#{3D9ejNJ2E>57;#?46_?31r`nsY(;ZDt5}2kKHQSfzcZs7 zVbGjP;N&6~+{PHA#psMpx+O*Xi8k=Fj0);*RPH-$uG#`y6O_2gKKzH1?{G6; zw&s$&=yJm40n=NaSveQrf+%GL)NXQYg{ywvN-^7{(Uw|=4cZUCDdi~d#4$Wd3vA2R zLX_!cW2adWM^_Uqi67_t9cOoCx!LXwg4SbJE*owdmNE{7H_(QCPt*sh7b7NH5U*WL z+UyO?sD)yOgz{c*2EN0H>n*2N*Q~y4th7T#8!-;efoSa9*SR9Hddil2^=NDVLFBbo zo2N2?@6@LiY0SmNas}qqSj>B^^?c^f^f`<@K6Z%}QHZ|wFVY>ql<7K9mU$19@W!xQ zBta|o9n*2hZ|9e_thCW)e|GH~q=*K0tIcs*j3xS3+dpyjb#du{R_`c72f7=2aOvix zaJ7Hf@P0GQBBz_kbhUscjh$2eb?53hryX?uFaifTmHLtP%};R zvK~crcxq~z{}uNxTI%)sax`CuC0|iin`!jWm#TnVa(`4XIXWQ_FPczz4 zSV+nD$yTG3p3~DIdp8^upvkeLLZY!?dYF;Kz9?+07d8(MTi0~B1K2(Q-9vvYQLQxG z-70tH-KX4Po9mpDRuNZM<-I;tTO+D%GwwXLx*3{ds-R#U33LN%oS z!Ed>dyMt8Z+LgA%xRE{gQ?%zJX_By@@5&u9|5#_XPl~Z}4~cduOEY?nz=i8a!6?G zLcq2Ci9LQktC<@lv8$u!ze3By$@nWO6Q#Un@IE?ose*p}6IeU+^G!gw$VW@{>&SHg z>w{aOsq>%Tq}T`3nxo8{qFh8HlXZdSS>}*-DC+C`?iW!}&E2z?Z|-L?NkgexT60gt z%ZTSre^dx!NeK$l>TW%0OS-F2b5raYtA^75!}7rYwK~NAybCdmVp(a(tpwDTm$9Iw z2zFyW(VL&U)R#toQ9M*EcY`u3jm`=pz*5~_@+=`{zd=SGnLD~%DJ1da?2I%QZ{sQ& z(Qj^FmUxb?P%OSgbCh4 zFAuU!Ls@@4MKj*b5f*moNt-P4y!h_=^r!>Fdl%Dm-S`L>_S3d6ZmN8lEpBqC<(#7N z(OHX&6#K1@FW;MrTBuQMF~&1f3X2{8O<*sn*Icxx9o`=V@k|y5)MF9g?X#EDB-WQ05Eliet7y4-!q9Xp?*gl zQ>EpE9oC^o%w!mIQwFbdP=)TXOsk<}3_mh#rb8KO4&*r7EMiB%kKkboJs^o&z%UM1 zrM|n5%vM~xc@q}*lxC5U<`mN%wBm$98v7>P$_}4&ozgiYCeXgN$D-$^KwhY^ddmC2 z2}5Rk2l)N>tfM3ArX9KAnufiX9dwXDrgIe9^br?(b9QPp#P{WU!|78W|L)La zXduVca;kfb3CTsHW{&FJ32X%K0bT*aKbIOc6d;AAj{-`0yWsMI+gH77N(w+0*l`nE z$u>DA0bO2%pIdY^70mgZ(@jRq(UBMEheQOVi4;M4Vgr;G=~5)pq!W6FNbk~#AiZ}INFWJGJWFTK-ZQi3*?Yg|?C1BM z5AzMea+%y&_jO<8U#e%;LL2JQAb|dMvivQdlH%4vYjrt5*z~4*`Fa_Y+g#;{36Lx9 zU*%}j)M6KW43Lb_9i{ud%CGWgCz=#(tqXUhz~J}NavqQnzA@?Zw!LO8t-pmH?b$!K zR*JU68APNWJF_SC?Ucc}JrQ5S#njV6ZK4g|TZZ`SMa~{ywKan^?OxgR*0S}6cjxCV zopd-C%yE+Qp)k{)@mfp5rNWVKOs_ZG&I?->B31=jFY8eDka29vu;n+TQSc2?mi}t^ z?gWkbd`;ODTfnv?tAp{q#xhK)jJIkvA{X6iaEVnR8_`nH#6eGl&Ct4}aYQBFz-`cP zBz{2Aq_Qn}I)LD+aT5jPtwZi$5~Ek?S%D=Ym~*~DlRpnn`kqGimqTLDSMu&;(hm2~ zko+5gS>KqdzcF3n*c>l&+`f&}DFym?2D&YjiyTcTV-@t>x<2}vAw_y1NyRf@d_UlO z9`wWa+LQ2p%ZPUP!I5?A_HDz?7u%zVAbNDeX=@&ZehLwwgcfEYXodfd=0 zJA?ZVVFRG-`&ppSEs~zSOS2E}VVouh+!zTP=ZklnX|15(1X?m^Y~pRXy7#in*onay z=9}P%{&XYvqUD-}0^txJXX&7!ru_vafnyprJL^XlYMm~B;-<#UW+#?FZ50HEJDjxk z`-kk?uwNNpUvS4~-((CBpkLEW>(Vjt-8atwLSul#!cHI&uTvtvqYMhn-o1qs-b}5f zBkv?vcE|m_hEkh;vY+gm`Es<8g-+M}T!x=p{Je^xQ&Hp;O3FgkDBjMIkQfYET9>6< zke})&<1(qAFMb8-05W2Lq$<&%2OP|U5CTj~Isa~2I$e7oBP&p|i1r1xWtZMO_H=Uf z)~brWNL}RA^HzD1-ak z>rNy?4UFeqTNzD~vU5hL%~EQltwOWG8wxuBFEKsgw+HwCOlE^!n*jxu>9$=$C#6Pb zq^8EmNS!<9bR%;Yd$+rqa zKePzfSl0N63q~Y|YqnOUEh$h3mq%=e3Qcc~MLMx$DlzTIuOd#8jV#h0RSmJiVQfDe z(g&;;5dZM^EjJA`|eWY`wJN&;OMouB-T$y2%x8Nal%t#stb5w=K!9K;_zgJL-zye5A3l}_9p}^b3J$GV>9&unvDW+8?Jm|n6?4Ps zWhKCA7Jp>gSG-i>tB-@0ePc3lJ{`>O+O6yRYypqSkjOpLzk0u@%q+i-xB2ClBdjZ* z)HKv7c^5IcV&YwlAd+x$4g2&zM#rGY4R|N^pTrIAe~25ZfQfU*X+7W-6M5n>vJQDj za^kqc{5PfxnD}Z>$89+OGGQiX9=f}D0N`DsVACY!m{&rKL0!lgUFUNzOow{R;Lll; z4-buCS}A$r0hi!_cTN^vdoz&K-38?!H>J|!VZ{TzPBG4pTp+cHSo{fWlxK;@yLTfw zs38}zTAWmxRQtq}vPCb2I=abf?b(Wcv;3F>E4A#8>cAPNh0t3oS)82nf(0}>t4Q5y z>Y#)p(}C`S&ep#gZ2xD^=5I&gfBlR9Xf<>POSH_mw8{q@!HEqf!ZM)%-E6(AD6ink_HE>(X_S{4^%?-05%AxY?&GH3!D3J zL0U7YOzKCauZQ%2Hw=$Ze*3-VF9>fg`k7ER<|c;luhnt2nYA?c*S$`~y?-YiFz?%P zpo82&gJg^x^=$d-=L??XEApN+JFn}a4~V;wg&^U$$9s0yJi)?E_}UXEe&l3VHN^)Z zii+?VfrwdqeXnFG&Urz;FgkG^@d33vU)@)I>z0GQ+6vE6xP1&@4^_Kh(Ze`qhqWZg zQJ<8a*ak;>Ra&BG?!MlBUfiOvs46f=lU#)?i}bt(0}$9Wv;O?sGy0!3n11=I{5g$1 z7c0y6Wdh~e<+IO+M`p5{84@1Q)v}w7oX(8-r-xm$lvlPLlNNm$B^^Nl^1`#;qw;~< z+~lS;B}fNBvA$}8)#t*1TTP5t;_hMg)_n*7B@DX0jueDhu}bCS_Uw-0Wc=aR2ciqT zr8a0WcZZ8Z*tL5e`F)JHd#g5Bu#-S7ScVQ2i;o81(+i`h*!5#{1~WE<%XMzG{$Y~d zM0f>rf9V#blr!hproo8XlK1ogkk;T2PTt>`tTTX|`KRP^5Jo{!XnG&MxjHUlSaN*8 zk`-=iiZ|pJa1JBKZLfi*K>;OW1uah{^FXxxiu#MnElD&s``$WSuz_F?x%Pa!I1W9u zT~+@vy zgyb9d++QN}9&)bZEO~wU782us1wt4Dh#f97SiddhfLC*myv?S+G08dKtJ3Zndm~6= zxXq$d5B+=B!dx9#FU6?EN4pPMOyhWRHqWZa+zETV0XdV3uCMjQ2%qA+hYh1b4(ZL; zT}Dcvrz5^G$uNR4l~K9FcA^PiI}49NUNg7SRMlqSI%;RNc-#06sP2ghxB>F|x<~RH zN;cTmI8E$*Kim!5=>`=0oWE|N|0ve{b@6{?nOOX3_Xvt3(Z#Md4K22o6EgXy+s-R* zgYL7jOnV1zE#nF73t7X|aV>vCpQD$hvNi^n9moa^F#^Ik^ZX!ju8Bk-VU_4{gTNX_ zaebHcpHh7zFFh@IJ?XdiEZZl8$9p-0VmGVdLG#&1WES)2u!(?qsERw9{|{fEC$3q& z4xg6rZqD%@Vn3L+-y_6>JTZ;_h%c%&tufRw4d~tPCU6ArpFVAN-Kx}6(&5Z~%PJl| zXfm~IbK~n(-H*$+Rro#qr4reXULBOza2VTG_A4plEDbYxBNVCn+`WBntYGZTvYp*d zUjdnz)+H=8rLymrLf;9_1$TTgfb?3wNWI923KjewuoYwB7g8AKJZ`%ok47LHkBWG= zB1J}VA!_6>lG+j_qLj6Wv5GzKdtAkPVI~PKO%4PVlH>KB9XYr@r$c0OHsvO*H=(V7 zJe>rT&z+r_d3!n?gTCsZ-!ylQv#Kpp>>v=is zC3hFfKk^TI`^Ev-vH_x)_!o%m70??m=yv*xykP^Gk>f6CmE8&Ql4EnKRvp#vQKDT(K8;a3ov6i3K_sk*$1F4~+x*obV@8n!Wtjv2}w#t|8 zHP#StV)6_R5YlRo_#uDchGVl~1>W7l>WdbB@(?mtG zi!|lZjg!-Fo7JHB2-Z#Fbhz)wu-fKUyk+->C0J)iEq`I6M7n;06KT1O9p-X*@R641shj}u<1If+qBkkC@<8Vn_ENWbM-02B@5Gc3q zd@r}^^K8BJ)=M(fEX?88&k_LIIobeFhy~ zX|DjuId^mEiL$5^VH^t&%?tM)6&hwef_H;^g=aekU?4H)B-h;C`p3lMe|iA_i?jH@ZuJYG@d6F7 zauaXjtTiKEj#U*bM+HDz%C{8_UVFrRifajw8sz1yEDftyab^wYj(B!(ImZ`r*1o&h zd}Ftj)?GZ6Fm;n2TGhXb?WfhQw-kEt)n`sQ9D49pv=$9=nZXslT$H8E?&Kv_iQWWy zJRS4g>48n!SoidF=qHg}wm*PRnElBmT(Oe@j+6ywKIBEZeVu)43zBOV5m6sXW?=|Z z_j5G+UL5lPPtA3|elLw___}m|Rv-jYNCn-cczZ{^e_x8W%8=vcV{lo1Lc)>m-)70i%8m!)b`0;9whM z)?8}GoSnNA ztd}x2MFf{gvFR}Dgyvg>cG>b^%1!N$%yW9P>PCySg zi1~-Ah_!patmD|6$E4RrW#ndF$0cv@Re8aGO3wM6o!76Cgh%3mAxyS+Ad_Z4 zyH(fZIUF!dK|9xBG=YL@*GUIvyFK3$peUc=f!5)SzDUb{Dbcla7OsnYyKFx}lbtfV zRzC!`F1p=Udjxkx*%L$*cv*%!Un9W*rg$*a8VN8f%lvy230M^>y?Ksf5q;#>Jm)`T zYrCC9+(^SDn}FYFjNkV2gf?f$vV}OYu=XWE?xZ3G4IcnUd$)Cf)uYcb-QSorti$wH zxL*w!zgDt%Zl$w+?m8_tyE$}WnZqmvDNjibc}$jC{X*_ne|M^)!m#c01@Igsh1YfL zBRUo^rX$;h0X9ouEgkqz2pNtkG?y#7z~kdJqN$qiu?NVn@JL2H^6G4JGZFKJs?Cwz z_D%%I@9jx+5Co%5R>=0en!6pjwmGVL9wkhUdBHNk4+MMr?)rAXhTW4cZ6Bj&7R8v4 zT`dM`^gF*U!+#}b{h#}Zf8GA?_6zIoHqO7~$iE*n@W(W^ z?$96ui!gh{_Zfqe+?oX+Hx?nS}e_cAK-Sq^aDWN-8pcDV&77 zzBYk9<k z`~(kL;8cJA&;}%2fjq>Go>C`ma`-gIU>3VM@+PKkJSKDs@8+u`Zy}90ZIGPG>5G)A z(!FJ5_^kIF$2$70pNGN^1CO=u1|Dj^7-=#`-_e?KhMCkj*@C&cp9N9-76}&tq+8A@fnHQndoj+ewRzJ?% z=W`)QOnAhRuw|xMjoMMeqj2Lj`~m{NU@@i@XmWh^WUuS-!oFdk7j{nF=2!wB{KvQY zyPx1<%f~%=-w!}WV{x130HExM@Ce?1XGL0sk!H872%e1J;22xq^Pzv{k(P@Z<7#a# zt!kU)MU;ZBod|Wcf$s>XfZjsCqe8C$C1r8wD(CxCp=hSSle5%<^-k|NER6UdIS18h zum9dIJX>e1?CHt7GQS>SIoK1m-K;Ah`d3jDi(lnUWaV zPI389N+#_Ouw*>Pbi=ed9eQ%@hRbUFZm^e+ZZZ4045!7q$lXvoog$5F+2z*rTJav~ z!Sh#+T}3~T5!0j!Ws)N!f^7YqupC5m8Too%*b~X%WEjgeXnO;R1)l>rC`X1Z&XRni zl_Rm$F%#*_9`g1c)_PZk-?-9mIv+aH&?&eZ;19IQH87kf=EAL)zr?{tUM+nO7E*fdoj2 zbB|u+nF~fNH9G?pv+{V$l39uONjqA=!C~5A z{E(7t`=EUJkV&t9;OJ&P`tI_?2P%;mx7N_NeW&d1+>@N98G^R`l(DonFiT1)r*d>J*5wUZ~aJt#0c~0 z=e(|{z^y{lq&I{B2-^ z`P;sWRF7kyj$LPYtL9}4SOwhw$3p$dPt5Zh{I(;}vD5JxCD-0OPPM2NoxLKX>IHVZ z#kPlq<1_BLp8TcQ-SY?1uD-K-@-de)D#lSd>>THonf6bgAEb$=xC;a391@1i@Pg}ucUD7aZ|lyjPU@AiG=_%0_4 z#z|ik3wB}UpMf$6A{GA>63Z`yk{gIA~?ZVJ8C64eI>OAi~0n=l z?uq+cSaZee6vec{c>uoieSTj#f`3&D4R(F1#JesBQN5o|!398P)K<7le3VpXftJf9 z#=w_l1^tkn53$n_y>m!SjPBt35ZjJKR>vwQ*om6TlS&Qet! zM36B0=*mTT!4c>dE5_HFGbw%4s8jYNK@LhZe@R=8t1Rd~RIZ`%7!{3?v@ED8FMjvH z@SIJ8mrMe9?hdY7-r8cjTtiz->me`|QZm`KsH^l@9ge+*#6! zJ46A8&MbNJ>uLI?e&3ZjvwubRKiOlyR*nDl#o2NU1OWP<3$AiRzN@OZH7b*65xfnw zvZ;X$?8=%BiM494s6$tec}v0pNW#mc=@QA3VqA<4poHIdTm03}upB6p!Rx3o)Zm;D zcZHTE@NEMjVaoaOG^u7n&pA8;^vaS0Ke34EQr0 zeHXuzdzw9BrXRkXYVmd!gpFek9CkT)m(lME)`pgNeoi5zz$;uN9C9UQ);43oTZ)LN zF||XT6(7y74)vYC=5v=20Ytk;7GF#N$XR1Qa>|C>!FVYQ96Z1~o)%AEF0SJ7HrfG^ zjg^5>><6Hu^2r8E4ut&vI#IfQ%>C^mKnkeX#|qd6zzFGCFOqN)S>H>Dp&x)Un7`j$ zhh%K_a-!p2B%GnJw^HC#Mky3|Zt`>wJdfRf=H}On`dL7T<#Hg^e6>8`k@9mmPmM@%G11<`_ zRVrhCNzAjIBQC&X?SICy4>rt`MDB~3e;~yVQcU33%?f}j@vr_wEy4uoB_Z8 z^vaOHL>bk6%k4A0xyg(mE#O;XDM3H^&{uoOF26D_Gu^~YZ7k`$0^B#dQbT=$$W>-HY+t5PNGY!g$+Ri`7(3|Zg z_d(P;-{(ifSRt$KhNt}shKe2a)w6IdtMn}y@g1HvV(EPb8Okx}Nq2if5N!$9mi};0 zA-Vu=1hu^Ypjvy&Nk=Xq-ybzIJR^KaRI+z-kd#n~NOHEm(3r2;3mMb~ZDx_Sx{SWi z^VshOh7Y4v$;^5T93F-izaUeOwvPCc&a6D^010s&+|Z4YW8Z`bbOHuAX2!on*W%0d zf3Dsj&eE7D-RC2HU}d<>^g`#;-Yr4Isep=%O!>C17I6(88D{pci1OHBy;?w5QqSYpKJ~e9ZrS&tN`h{K zRnazP(o1S*P`Y-ZlL3%7{dK2w#k` z*eE{6GH;*f&oi)-RuH0DT`3qBGhG&J`M}_=`g6v~QWQ8VE{MU23dSzVAo0A?jI&kB z*;)mt60{S58%ddwrXE4l4g>)R+mzp3h5kPY2!Gfpe+)>z|L^0Jfzp#~vvGJ0alOz? z<4m-g&4B--g{bC>YrzJW1f|pUT5huskX$-PKJM3xM2M<(w2j$s8c^Qvr9laSB6>p? z0M8Q#HUP&`EBePEn*wZK0fYY^EJMn4OmJQI_Gd)t_u&1vwX*%4xZN*;abw{6{ig&p}OAJ4K^87hv!pk3$Dc_|xsGlh`|HNu*p@8eatQxf z+0f#%VjJi>9hxt{UdFR!IgyMxazOJw!{$>3I;3S+ok~@q1+lqb57SB5M0!OPwBt=yVO^?CDc5lAKSs&W&{4s=1S z@b!}myC=b8koVvpm!u5;eC3^QAZQ)^8C7td-cRXWP3G_X+r?i#FqUYG+d6Cy|90`} zo$ojI7736A{c`ay_g0F$85{7+#lPHJp#4l|!!H;A?cP?${>L|Rrr_DOAmRHP89ox{ z$$YWaH!drs%hst-Q1PznO>xmW-K)p!StBXFhlBIH0~%0ogpF*74t6SB8c@W6N5XDvpLNZ0uVX~^-(2mZ1P|44TaBWsPD&YI6iE@(uus%3_E#9T0*XhH@VrDxfAN21+jIl*6oI59e zhD=JR5wrA8=sTQ}v+mZGSq~#3pFQr{c9Y z)cNzWA!5g|DT(fylzxZz}0w_^G)G zR%9%#3Ks=+mLJ$qYQ#uQo)Po+1-U3L8@5X+SE8K3Jx^#HlWykJ-=S8SHK{Zl$Qet-&UB;btY=&1wh z2^AJkK1oYUk**C(<;{mr&)YpS01NH^g58bnGX4G8qkyFOP29-j`g3^Ys;S$5%;%{vGQ@wnnAb#J!R zHgQNt>X8{RAoPO7DSPBP9u66o>V3ZG_yORl2Ei|a-hlI`k5W(2LN~sa3n}7uBoXHJe%IR9e86r_cQWFNu&NC zkmPk=6Gg7x!&y02d?BG;lvzB*JnU*a-u{w7J^J0wCl{Cb$~_s??Gesj5e2=5P#4LI zXi(gm-j3StKGKkJc3w-=e}>V3N1ByPk!EHr?8?>=vGs$Vn z{nvlRxdvVeS3MYbSoY#4Cb#p?Q@<7}dk_`^Cz^PtY~BSO_DL&Dl(|;11L@#s$355g z*>ZuHcjRXu^79kufWxqF20aefJ4}Z-9f}N5{t9v$lU;lX^p+)XJ&Qs7cCV9keqznK zz;w#b%aGfc&8++NbSJ#HN%h6Z;qTh(R|D6S?}&T=T_RUam-WfH_O8x~yZfZJwtK33 zfp-@P!~@k*2XAfe=Vx2!tMTE0ID00zrEPfe_BI!M(Bt0w9#*NZe+3Ale->DOye1|( zY1DrdS3pb?a=WkHY$!7_dO+VY`qGh{^nJ&BUYf-OR^Rc_OJBqR9hH`WV{!%p>jx>= z<1Ycr+Uj}m>dtC+?iA30VRa%R!#WKzX&kr4mp7L9Z*EC4IJP|CGe7S#&OGg~qhaN| z_j9bFqxQR?Pkh*-F=sxLxuZIRck5pIrO_!{CJ`fbDTqNt%K2;{w6)M-d=1$~b#@nPl{frDpD zM3pWnv`@S}2kg}**B^V;2iU8DZr8GXyBP;{%obYzEG_0Q3-s|!C7bgKBa0_y=1SgF zfH%NXU`&Ze%xjuiwnyTOFOmFQ+oBBhx*xv|NWcMXR4)1`!g>t<`F3lxOywX=97rLg ztLwkhQQ7+Ik!jOw?7=o&VIs7XczFW920B`MSzzXyj3m@$J=Z)mUagu(7P9C_RXD{ zjA{EqrQm4z8WGY2?&2SO>>;l}?p6g8cX(*;c*$8vUJ~SC^ELBj$U3%_3Jat%6M*55 zvcaGvqb+;tn1J8RNd+{Uk5C4RV#QM`jAueF7Qwtt z9w=XvycCLC?A>@@X1D2cm+E*3)jmb)WgJbdj`z4?5H`C=V zGaKXLBsf4%2(xK*wKq{=+c~b0;|78_1OtSzVKy%s~LbjTt*BxkZKaVT(?>xOymdOy)c4gG2FvggC9x{6wnC~jGFoH2r^+=%I z3c^l9DV%x~KgwcA_nOy3%0kWb;al+ z^mpUceqa-Qe~(T4BHmwrtyityS>nnQF;orq9MGGXjFQ(nNV+YyUJtKuW>F~ABR0wzS@L#s~hwkDXsx8d}Cq?t&PS%&ZU=3NnRzyyM8>w zpPKM~WiuR+YE8)eq%~nP5iANns-14_ScCioh|Augk$)Q__hv0$^{b6aH-(SYww}JR zj(8tXu_$^eyh`f*m3wio+#gSPPBVDOZ=P_4tV@@OUK+NOI&tr_{;|t9lwy*$&yg@L z`;LdT=9vn?JgRn-1K!Axr^K%}OgU>UoPvn&xy*y%Cb&15bd*K6+%%Gy+Lt|gxiROV9Z*<4Q^2OD%xzRMQApg_5wPj}yt>{L8>%6EE>4qx>hCLkjZL+w z^sn+NjdRQH7F{WJN*P4`q+GIE%T9);FMgFGnZ@85-ljej>COkttO5G}V|LJI%3Q(b zs9FMDA3d0XMVL^ew~%=6Q*@3MaDw0Odp`nK2o3sB1k#-B#y@Z76T{C zW^I%VxCWTN`(pa@wYLa;+MdGzr<_ec3za#o3G0`NU$Z6yhBnKQ289UYzhd$qV)Fmq zueYK_=HE<5ajK7USUSIL=m|U!uf4d5<)VogY)MyN-CH(pfBrFO_Z5)}?k=(Qxq!D= z+|JEjz9Z+p^`b!S>{Jre$?GBme%JI^{U)yR3zepJ%-2H9brw(u|oqV*MRDRBmF zC@TWd$j8>1v)5WCLYT z+>vCx0%JomHg>>9b=i!QF)8OU_sL@K3WvZ$>H)KSH2b-HWV711v-o$(YqWHiK)Q;z z@LZjaX}y%g!{@cDuUnDF19^0Oa%F!JY`Ufczl<31Dr2pCzFFGYeznXFk9x z1|yo6U_g*w^hf|WFJCH<(Yo-UYw#a{MSX(x-g%z0kZ1t={i23il`jQIRJS=>UU6R9 z38am%isw_FVbBO+TgY&td_|bSahOQCsaGO!SAl&D`IgX-rHLZtlvi1sGp3L@X}s+> zrq~vPJQ~kn+0Bf__0deOiy#X>M|#9op2WFaJ+L0oO8RI95r?$UQ2NO=5t}`~o2?VN zgAk&-w?{k>ebBL=ZjNz4Ja?rhuPD!Y8C;U`DMrU`;D`9W&L4NQ0aRXs6l-g+Q`SL{ z64#;>v$psSIeNc9p-K-z{1eq;y!^tGxy;84IA>L^ulG%d@a`1At*?95OUR?F$?*{V z1!dC-OGs+%ZYZeo0r6rdb4P`9fb9Zz~j(zw0Ui6-=?k0*cRG>X|R?YDQ$~+kG zjGikd1iAPc*U)%(EEYXUWmZ|@)t`QMu>DirD1PdIRKcP;MnN8sj6kO-1wV(&0F*W3 zJj_&~Bl&q6^U4`o$`knEAC$G#E5D_zwag>|X$zg8$qYg{!i(G3QM;4Uj1E%=58z&J zr0;GY$uj-IE4^C)e1CX;3mvk_v?PQtK`tj{6zFs7VMKGqeU2g21oYPen{Mv5(H8+Q zqwKF>M*Dx@81H?jLQOJ|OU+#a~*Z-f(q2HgYe_i*7g}vv_b=>%ChqGc3|3y*v zrmF)0e@Q5Id|txb`9-xq(v^6a<<-)z{EW+=X_U}n6ky*b2YFr;_s zVXT#DZC1L{-9cqKV-lE^efkk7KDIG(8UD|dA-PSvDNFF%H#6sN8H<1D{MY-ze}kc9 zN!8=~5p!9NA*(QYR5ZNKo^cYnhNH3@6w$bE*%(Jq0Rv&6QOQg30TXn#X#gm3>Jlt_ zOGzeh8`=qUXDE)QWxK+!<;BhW#53=1x`_Gt5TjCicl8cE(G9Lj_1;fK+W8zRi{VQg zKLa*KCbOq4QmJv}~kNP#76(c%VH(Q&=zRVT?iRva{ zt2)vfpu79?MZa3X7O&QD(YVM*vMaSSpCm7rQbST4;#fwN4z7a=cmQ=;Rc%Q*Uo&H! zZ0&n86HeAIZWuLLnJDr&PR` zGF#fvq1y5n%KZVT-E?dx*<@-cVye_6;2YEJT9&h(274f5u$GAkVqSf6l#r%k#>B-= zF@VK6sH|1UDt`CbKRp$o_XtU#L2w<2(s??_#l02TAoBJ%rsurWBj5(`&F4eIkTCv9G<7OEZ$9q} z@5EHhZ1;ynj0epJ=n@_$w;kv{Io!!|!st2a%vYL<=b(p2)b21i*V1LaBNHMxqfHCe%x_Afa@Lh{cGh>DE7uK)o_rmC6%PTiP1M{D1O>rCoG!$MO*Oz#( zde{Ah>zf+MXh9P6Eihz}2ZW;6JOz-yQQ%tqUKWYVHX0iaRbNA^ys!JGnJCCh zCOgZDrZ~naf_UXjjobY|Rw~Pi9kT-R=Pw(1tBdH7OgA=!p=N80IF~ZAR(w#J%F4 zdU<+k&T$KitsB>(9M_+J2BmXjWI?)jGI9rYM;BPMI9|qOr;9l#4=L3L?tt2E{Kz)q zg{fs!UM4c5jB6d0<}1&Z9(&Oy@8uuZntuRE?&ZUhNfAr;9I%7vT%T`D#{fv67iM5r z;E+M55QB@7YzOScmv$_#Hux%P0Yq4+Fd5f5i4F8cpZvzuT0u?e6~Wx60eT#uc$)X$ zWQTarodEvjnd6G-oCk;AM;ria-fQ|rQ+ES!yWGYypBbz@0W$=}zA*hMrRS|7A{QoV zGOc_NBG}euR$y3;L;%~X={qoN^5t9m7$v29XzH8fUp2CH zU}5+qqY=it%FoYz(=YHOa_V(ITu#SdmX|T@wHfk*TItJQlVi;LRJXUzadTK*K219C z_Qb){lYx}2?c84Cj(>?xF4++}@FY6!7=SwTahf3G<+I_}S-MVkHxcA&qHOzt=JjZO zV9GyMj(Gv|8UdV2uOb>HPDi-;eSGlp6yI()IgGN$G{i$by<4Z19w5=+Yj8*#b+3fv zg>4kc37x4Sn@?j`Z(HojEZ;I5&u5BgbRixkDuJe!U~8~uR-b{jFEm`BQ*8_18fU)& zB^SKNFbB+XK|^;sb}GBl4)&|Lr^)lVE_l2FGtr*q|0^=(aLYeMKN% ztR>pP6J0Pz^36LDr46(z*1#zE||^oR86uV4Q+@@sx8v6G>J6dR{!#AL6O-7N`J~ zcj}N1la!#7*X~Uwb?MSW$mv|GPOvUV1;_9~M$r5ZGbX!VHq|g>5Zlwk(n2c7y84PQ zWwKOQL2ITn(R+32trcGQ$B27L*nm9!P?A zf6t?F)8`}T5i=&UdW{B0x8E^P00z9}`&P2Ui>pPaz^qq|+~D>vfn*gPwu(JKa=fNl z+xNcK-g95?3#4E9Y2Smu_1XQ|U+UY5VU#?i*6>4l19xQ2`IGt!p}&SOQ?4d3aBf)G zpodHQ9&A%I!$G24su0w5GF4xGq3w22e;anpoZe3!E*4IDHh_}sk!VJWrA|L@fNmT5n;wIoAko#pUd$`EuXBPm?QTq}p zpHY8JUk!S^Azm_b&aq3NOvPJm&Rm5F&_Q<)_Wg*?PTu0<^8^)8Vm8lUuWqsu3Y<&y z5ov&0|3|a^BP#@e9D^a-W+Q>7Y_CxP$CpdpBu5}sNA1TXi5D@8_m{7HoG-Bdlt7uC1$zEd7YGe^{J80nVG}+w_<-&4 zOnRoLz$1y#V>y?j0Y)>+J_bM`bi)|zXESzRM|d`IV;w@a?QO(;c93(Mtl~Lx4lKP55^0f!Dxc>pCGjc8<^n0k z1Jf=n3Y)=uZG6VRZ>o4y%ys+uVosLYN@e=KwR^Zg^RAe(Vaz5SdLy~!Yjv+U)2qT1oFknliH0b?pOJSGYut$N$0EW+K{gH}Wy!Fo5 z4w5igLX>}c-^vTfS}ryfS2%~EJs7tE9uBK`G3CzRI&tqdFZPq#^7tL)!e8li=S}IU1}{Ib*H96% zdKyi|_DC`W$a%95m3V=i-t3eh;Gyt3_ZsrmxJbN!eDG?Jn#5rmhDsz z61n-XrW|H@jV#W1m;h#}z9w)ahWAx^x$QkrFEZDaSNeq<7&MkUj6@aIoASR$1(WFFZ*CV2=C#seSOv*)>6no!b8xW_>4dG6=-Sm zoCRjSzJ35NCVPRp>D;js)I$fqO7l4qV+(2H8$VPIAR&r9_cT8ahwLt{F-jJZybcPs zRJ}!hHStF1?x*7b2a8wK-ak%2Y17)n*8MgDIqyMohaZyOU-kzGh5xCM9ABux0Weo%A z!xI~DJWG>!oy=`^jxcVS{N;nQyi}C>0K0zgD(N7hbebcAxj-}>Pd%KtT?|%CpZBp;P00qEGni{Uj|D|W8r&r&sMz$R zi4~5BV#}!p8hvZ74pI})qT6qOsxla$MyzXVPzT$o2h%t#5%T&uSp!iTkH-Ke`p1X= zLEh{-zPE494axb{WL#C~xn8PGx9X=!@S`EU*%?h;v%nYC;nw9xLF&xRngvWxIhPn5 zVHgiS)uBh{La&KPJ}yOz+1xpE^y}qi<&v!dg8UgFsfS)NX`Hzhb;ACDCGQJ8QHe)7 z1EhWY$8~W1!oJY&zQR?~0ks@KjmD`(uq1oFJBRa}=dUbcwGTl8a?Rglu4ZbgS31wf zsTG`mo}&7kU86wn6jK=#pJy`772t{?|GbVlQ&9F|{;PdznCh{F{C)gx-11XXD+AjF z)rkwfn$CcGps0#3&-~x&f;~qkXkKl^EGJ|pyoG}6B7pHzD-7#VCjjVYmjM_JQIj>P zpeZj0GBO>#A(#G*>Ffo7p-%=+LvAj40OZ5`mhVTHIgq0+oB=Yi@47(;0NtQ5+dJqC zT}T+_EQX7V_7F(ITxyM=?cbQFca;uL5~m?trawbZ43{$x_}`@!-KAL-UnKk2uO5*Y z`l_5}xIi>F&ULB{o{E^A=AbV!(0I@#%w4ji2j{MSp>V8V=pD!y;GcX@|FVHyE78NB zf7n59iTo?J@Z_G5=^F7}$9ihWGqXO8Ll;5YxOw;hDBwh4FG=QCA z3snoe7k)`>@uoQ2hfx38lwP-ULvmV@Di?!Z>)&9(hhG6dZ>5RlpBPf_4z`887pwUZ zE^7Wy!Ugy$&Ey+X_)GV1Or`)uwP*hmeK27Su%D18azp%;1JEWz7uPPJ;i`)15lPA;_ct;sW&o zAj0*H$uPUCtF!y=aQ#rh6iloqz9Ej@q9G^tV(>8(sBMp zVBw8o8YHC+V;^1VYKe)Pxb}SKj!VmCW~Q?G73G(KRq8w0(yWWdzJ=EhMA_X4ejG0J z)X}BUvLB;(F&S>G;V2br!!Z!j_W!W=-eFCx+qW>-P(;`kL;;D4ihvYRKoAm90YMP~ zAxKG7M5GBwZ;1_2KqArvl!!Eu5|Lgb9qB#v7J3f}kc1@O7u}xY-e;eE&bjCQp6`D5 z+5W{!))QA&-Zket#~fo!&Sa_F{kQmOxD-It7_&&68L~E{)tp}(xz*tEQZ$P)9`++? zT?+!(cocJU$Vm#)R{{;wBzwlIs%&Ai6eC%gULC= z___toz6H~+bW%c)v~6DA1>jvJI19Y1MiOR0m*ZV!8Frhl@-V0*CauILcq-5Ov5Al2 z_D;e&izVA^+FdHfA`b4$mak)@TR?p5iNv`h-mV<&*+)RFiSGqM;8(J9u+7fMY`2@X z$~{tM$GercF9PdBp#hUzQKQs?=#^b9ES};r;-oi?)Va`uWSPQ-mFome*AuV0I@ar%69Gy1ky3gY^Ho?d`R#%ea}<8T?|RU z{^^jS6GawNjw4ILW1NaldfK)nY}H7(vgQ13wpFe+BWH?Hbd;?(JF}OR;y)YIx`2xu zU2(@;rgxI?e*E&wuFr~l_=g2X$)_d@?aOG8%3MFX$uZhQ1x?6S-!gNT!PpI?iW@`~ zs1&kNBnvEFxsyHS?hf4l%n+}y8@IAj?rQac2j70pALq(HI~MusNXOCsa}$jPnCkV^ z4mbv&5o+zQULht%o7MeVNdJn`>mS_n4D|m@)ECaRtrl-Y z&hjE(GO7s8ux-H>Wz3`Kj021jGX(c~DyE4pB!!;2g6u~ID}DfG;);ON)rdN-GUle) zeMP~KbkCdJ0Mf`i)J`PA=e1i;8Nq8?X1WMoGErYhtk+vgs}BL4CaMSWp10S_B7=NV zOO7m9X5Wk+u*Nc9X2z%OzDS?AXEtyIeb$snBMVnrXHoTCYzv+3cH2wdcqvS?V_Atu zKFTtrM=#rQdu=pl5~$+QOjXask+t16+2T0`J7c%UO726!O-rTDT%tAOGf#p870wNn ze`wLM;Day$UKd0($dx4u^yT?SbX<3#fk=RZ{btS%s1E+9V)*s7|D^S3kU2o(G(r==ifi%_wJEvlEr0do?B&xmT+E&w zMkaeqP;8HAkS0RS(LVXkrKpVba>ljh;?}sHsb<`~gmG;MW={SbRSpIULi)-gjeO-| zddX9RS30H%?7-YRSaossZdL?*EQIz;FtfQ9Qa*_OtmQx)uT`N%-Dn>R1ZnDOVC|Jw z8>&oyO?7Ou{??szc4~)@7bEr-*0JgGELO?{T~&vl$Byd|IwUZMethi=P}`ZxuMQ9q za-OUS7BYg;yB|MfAcO&?lVI$#o_u~8UHunEEl3+EK58>eZJ5s{8wWDXM=(W-c17pR zt|d=&_8me;XX6{YLvHjW-o*;{T;?z!USC{sjs-TmxOnFfR`R=LgDk=n8mAQS7!miFB?3EWPPZp(cYI=PdQz$@vf3B#iUxw-uAw>;ftf)F4F5N zF%G>MHB}Ad`7GFumkzel?;dP)hX9buCg0_wf7yCl(G>{TV1Xh()bS?K40cBa1-OT)+s=KpKab|ZeYqJ+GV(ZKRzHhqaUb{a`rGC=WWSb#q1>*~l zO)E9xeXs+6!BoHE3jc#QV!Tj$uur@~j+&3D$=7*07rWkL6*A9bM!oqij`u=tM)Jmh zq(?aZwiTq`j6?A+)EkcRZV~gcX1h9;AIm143lev^srbCuw^)&g)l2!<<{ffuMVX5% z6A1eyk;msyIGA{{52~W-B=p^n8KgBi?Ik82asDt}XK z={#-UO|YGkL5r0E%L)&^i$wLzDahhB;Ix`1#dvOy-?D_soFMRDeI6kJ@w)z%%>iGM zLGxRfEQ{ii?}{zH+%8O(WgWaT(9PQUrrA+Bk$%==(0hnxvB*2vK4~6YBqCHdTAqA) zNYz6`q1jDXmDNkqO5rYe`;^w|VT%}e_L*TlaCh$ArwlL!yJy$Y76l61EyA;ii;*eU z@yTy;&F{#$50q^?YYI0eZDR~fi`?nOTnhip;NWcmR94n`TRmn4h(R6iGTj|4B?DnG zS6tr>B$1iC^MW9@e%msh1G{FSPu^lF=qbX4_?R1J$hU5_e*>~Og%LicSo~sv(`G^P z1#B`9EqA2w7)K?O$I*Xa^$_0*Ud_n~{sH9y(2N5lMRxi7&g%nS)!l{7XK_%DteKY! z;@ygPnse3QpTD>SGlFskY4!MkOv@7(N_89d_H`aI&XO8sxr}2U_(i5EBow^~5NX9 z$x?3(0b`Z?LD2<&$*^e2Yt3>(8e8&=)qZ8mAjnC|$2B_oimfVDV&4#kSQf!>>xCQx zRz2ypbOAf>)JYCyd1qvmFyy(r)8SfOsX8W)T>PxP>mdhm72TV(-_K{$0GRSQ73{*|}(0zMzSA z7YCF@jFsGRD-YZH0OE>cWphrpIR zkzRe#+E!w;SMHgRQ6n=M~{QarqA6K;wS-^3&q+q z8V`5ivx;RpD+F$Dm038VxO;OCX1r=pyiMGnkNffk5F1emZKgDA#NtvF!>g7>V>$2h z=I+NHgUBqAieSrbg1B_%=pkS!49grcRgvQVXaF(tt6{6U`ZRj zB?f6>^Cd>-wg)X=AVOE+mMr}}9P^pZq=!J;g= zkoYC4)!HGL0=R(gul6{$Bmo1~h07o2TK%C9&AH+Y*Tr3b)L(p$1byKowH;x2{b}>c zd2J^p+=K&FC$yn8#Pf;horoaN%G(b1L!6n&^gA+E_tFj**##@dA~?qGp~awL)5x1m zH(SkYE_#5Gk*C!Pv30~H+*WGt0CvRHYZojnLA|7Lsk>?w;N|jZWmR9<7KN>_b5g7) znKxkr@DI#&*aZ}MoG#vX3KZ<$p8sDtn_v^wj%$L~l0+BH@ z_+b4Wk8U-zEC-$7f1lblO?m{L+XOHWRUqWM;%<{jz;!+-SBJ3DaEm%bUjQHP)rdeS zdwv0*r$BLr}soMa*Eld22Osnw)KjoU&s4PPXvyeCO276UCVr4JYHLQS)+=upf)3DBOC2)N)75xnkvA`F4?7S{gTJRn z!A-Z85yD5FlM)Vc6h!ka$qo_G?tWJ&>dp|C@Z$jU;pxIwcVtt8+vH01;&J|2Avw*jceLf5aH5#Df%pN-~U-mTNDbn(4qXKC5-F4oW}n$#kGq!{He8mvCM z$EvvFu^3uLdtSYeakRa7U}$3|7_cgnX*mCpQG?cn4a)Yxv(B2dy=$y-^h4A_3}F3s z^Mo}qcKu&j=6|xBIi>v!m_U}a;4@N2Dr4Q^%(aiJH|4ZZAkStIJV5R4)vn+PiMP+* z**RF0+WPZGn3%%7 zDd@XyMh%luPSwR%`wIvUwcD<jJZW&@i`_bRsK zzq<0ichMs+Zn9;Ho|T5>8Hhd;LD|j~d|xO3#(WR@pOM1+LnlfcNr<(Vck#O*A45qv@sU9#GUoxnK_{kqtHAY)f{;0;3ei&erbVfFEdDAOL!EMCnC!qHp9Hj zY7{i?)%XR74ALPjN$?G!QRmQ2KO-8M_tU zbHzt{OoKVGV)r;dr>F3cP2YpCN|GjZ+enOUSxa`r4#gs8Hfw>(Mg+}4u z>wpOKuPU8y714iP?i72H8X1AzIHn7jId6gkVB}nd*U@?C4r?LQ8|SzS1Ih*N>Fb%5 zQ?T`*MuKY)Qw3J7OT%>z&P8t@FPqNuz?6fo;~Tp^GpVsxL-Wn)mGbjz?97ah@Bh2d)p6~QZ=Yd{_6}stAxv=GMpC`;YiQ4uz?aJM9 z3K(DfqOv7+9>(&wTA{mRN$|v}vaJgzMyD}US~!!;m{MHXT?JOc_nOc`eFadwya+z! zkh$bG`rKCJ0yr)Oj;BjtyR~U2|L! zriX2Wv@u!h1Ga1c<+BgR(x%+>+7;_Idi3_t^cG|vI#Pjuh38QQEqX`Z(`d6o8=f;d z+T{9s*Rk{3vBR$hr0g=(50m^_zmW8^s`p%*g^RR@J_REQ$Tf{SQVrByG@v%c!HbMc zwJ>#5-{ zwA&yIm%_@zOIL06vB@L6-f5;9%Lc1&FlVT$$=?1Ix`wB3o}SQr!0PrYqx8`Td4!mU zp_9hxq}TcvgQf3*al?~fyibQ$=Z{J)mnUh3NKq|>GTQBa?M;jqY&9@yDRvca)YxeEG3y3P*j|QL*iyjsQ98wUKIzg5cu3(x$ z4rTimtk_X|fhSYRPn!!H?9{--o%KX|FESq_vNpx&TNUr}xk|rP2?a2pfkj1tP?_9f z=|2&t%1|W3D>0UBun_@g@FJ4NPYcYINsV7rJZ>D@T)bl%V$m+?6d^E@hm_fmE-q3Z z#?!ZXJjqH>PnpQ^@XGB`GFO3>_F)F?(VSzIIE!avh)XTLMVG7UGs=KD!3)iJ^XGow z%?W}4j848g2VnHkr|tkoC)PqfUkBVyk5^rbLn8#eumC%qeT~m$u`{r(roFMYZ>oZM z(w2$Bz^9axHubUgfYn{ot_0E5FG4X3j!c(y)(otE`XlKp8{=tr;wm88$E4o-UK(u! znp%!|DEeJd>*HU6_RS#U6Faoy;keLYZ9i-y1$KT=HsJuMTfVa0<)jCn)0R5Zs<)b* z&cm>W2i@;iNAJP+=_2eXQq72n1%OWSE*2--Eo=4X{=?6E3IGYUOeqq3Y*CsWzIK8OOXz?&O|G<-QROf zQ&V~6sK`?Nz0lfgMseX06a^dF!qs?YC+orrA%y`-H>Wp9RUTr>kXe+g$NgEk2MEsjr| zB}_S;j^q?xK&3N%hy71y+kOmN9HVsh?xcbxMn4$PvO8(7>)BGgbL==~@1228)X~B$ z{hqDzQ9KEiBkYtB6tBnw3Uan_SNG@X{QjNe^k4k9Fcg$5dO&6#4%*D*F-iXFhH+a*lcK? zfibdJ1+${wbr-QR>b|o16~PP)_y#R%2@n!lYIU5}<~%16_{)!dZUbgsgGIw}JVp1d zP1gB180uAt970Dz5CaRgXBOTNUFGXwi~R7~HN{RMU|#>M*jZP2nz%~{>7P2f{+Uf% z@Z4VC#fp1o@HtHEkfpKo#e9osLK=e?D{U<|vXHv-!4enGB5%oKmJAgCOxWvF;u@@X z9?NgD@_XJIY09m_s?J0fYLmS+3qTKmwq^&dC*Iidl`TBk{UAgKJP}>nJnLNs*Q|en ze{1~%7Tg!cg%)&{7q17eqePmd9&7yyp48G#u5dp!(ftmkK2azPkK#D$596&jgt!;#`b7z5nF3*5P|pbUg~Ycj${gd}g*Eo$kr>DM z^xRONvWARRand9et8Uy=W@*ctRcI}E{OEbri4UjN#pAri@1W#G734(D*MP zY>{|hzA_>taL(PXt;6j@VW*Wfelq52jMYnv2rt79RC`KEyjoXav+D&8cLByG*a?Iu zBAQz;^B_L;vQY!s;=Pw3h-)e60v@u*j1n3!&E$a37hvvMBM`#OB@bNFR3ZaX2*?C0 zmw!Z!18emCYtT?cvGy~lA}W9hxlfpz#-C!vAPo!?!xO=rjhi}j&c#LM=%Qlom(@%- z6@S)4yal=oq`G2jz#{4@v`tYDxIGFs#l^)y=64Fnbv>}AC7?-dnFN_*z5k4R3*Bw9 zrI6zemRzY}23zT}V@BpJtYIw6x!Llc6T1QbZ96HfscKkY>qqGfW1Ge#0|n+Dc$C4Z zP^(YMTu;1c&LX2UIm{mr*x(=GiEEybKEsCh#`L^rY5TtugSp{r5|-4#)?9V1u zGkU>5uQ&&J?lp@rP?UR*4Wf%|ZXYYD*%wcX2bMEgiAarvDVH_mE+r?_9C?r10jXLATVFbY-?#-Vb}J&a1woRp(yTCdg`ERG1TK5t#LK@aG|N{jer6uBZplAqy>M;q@D9?)K6Yw}Ey z%aX{`sDwf+K2NGb!eSLx=6^%rruA62Lz|j{-2`kWLc}!BN#V{wfpmF6LzULT3QIlp z1alm;dThaeZ*uKhJypN(Cy1U0rf3dOB_EU{=bFK&wTZ@zL9iR--1$u}rC8KDw9av=m!xWFUi<7*~HQm=do>$)4HRfU)N|_A&-`HV%Elq%&(Z%(GBd{I(Ng z{wrHtMon@HimL%$Zsdcv$Q%GQTs{Wq0eS&l+q>Ue$R_{8B2%Zw>uM$+Vq;$LUb&X4 zqhJXGZo(1SLEbb46nVs*aTsc|)fBAkL-hk2`=TKHmOD4~M1=&rCbBoQ(vRcflVjPK zm4%H00S9cf6kIVQfS~3BSTgr@&TM;mGE6Q|l`D|*Q}kn@cg3b16hiY-L7xIS$~}Tz zxlM>?SQ^bwCXrf>tzPC%whFa$)nE=RjB?Vq4h5z%69)_K^kqmy3EciNqkt^4T)&WF zFO#KKh|%`9bi5t{CL>=YX`zEUn-S@>&wmeZfBp4;xaJgcBwYmcOP2?F`Ebaq!hG!k zFz@9lnD?Tc;H~Nw>PG!SzM5l3>EBc8$;BD9hV)5<(->bQW=He${Q5U{Ys6oF>Zfp9 zgbm)@zipu$vAZcYOTcLAl7{1cmPnP#j3Q5Ck>vxQk?~IA@C@?s<{KT0t|)&N&xq4W z&>`{qO)k|6W*RfMv3a45{a4gmiX(mX>Rx5SRlXA(;T8)8EOkTtbO5&IV+DE>!l#AW zJgex-cyt#(4ayZ^m!r!QCmLIFx1+`~$jD$$dNtcy_9h3!UF34H zTA}$@Hc8m*JTub>V55R-NeM-b=LjbDR8?+hE+?|6Ti2Y0g-%nEC#Ftm8dPbx`ZJm< z3E5u!uVGK@uCtELZ$)wWvo_@}Xs;x;I-Iu)*I2eDAEY)~jNOT}9aDHR^bSM?0&MlL zTg9=PSq9KOSUKa3UHFEtY)Rb=u>!e%#T?8jKIt#p89=is%RArR^8lSs!%9`W7_Ca| z7bo92npQRjvVyv5(B6IL#JPU)*p8I4?l!yAy|nzg>nodjM3BZ@9!+~#+Pbl-s?Q(V z_~=7-s*!06+LfX3287gw0s~gdg30#Nw~a;QW`i3z#HrUGPz_G)HHt5YZ6B^Nq4L5; zUo!6Et7nhm3>m$@%RGO4_+PT!kjm6?mbxvRRe)AONWvNF6RtOlcR99Cz&K?wpR64x z@5u=lYE|>B5U_243o~hUp#JPddei8;*^?gqF=pI}z)7T+t3v6vT-BA$Q_52pjqjw) z9>{v&Qo*^o*_&+-FZp$2czDd@HN^NM#+eR$wio=KPR7O@x{~4K;u6f?Vxi*R5{2q^ zPdC5|BNJN~rD*pXRB!PFY#68A{>BaY;AbveZuZ~WmtqfBfPdImHbojs_$!;MFQ}NZ z2F+Q0I)GEXbe2%;^;^Z6>^H?(@ejqB-LHx>-ZA{%)G{};cHaEHj>gqVP}X!=b7>Zd z3KgG|G^q?GGxrX+cQZtD7rk8VgwTYtLul&UJTt5?5>kOlqC@S3Y}WXYG@<5Uf9)JM zxPJE5FwbjpnB|8rVOPY*#HOln?kC2nP}dj(R`tri+gEY;oO9;aHt);9zXX8AaUXH_5u` zUF>Gm@HCChcnZ`@FXY)ie{F}bMhIi&tr>3!8x zTr91ZH$!b7$62t+SD6j&g?}KV`uH9`JchC>--Ayfj&(Gzz-!T!LqBuozGcw=k~rs8 zWwO_xg|=@`Y7<<4fo#aCCfVk?l=C4c7CjtSw3$nojv}t}3X_^pb!BnWOc>Y?>D8+m>jaTk%k9)DN4VeV>C-CF%BtQ6#-jfz9_MC=S!tibpUeLT zu7V$tc@70>NQPIFAf%W{IyS)`DC=(n&UzvKpz&3*mWGop&oH} z=&~(}pr=}DT9IDOqC0>Rhh3Zomz0r@V_;&|9_;`##uprcqSRj`Fu0t$jNEQAnbvu+ zI%ofq6X2vZT}0`R4aHlfLy1c9v-hUb7x8_#2~S(zIY{uog`cyNaTR#lQF-)IjTDm& zE(`RdLIVrJNR>y_A69s|pTDhZv5u&(YzHoC(`T^sU*aWRNi-%*kK;kTT0IMX1%lm# zcts5;UYOi7@X|n!lukr#qSfw|kAfFB_#b4d)O+f}wNCh)K8@8h>{ngmSDkU(MN0AW zep-Lqt>7A~bfJE9D*H&!Xc=qO9wv$R@hVcZZNK6@yw$5uxLqsH4)mvlqjeOYv zO(?T*1q(JCS)NR{@7eN{C>LESP=Z|-}YX-DxP4Gpx=1SZwJjD zA$-g-gA?C9g$H#lnDaUG@OQGV+;6h3TtHR-F6%=6D(m7c_k!n;3%4r0`pTxGbPrX4 zUbd>qFB0_-3e=G_@#bTW(xN#``R>m_Yl&|TULu~Lp;wy3nbs9?v#0PUmXy5QKW3Tr z%P=A+57qybDSc&-aH-Z>J|#qbd9W z-yq^srF><2;g%=>TnFJ*J$%PYz#^~k(rv+K7VbS+ofr0{d^)heLw>@MYM@W7zkIQp zA`@9$yH69{j|}v^-x2sADcc{{IVE;^f5f%>Q}9@xwI=Cx3rRw>R2tYvb8iu5nCe8&2T8`Hcw`oI){G zC9xJ~+}mxkCv$i;O~UZy8HyT3Sz(f(oXkn#HFg)O)O&rkw)bU+jIlcfJwD=b-XZSz zA%}Nych-G)|C!Bc-5cZRbG8K^0sa2xEB{w0T6~PPD+1DP)+VuAE|lH$)4Hn<6&f}t zz1}rEOb?U_?b~NMUg3Rvs>N`3LygpNPCm}^fB=Vjd;LJjHvTQ|i)xef+j>P+#7aV5 zs8kDQIqiKzf$Uy-|MqHFOATBHBb~gie`LK$SV0=X&G)M3YD@HuD<9rVzmI(j!Ht`Q zNIOl`Hyp`G6-_=N=Qk}Q?{#9hV1BE%QkX;8!3MUV!@4S!4guQ(6S8Cnp3>6_;CE4PzdT)V`n}HweyaQ=yh)8c)b}iphqLzQokRFwaRjHH6iwU*?1ZTtNWxx=KD zk5onud}q?siK*_;3TDHAqHBN8CN?@;62if`5} z!hP=byZkzTV6W&9YxTlvcY)G`fSBMd!H1h+4`jPFNUlbAYT`rv6d<0~uTcWY!P_7C zxQl8;wx%8x7jEqGPrt2jXru*x?ZuDas8hLxjvYrTl%q>QRpL!phg*)sttOK>=^{g) zY^dmXp5b@L&ZtQXO)gGiz^fsb*FhUTooA2_>_UY{F74;+%G zyiZPn#1QU>OG<_mXo5LEcFFX^Rb8@7#c`HfpQ^~nXTqbkAFsqnsclqf5~~Yufc3(&uUov`FHbfz=@hZT3-|w{LD#x> zc{zSSp>7%Oq$jL4Jr#G|k3UZ*I3Of!f@ZDrDOjs5E#>xCwrh6c=le$lZzsbWvcOQ} z503i#6SA$wn~~g0cC&UH4GZMVb0D{ar(W|gTrC;aNjQ&?ytmjO+9tD$U1nmf-x%F7 z<-b8r{%Y_1&57{8yDuD3UK*qk`mv@-qw_;jDm%3IvlphfN%AL){!pW?!w zvI_oL(YZMd-3Iv6$WlPP58e1R)Ehvj;aPx(H zM;?q#u#)CW&=e{LzWF0pSckl|TQUsE*R7@IY8RmoaAD3rlfdZW+nM48LYe;1i;ohm zLe2W5N`aZTE-seA?qcV)HLm)yX4xouV8dJHE`x8LTpohRtwRSSTNteXw~KpMq(}Y? zB6Q&J=DF1PoCsG{v0cu}C3_C~z9)+8j-2qyN$S*ypUQFB!CZAWI_Pch5SfHLeHc7C z`Fh~d$xp_f_d3;%&no1-buB~Uqa5fcv#;W*lSWh1{jri(4k-1Jy`fU(0mQgz~!JnTmr*G;Zxy&5MUB0RWH z9f+ADf%taoA!}u#A#lx}>@3iW!%QE|B0vWG{3Wd8Dx=9yxBZ0Cj?v`3E_Z16?qAp+ zxEc2bW(7^ldTr8uPLUGITQz(FA^L+qWpZpeIe4n39MWp*xu^b4FQfGde2V$K-G_+3%#;>WdbwZ0T3VF|lI zx>KZD?%K@JXgj_5k?{~)nJc!CzI`s;^ck$v}D@9p-up@bNV2b}@POZ!q z;s#Qln~moSb-+h>|b`;i7N;B=t zPgmADhgk3GYB3Y!_xP&WC+K~u#in$Kk6E}up8F0H*_3?ARKZL7fZ*uut!*e^v^|GRru z`cLjzdD55LwcicDax|Y^wr1eHEupXc#Zbl*3jQ zvI>>XyIXyMq>f~Hry(^F2CJD&9&M^@vb$pZa>(pr@Z?8LnyuDT`NtnlA%<%qMoibq0S^(EQkY{aoY#_U(V-JMz09_V0)9*QBSk=tERX25={s zr*1B)pFgjw~|v#_|}SY8-nD_T>u;5CP>tY4i+*u!28#*KdiZ5iA$c&0L#> z_b=R8KPvE4tElc{j$v;MgIi3I)`9Bds|$ukExZPu_Jt=H$$MI_&tUD}LfYV4NeNBa zZ{iUT%I_7P2R|h{gwBq;S)A)SfvNixK0|(mo7X_yAx+H{@96iAs;vDD8%N9im8(_y z5|sRpHd065a&H~(2wk4vvbD|Ri`h{x;+y9n=+yv2|09I~Hns-L#ulmp4vMS=?$U%M zIWN&<^i8+e%Xo?GQ)BDSvC6zs={u2HaJ6-aY4vB7yHXKvE>GeBT2jI(UPxW|r@7*< zH~t}g{+qXCox+%3_ZE?8)xe`|1qFf|ugGZN-Y8iQKl9Q{EBbsa+kWiyoknvONhmRi z!C^I2b-77r&f9g%4F%GUa^-g^MMwru-Pm+G)qQB^u&H%J-dU&Vv-~!cpaK!%K+lwT zV=T9apGep3SNIRtrwpdTqH(HJ?3w#);NQeX3C}9x&>w6jII43x>doJqbmx|x6IWAN z+JKof(vh18DmsIUf7>WyHjx%A{ik1Vo%o}tpO;R3XeW6AWV@R{g^vN|;Q?dGaO%M5 z&X@%;J5nW3G!H^*j}*U5^Wyl-)RqU)PhXZf(7x85Un{k)yY&yuLbr4XS6#dv%%aio z@v5qBvLnvd<7=NKO16MWTc$>>lF%>7*Luf=E=?Xm1#ENM?qV^cZ&uGCG(k@&gcBuV zFy(T5*Kgd;?!xM(IMStYW_xfN*hu?An&Y0dNfsQ)jDIp+I;e5xE1S~`H01!og%|88 zTA$vi4{JwYAN|c;0r1^Qy$oAW14HXw{3C44cZ?U8Eq-LGFvLryt1Bzp?RuHwK4RoS z1$3AeN_$DR@HoI7Zn{Njw{0m^l_vA7ay$XPs~WgO>sWRv=h#XjrvXkg(TULejh4{w zW&g)v?&nCzPX5YPX>9PQa{4&r*m8O<>@1uP-$boY)%}eH!KMcl7yf zto->y*mTZ-d0Vn7o3^WGc~$LD7WD#wk0QC=Xc$68FArHy&U1+(x7?}m@ZZD1I%h<( zhwQ>=2cREaY-g#Z@=jvceJfJDs)pY~>xcVp9=pEv%LSo|XONf_KN>Ar1Dkony#6dt zJ~D^`E4v1QDx2lw*PpihvU*dkYSIr!(rq$z+dX#aR!^;9EQ{X5ctuECDr4Z!kqu!M zZ@#kiYB#9gH<*7oSC0vxJbPsOl}+PsCPj;)1zNBZlz>|b3+=-FQj5^Acg6P^x4vyQ zQr~x}Ct2ngoInF@-#feOYA28qCOuy)TxBzBvkgsS9VR{x5~47e(#E{OseJwTiLY#@ zS&#K8;SXi)X0aTTgiAf~hKwZl@q1oz*fe&AmHm#DZbi4^a5?@rms{565boQ?I|YgU z^BeoS`~278zh2W|ISOfzkQFqMvM?EYX+mHk&H=InyT61J!SV4zJ#f`bJ>QR5gU@GI zYx~j4_^o^=i)5w^+h!@NCkK>fv(#@)X@A@+GKti5L!Zl9n0vk{$_i>&X<9v|u58Fe ze|AX=*dQMe>kWq(1_K-Vjjse(*@e zcT+s4Z7dpcUMhKC_0uxt*A17rP@AD%y9?fc&BE$iu0U*BZSQ)}n&PmpHWiYI%Gii^ zmN~D+1fKYV`LnDqh<;(CV+>ID)SgTz>}K#Q-7NSq!fO2c2&?(AcZDCg+s&p>{!!4SZWLs2qSkRU0gIqh)lJvK1K@qT!5b9)6P z@8id$ZX_5zdjE%|1^XZ5rQf{f?pyh0TN>rG7UEFtH3ba^Ft%|(kj2HpTtbLi$cM^{ zjNaYmxo7XHe>E|!Xv_-mu&VzYS}`b!s(t((cEW*rRe$mIg?TY+0tKczC_6_$4}E3p zc~~6R)LPqgvW+#HatWV_>j2X~0d3WnhI#YXASD&$GJ$)ylw`hu|l>!qj!<$E`*Ceuf z0UdzFTd>OGe=_Q2kG^DVFS_d(J97(;pS$G}Q=OOFV<*OG%`>qEcqUeS2N4Q+h7*FB zZ-}Cj+Z}jktffB_UHfj(0)R_&GG?DZ3*Kxp_cHE?LKO`Z^Xha#RS$g0bNCJ`#dA3u zwgO>)D&WPT^vfQHeu$mU^$X9e{osC$S&#Bf(*fozhW|RNvTvMy&F>Sk?5gu@{~NA* z2j(`H;9eD)4te4@tzULFY(1-C?Veu#tdpsJR@u5><7xSwoTQd9@6eU!aA6u>LJz&DZ)cH*tw!d&YSaeA;^Crr(Ts-_l z@**Q>F+0Y}##uaBZMEqV2}0c>OxL#}0rMGpsDfk3#XL&lLn&zA;G2VX7oDFn^#I7+ zWsNGL-(AqIX1EG)U(&j;9Ly;7%LoBqxp17w9lVU=?ygJYMRwH!!t)20u6GZM-T_spwoQchFKP;+|XgS2j_R$ror&(&WW3OByzj znB-|Aq3JzL81z1@>N!pXG5R0F!T%+%nJnXp-s{V5#WNS9?!$=v+l#f!xv_y_LtC^K z%XMG+6`T^E57c>L-bzt7pIO;y3tOq?pmp4!4kV917c1v+L6g!Z2K9@^9P{Vj@lZ|a zRm^oMXn8Ot4mRXE4WV$RGX@xzOwE0rMTL)8e5iCVA}1LFfS^ju&nH9Uxo4FB(-U4W z>^dAO!*5W-!GHJt6P`excdyu<)&i}8TVL6RlVC$G)JLobbPFa)1aRH8r>?GkTwVm% zS-c zc&UKvXP#hDgTJ!*$Nu$cf8DpgR>)t^!e24uuW0%Iue`ucDnQOBT7J)K7ohUf$cp$* zNCJs@haPeoWJtb$o~n_$zAi%jAP;WE8-g6Fj{&xyM0JKF(~R}N02cL??K$NC*cqn& zVOYUca_b-dDQ*K$9r1b~jOEiK+(l<)u0F|iBW_ui-+!HF4np7{Pl$7A$?Ha4SXxwa zR@yBiYb7BR9X`!;Qo3yz_Ym%Pr(5Bd&|3}<6Pp6td%aGAkv+@F%vg6Jr)fD3E-br=rt$~AragP@9*W^;r)XVNDUyo}jSC}lNBMFoU!@55>fb7i*d%`! zGV6%wQ;c*xKNR92gPh$9%gsdJ!LRJjTAoiAdCP??*u#-_EtVnjcZADdsm+bsKGvh|XMAPQe_GHh((Oy>%F6 z3fdIgxfaiF<%w$J$E*UzSZ-FJUf>%mAyz7kDuUcK8nWQlJ`);qC=w9~13Y7CRx^4| z0@5wTtib8@6j#;X+yD1hVta~9svmv(l7f|*~xh)Ua`8UKqt z(30w>hw{R7o}iRDc+-a(H%|+R=5M!LE-&Xkl#3G9PFh;tE9;{fX3`>gy!h!{q3s|O zR>IoyDLaQb+eWZz?fqB=-JUDt->6CUpw^Y%b?%Lzk8@UqOZbt|5kO_6)qgq%*7pS9 zLV-j&0S~{-0pv_$xnM7wg9F`PAKp%{Zz4c;GA!V_9P31fneyBSy5v>PQi>*x9;t=R z7#ywlO<1i!sW+jyM0UDuDsc?ndBK;pYQ}h)_ULCqp;TK^)ik0V=HW+y9jnBfN3?}o z0xDjx3GH5}Ve%%uhukVSE~X9rL+PYl=BjnpYDW1dOe`BZ)eskRd1`!E4|C|X=XaM& zoDvlu?~N;ywmO>UDOzkcfw_-1%?doI)E0+l}c8Ft>Y`w_$hoNeFU9jn;an;hxJNoW?Tbi-oS2Ch+S>)HJ z;Lf*ID%7{keg3C2GClwO=Lmd4@G4lbV2MBNU$)p&ja*Tcc=u@7u-MzHFeI#7 zzRFadR%o-kwkx)Cseb2B2nw<=7n@>je56e{BXsz&VBCfS3L?}fiV)Mf#(Kojs<`Ey zH%|*yQZQ4Dj;mHAbev&h+qcmooQVrk`UicU9~)`@x!kJrwj<*#57k5Hl`kAXih+~B z?c9}Wq(0TFENPP+yu|35#BY+6oAwCJMt2w=1GIT(u-Y@=8SvOgEKaD%Gonq69q0g& z!^;I!%!#Q6($YdYM_2k|FjHENvZMM2NkHV{`*(A`*>C22fT;Kzb3V^MHs=H2$CVCB z8yI8Kr$F+5dW~KAnIPozAZu4#TBK~OPD?Vsf2{T#)bypipIV@**o5Y%=(m2hUZhn` z%jDQeJm(a?2gTQ#wXP>F?3Y8ZSzOJ)d)aiP$`(h}6QlrrDKi9saze9Kq~E>&E{^!! z%KiWTP;?91jtgwgNA4?`RpEJWM_zNnb6+y^(YR)Jh0);s%Q9rvSvh^6Hq~Zc_H^vN zOkI;pRU(4eBv>0E{p`!cZLX)Lv?JbVVat+fS7rNpudyo==;x514}=KS3g=k7nJ~)EU>1{y6#Mhv-87D)=+bOo*28<~ zff&}wpa1qm?jPl$8UP)C(`D^d3JjF_KOb0+2!j8Svl~L+@&ybv@TG#v`{$!suSX%U zA!86}RTN*rA&>_2Fw#R&SYep1t0 z+CY#b9V1kRRQFldrGY4@upkezYJKJ#j3Uadyf%T{rJtz&p z^!Ic!>&mhaIREEYlR3(<+zi;zQ+WjS(iL)8hxQ-lCy!47QDc-0BmMc-!rD)E{v`-qg5|l?T?#A`P=3lVEp2~Kg<1%Q9lRh7G{t@TX z7=87(>*MdWr7B|jWdnA(C^Zt#5j9&{J6vHe!Gzf9%IT6y^j^S22IckRP7i$joB7g1 zeMB`_Z{`cu2Ux0Smg{xA4;iZGH^4ZG?nwqF)hV>MYc#+0)nmI9VbWHGp*~Pmp115#C~DzQ0G_x7X6~)3D1wVIZdg(n`m9dgdcu37%?2G zSskDsvb4coRi@a}Y&otKuHFjW-j2^^h$kXX+zlGuDyr3)j-N5FhGQyG{!p z^>x8Zj!8dYpF?HsXX9djfP_7(XfLlJqa}SOBkt-wsZCnE5?EvmCa{}kpXT{r(}tl^S~QJ=6q} zcqgv4_tst3Ip?1HoPD0>{vjdE%uHs!@2%q<Qh-g59-aO7BBXs7%ar zl{vIjAb;HzFomFI@IxlMxSHur;uhsf5o=e?8W7N9a^Q6l0MX#v?QuLc7@r58R02%i zH*W{xNf1%j)z1rPmCpLm0p=u_#RdrS7cm>maGcJsZ<0T>S#qJTe|`1WQ-Mt3Eu8gj zfA`|gH~Ek4^pQb{C;XSuF$(IthZ~$iprV?c3v1pxeQ>yJ0t41Zu8Hn;herx zoCV(jfK(GYJFsYhJg-%rmrA|tkNT=$FcELHxoq=^7oPTSJ$WM^hc&V2KW2R-TiWP)b81^M;iO|^&yHg>geK?tGY~Y4*i)~H4be6okvhF1PhG7 zEk}>Oq+O7~Ob(B8?xa|4DtuXM6~-7f_M~@J`<+K`$d1>+&be{W#ACH?!1Uq8gYn=! z)g?K^grbi|Y=OQ_Jik}AamGgJakQ>{kQ?OyR^lv1dM18h7ax0dzXSp(9?g_)!hxzl zVesL|6Mw5{a#f7T6SF1NWmCZ`n3DK6Ts!QDt5Gne zEi!lM<|ermep&j1xtUw8NhT_;Dz;;uD!LHBA}sr0rSDa84JA)&2)ekdyYv&UZ6uws zOGv%MFFV3id}mYWpc!8ih(LL}0$c$FPM0$*B>=8~W8bKD-)}QrB_QMG4T_7X_6%>! z2=x&#wQfw`JU{;&Z2}U0A{lt3s){|AYM)(3C!TTj8KEEXNM=F4E22!*ow0&e(NNVG_(J*4G6M3ZR#8H zb))zDRRs_E(B)hv3J5O@o+2y0m4QqEgLlakZJKose-%&56D!2}ChPPz+SLT1q zAE>YF4kN^S&>Gj}Z4nP;H(GwTO5XT{jPDfY`2Ll=DgO5WZS4twwsxZL3pUVDwgfo! zfOAC+_5=XX!Eg=8Q!fp#?9f~qem!EWBr~xw{`3-jJ#R;P=l#cOZhxl+vFcPaO^>33 zZqn`W1=+(<{r}7?$gyyoP(w9ueW{E7b3sA$qses54?5FQ*ZrO^(0Fxxz-c!a#?O>^ zZsQ@$&z$jlX2d4`js`PUdwTg{p2?gJk%mVl5M2-u%gyfXO0~X7T${5hw1}}XT9=J7 zK=dYsSIrIgA)T0WGMV$a8MRmz$Emg>{p%Z!;y$Jzt++PHJo!q6H(MgmY1Nfz{CO(=oQ=POH&fHGVG<@HAM6hc6Y=DdEfX(Kprhvv zdb{Bb+);pD=a6S1D)mVzz_U>PJI?}2m`!DB`ockr`d9KZMQ_T+AFVBRp~gzM%;zh= z!E?%^Aaj@laZhnC=JhEeqPt8BT5D8{TyPzyvj@nC+ppXi(*vg4^kB;{C9K8~@+w;N z^A!F&MM)iOlw>eF&T4nYYDjm1U75cDa!|_vL>H{Mp_wLrJ&W#*Hp0gNK`e1t1|3w2 ze1iK}2cKOU19)G7EM@nO>6S?uuQyC|24BctcCZ&tf&!yw54V26u>iOFxZ8JCuvu4; zfSM8ha*KR^=G@_IGAEi9&Bnr}Yq7*G3LP^425T82I_#%F>Z^?oc$+>6wm&bV8_d|F z1A>F*PsqBO@WM7~^gT4t52{EIydk;{`ij9HQFKRM{WIJ5^M?GNJx)UP?A27jnq9o! zuzR%TKDNZbt=)T1`&A0|Yyt(c0#gS*@(d4kKPmm`p~*t@lKh2ksLHp=h(g|1r+MV| zILDt(eX>c@Sev_gHTMT1`UiCUf5i``^_6$Mwoi(apWM{R-Q5O<8scPLU+Gz&eHLc$ z_GO_m^Ti#e65el#AT3WLD0y_FCMB2MA(j4d^IkIzfc86PxHH}E{58EqCO?>o>tF72 zz9qxRPqA5@aIY(^p7ce?1-Y+4s!KpOc<*#R-znc=OFfgU%<0Uf(4|aRQbmJ7a*TAv zlhi!(+GqDIF_Qa!bGqK}I*yaO>IAo}Bz@cIlRS!#j<2rC1b5CO?ijz;FW1$Re*s2Q z-SkuD@yQp0l8uOn$7$6?n=k(pwg-I#pXgEuuY-tZ$fZU`k zahf)clar7~26HLtV^=R!#bKAXJ~V2YD(ou^d1_BKS9gmz^8 zY=&GxG>iUh#hYTo4_Pic#FfWD_TKEf?xLZmLuZXQM~DYuE=W7xpfpln<=TO_P$!^= zS&4}L`3@d?ibrLcsK0H_8@wmxJSe#?TgqP{QOk?CgQ!iyHDGsM12Seyd&}h2R>ZQY zhCmCGed_$eh$Izr^3h0@D^o*#yz~1n$CfIhvS&#(*HnbQJ?P~F=05zxFKV0SJ2Hn@y*dY_(>NLBTy%J#9H zE`VI!Xf*Y$;3pWtB7u>GY`kAS{IO?uoszAhj9;pTQ~ieM3Y!&?f1zciwq<(=kYjw2 z>pV57T?M}an= zKaA@uqw)bdWw!CMq$4%U8i;xD5K#y5>S+i+Q#EkW>cd<=SIils|GosBmWL`47)~0Q_ zxluVjAFT0(-^aKjb_KO3bHd(|OpDzaMVV!_J+!~uO%sN=-;AD2YGIs}v`oh^y+{wr zTI!EW*eP|vbdjlZhOZRmp5F=i_5eO^3(oY|R}R`1IAhhbJz(|{-ui?M2sUe?REYe2 z?}8)cObdux5>tEwtv#7*^*DdbEa8pVUt*~ve{}{Y{mX%hoULVoJExgakJ4?Gi~v+0 zgfobWP;pP&@IzV5=+2o1^RO0a!V1-UDK0e1YhcZ?guAnJ5^Qdmq9%ShCCNKuuV?$L z9o(S2)W>Vbhw#8DBy!CpF0_pIWKn+St4yJ=kppS5Ynu18!o&SyVA0&?zS=plZ+uXF zmIQE3L<-S%2>9O1)POLIHZ(7= zKdE_#dQUeRBReL7SkDFG42uhYH)c2lR|06GLk?-9y+?kcjXr7e2E?)m&_4}h7Gz(HdW-Fqrp>D82sF#d1ufF&zvnn+ zHg~A(cePgC;Id~^+@emgU6u}F z8Fs_U@l1+o%Sjdk-n-!2wb0A}JloCuHH2eY&eQ*dOZuNZ1bqcpbs5As!o+-*4?O)8 zoM{DdHdqF@1Lv)(sPCs}ow}0lL+mEuQhP4O3;TeBXTB